From 65cfef134a4024da63cd227b6372cafe84b3e5da Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Wed, 16 Nov 2022 15:55:54 +0000 Subject: [PATCH] DecoderDemo added --- .../quartus-projects/DecoderDemo/Dec2_4.bdf | 584 ++++++++++++ .../DecoderDemo/DecoderDemo.qpf | 31 + .../DecoderDemo/DecoderDemo.qsf | 61 ++ .../DecoderDemo/DecoderDemo.qws | Bin 0 -> 657 bytes .../DecoderDemo/EqCmpDemo.bdf | 22 + .../quartus-projects/DecoderDemo/Waveform.vwf | 694 ++++++++++++++ .../DecoderDemo/Waveform1.vwf | 328 +++++++ .../DecoderDemo/WaveformDecoderNode.vwf | 330 +++++++ .../DecoderDemo/WaveformDecoderNoide.vwf | 308 ++++++ .../quartus-projects/DecoderDemo/db/.cmp.kpt | Bin 0 -> 204 bytes .../DecoderDemo/db/DecoderDemo.(0).cnf.cdb | Bin 0 -> 1144 bytes .../DecoderDemo/db/DecoderDemo.(0).cnf.hdb | Bin 0 -> 721 bytes .../DecoderDemo/db/DecoderDemo.asm.qmsg | 7 + .../DecoderDemo/db/DecoderDemo.asm.rdb | Bin 0 -> 799 bytes .../DecoderDemo/db/DecoderDemo.asm_labs.ddb | Bin 0 -> 6673 bytes .../DecoderDemo/db/DecoderDemo.cbx.xml | 5 + .../DecoderDemo/db/DecoderDemo.cmp.bpm | Bin 0 -> 613 bytes .../DecoderDemo/db/DecoderDemo.cmp.cdb | Bin 0 -> 4694 bytes .../DecoderDemo/db/DecoderDemo.cmp.hdb | Bin 0 -> 11908 bytes .../DecoderDemo/db/DecoderDemo.cmp.idb | Bin 0 -> 969 bytes .../DecoderDemo/db/DecoderDemo.cmp.logdb | 50 + .../DecoderDemo/db/DecoderDemo.cmp.rdb | Bin 0 -> 17070 bytes .../DecoderDemo/db/DecoderDemo.cmp_merge.kpt | Bin 0 -> 209 bytes ...ve_io_sim_cache.45um_ff_1200mv_0c_fast.hsd | Bin 0 -> 746429 bytes ...ve_io_sim_cache.45um_tt_1200mv_0c_slow.hsd | Bin 0 -> 744396 bytes ...e_io_sim_cache.45um_tt_1200mv_85c_slow.hsd | Bin 0 -> 747031 bytes .../DecoderDemo/db/DecoderDemo.db_info | 3 + .../DecoderDemo/db/DecoderDemo.eda.qmsg | 6 + .../DecoderDemo/db/DecoderDemo.fit.qmsg | 49 + .../DecoderDemo/db/DecoderDemo.hier_info | 18 + .../DecoderDemo/db/DecoderDemo.hif | Bin 0 -> 334 bytes .../DecoderDemo/db/DecoderDemo.lpc.html | 18 + .../DecoderDemo/db/DecoderDemo.lpc.rdb | Bin 0 -> 407 bytes .../DecoderDemo/db/DecoderDemo.lpc.txt | 5 + .../DecoderDemo/db/DecoderDemo.map.ammdb | Bin 0 -> 129 bytes .../DecoderDemo/db/DecoderDemo.map.bpm | Bin 0 -> 584 bytes .../DecoderDemo/db/DecoderDemo.map.cdb | Bin 0 -> 2881 bytes .../DecoderDemo/db/DecoderDemo.map.hdb | Bin 0 -> 11084 bytes .../DecoderDemo/db/DecoderDemo.map.kpt | Bin 0 -> 206 bytes .../DecoderDemo/db/DecoderDemo.map.logdb | 1 + .../DecoderDemo/db/DecoderDemo.map.qmsg | 11 + .../DecoderDemo/db/DecoderDemo.map.rdb | Bin 0 -> 1341 bytes .../DecoderDemo/db/DecoderDemo.map_bb.cdb | Bin 0 -> 2036 bytes .../DecoderDemo/db/DecoderDemo.map_bb.hdb | Bin 0 -> 10286 bytes .../DecoderDemo/db/DecoderDemo.map_bb.logdb | 1 + .../DecoderDemo/db/DecoderDemo.pre_map.hdb | Bin 0 -> 10887 bytes .../DecoderDemo.root_partition.map.reg_db.cdb | Bin 0 -> 225 bytes .../DecoderDemo/db/DecoderDemo.routing.rdb | Bin 0 -> 3830 bytes .../DecoderDemo/db/DecoderDemo.rtlv.hdb | Bin 0 -> 10831 bytes .../DecoderDemo/db/DecoderDemo.rtlv_sg.cdb | Bin 0 -> 1161 bytes .../db/DecoderDemo.rtlv_sg_swap.cdb | Bin 0 -> 204 bytes .../db/DecoderDemo.sld_design_entry.sci | Bin 0 -> 225 bytes .../db/DecoderDemo.sld_design_entry_dsc.sci | Bin 0 -> 225 bytes .../db/DecoderDemo.smart_action.txt | 1 + .../DecoderDemo/db/DecoderDemo.sta.qmsg | 49 + .../DecoderDemo/db/DecoderDemo.sta.rdb | Bin 0 -> 6301 bytes .../DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb | Bin 0 -> 3159 bytes .../db/DecoderDemo.tis_db_list.ddb | Bin 0 -> 294 bytes .../db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb | Bin 0 -> 107168 bytes .../db/DecoderDemo.tiscmp.slow_1200mv_0c.ddb | Bin 0 -> 107606 bytes .../db/DecoderDemo.tiscmp.slow_1200mv_85c.ddb | Bin 0 -> 107658 bytes .../DecoderDemo/db/DecoderDemo.vpr.ammdb | Bin 0 -> 294 bytes .../db/DecoderDemo_partition_pins.json | 41 + .../DecoderDemo/db/prev_cmp_DecoderDemo.qmsg | 130 +++ .../DecoderDemo/incremental_db/README | 11 + .../compiled_partitions/DecoderDemo.db_info | 3 + .../DecoderDemo.root_partition.cmp.ammdb | Bin 0 -> 263 bytes .../DecoderDemo.root_partition.cmp.cdb | Bin 0 -> 3321 bytes .../DecoderDemo.root_partition.cmp.dfp | Bin 0 -> 33 bytes .../DecoderDemo.root_partition.cmp.hdb | Bin 0 -> 10548 bytes .../DecoderDemo.root_partition.cmp.logdb | 1 + .../DecoderDemo.root_partition.cmp.rcfdb | Bin 0 -> 1691 bytes .../DecoderDemo.root_partition.map.cdb | Bin 0 -> 2498 bytes .../DecoderDemo.root_partition.map.dpi | Bin 0 -> 662 bytes .../DecoderDemo.root_partition.map.hbdb.cdb | Bin 0 -> 1600 bytes ...ecoderDemo.root_partition.map.hbdb.hb_info | Bin 0 -> 46 bytes .../DecoderDemo.root_partition.map.hbdb.hdb | Bin 0 -> 9929 bytes .../DecoderDemo.root_partition.map.hbdb.sig | 1 + .../DecoderDemo.root_partition.map.hdb | Bin 0 -> 9831 bytes .../DecoderDemo.root_partition.map.kpt | Bin 0 -> 209 bytes .../compiled_partitions/DecoderDemo.rrp.hdb | Bin 0 -> 11807 bytes .../output_files/DecoderDemo.asm.rpt | 92 ++ .../DecoderDemo/output_files/DecoderDemo.done | 1 + .../output_files/DecoderDemo.eda.rpt | 94 ++ .../output_files/DecoderDemo.fit.rpt | 873 ++++++++++++++++++ .../output_files/DecoderDemo.fit.smsg | 8 + .../output_files/DecoderDemo.fit.summary | 16 + .../output_files/DecoderDemo.flow.rpt | 132 +++ .../DecoderDemo/output_files/DecoderDemo.jdi | 8 + .../output_files/DecoderDemo.map.rpt | 280 ++++++ .../output_files/DecoderDemo.map.summary | 14 + .../DecoderDemo/output_files/DecoderDemo.pin | 216 +++++ .../DecoderDemo/output_files/DecoderDemo.sld | 1 + .../DecoderDemo/output_files/DecoderDemo.sof | Bin 0 -> 358707 bytes .../output_files/DecoderDemo.sta.rpt | 455 +++++++++ .../output_files/DecoderDemo.sta.summary | 5 + .../simulation/modelsim/DecoderDemo.sft | 1 + .../simulation/modelsim/DecoderDemo.vho | 328 +++++++ .../modelsim/DecoderDemo_modelsim.xrf | 16 + .../modelsim/WaveformDecoderNode.vwf.do | 4 + .../modelsim/WaveformDecoderNode.vwf.vht | 118 +++ .../simulation/qsim/DecoderDemo.do | 17 + .../simulation/qsim/DecoderDemo.msim.vcd | 775 ++++++++++++++++ .../simulation/qsim/DecoderDemo.sft | 1 + .../simulation/qsim/DecoderDemo.vho | 328 +++++++ .../simulation/qsim/DecoderDemo.vo | 294 ++++++ .../qsim/DecoderDemo_20221104180429.sim.vwf | 483 ++++++++++ .../qsim/DecoderDemo_20221114162829.sim.vwf | 787 ++++++++++++++++ .../qsim/DecoderDemo_20221114214232.sim.vwf | 483 ++++++++++ .../qsim/DecoderDemo_6_1200mv_0c_slow.vho | 328 +++++++ .../qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo | 180 ++++ .../qsim/DecoderDemo_6_1200mv_85c_slow.vho | 328 +++++++ .../DecoderDemo_6_1200mv_85c_vhd_slow.sdo | 180 ++++ .../qsim/DecoderDemo_min_1200mv_0c_fast.vho | 328 +++++++ .../DecoderDemo_min_1200mv_0c_vhd_fast.sdo | 180 ++++ .../simulation/qsim/DecoderDemo_modelsim.xrf | 15 + .../simulation/qsim/DecoderDemo_vhd.sdo | 180 ++++ .../simulation/qsim/Waveform.vwf.vht | 870 +++++++++++++++++ .../simulation/qsim/Waveform.vwf.vt | 470 ++++++++++ .../simulation/qsim/Waveform1.vwf.vht | 118 +++ .../simulation/qsim/Waveform1.vwf.vt | 92 ++ .../qsim/WaveformDecoderNode.vwf.vht | 118 +++ .../qsim/WaveformDecoderNode.vwf.vt | 92 ++ .../DecoderDemo/simulation/qsim/transcript | 47 + .../simulation/qsim/vwf_sim_transcript | 76 ++ .../DecoderDemo/simulation/qsim/work/_info | 199 ++++ .../DecoderDemo/simulation/qsim/work/_lib.qdb | Bin 0 -> 49152 bytes .../simulation/qsim/work/_lib1_0.qdb | Bin 0 -> 32768 bytes .../simulation/qsim/work/_lib1_0.qpg | Bin 0 -> 548864 bytes .../simulation/qsim/work/_lib1_0.qtl | Bin 0 -> 129270 bytes .../DecoderDemo/simulation/qsim/work/_vmake | 4 + 131 files changed, 12404 insertions(+) create mode 100644 1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qws create mode 100644 1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/.cmp.kpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.rdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm_labs.ddb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cbx.xml create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.bpm create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.idb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.rdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp_merge.kpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_85c_slow.hsd create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.db_info create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.eda.qmsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.fit.qmsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hier_info create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hif create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.rdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.txt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.ammdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.bpm create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.kpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.rdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.pre_map.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.root_partition.map.reg_db.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.routing.rdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv_sg.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv_sg_swap.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry.sci create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry_dsc.sci create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.smart_action.txt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta.qmsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta.rdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tis_db_list.ddb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.slow_1200mv_0c.ddb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.slow_1200mv_85c.ddb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.vpr.ammdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json create mode 100644 1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/README create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.ammdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.dfp create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.logdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.rcfdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.dpi create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.cdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hb_info create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.kpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.rrp.hdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sof create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib.qdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qdb create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qpg create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qtl create mode 100644 1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake diff --git a/1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf b/1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf new file mode 100644 index 0000000..68746e7 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/Dec2_4.bdf @@ -0,0 +1,584 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 -112 32 56) + (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) + (text "E0L" (rect 3 5 16 25)(font "Intel Clear" )(vertical)) + (pt 8 168) + (drawing + (line (pt 4 84)(pt 4 109)) + (line (pt 12 84)(pt 12 109)) + (line (pt 8 113)(pt 8 168)) + (line (pt 4 84)(pt 12 84)) + (line (pt 12 109)(pt 8 113)) + (line (pt 4 109)(pt 8 113)) + ) + (rotate270) + (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) +) +(pin + (input) + (rect 40 -112 56 56) + (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) + (text "E1" (rect 3 5 16 17)(font "Intel Clear" )(vertical)) + (pt 8 168) + (drawing + (line (pt 4 84)(pt 4 109)) + (line (pt 12 84)(pt 12 109)) + (line (pt 8 113)(pt 8 168)) + (line (pt 4 84)(pt 12 84)) + (line (pt 12 109)(pt 8 113)) + (line (pt 4 109)(pt 8 113)) + ) + (rotate270) + (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) +) +(pin + (input) + (rect 72 -112 88 56) + (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) + (text "X1" (rect 4 5 17 19)(font "Intel Clear" )(vertical)) + (pt 8 168) + (drawing + (line (pt 4 84)(pt 4 109)) + (line (pt 12 84)(pt 12 109)) + (line (pt 8 113)(pt 8 168)) + (line (pt 4 84)(pt 12 84)) + (line (pt 12 109)(pt 8 113)) + (line (pt 4 109)(pt 8 113)) + ) + (rotate270) + (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) +) +(pin + (input) + (rect 120 -112 136 56) + (text "INPUT" (rect 6 125 16 154)(font "Arial" (font_size 6))(vertical)) + (text "X0" (rect 3 5 16 20)(font "Intel Clear" )(vertical)) + (pt 8 168) + (drawing + (line (pt 4 84)(pt 4 109)) + (line (pt 12 84)(pt 12 109)) + (line (pt 8 113)(pt 8 168)) + (line (pt 4 84)(pt 12 84)) + (line (pt 12 109)(pt 8 113)) + (line (pt 4 109)(pt 8 113)) + ) + (rotate270) + (text "VCC" (rect -1 128 9 149)(font "Arial" (font_size 6))(vertical)) +) +(pin + (output) + (rect 296 168 472 184) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "Y3" (rect 90 0 105 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 296 256 472 272) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "Y2" (rect 90 0 104 13)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 296 432 472 448) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "Y1" (rect 90 0 104 13)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 296 344 472 360) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "Y0" (rect 90 0 105 13)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 232 136 296 216) + (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 69 21 80)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 18 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 18 32)) + ) + (port + (pt 0 48) + (input) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (line (pt 0 48)(pt 18 48)) + ) + (port + (pt 0 64) + (input) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (line (pt 0 64)(pt 18 64)) + ) + (port + (pt 64 40) + (output) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (line (pt 46 40)(pt 64 40)) + ) + (drawing + (line (pt 18 28)(pt 34 28)) + (line (pt 18 52)(pt 18 28)) + (line (pt 18 67)(pt 18 13)) + (line (pt 34 53)(pt 18 53)) + (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) + ) +) +(symbol + (rect 232 224 296 304) + (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 69 27 82)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 18 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 18 32)) + ) + (port + (pt 0 48) + (input) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (line (pt 0 48)(pt 18 48)) + ) + (port + (pt 0 64) + (input) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (line (pt 0 64)(pt 18 64)) + ) + (port + (pt 64 40) + (output) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (line (pt 46 40)(pt 64 40)) + ) + (drawing + (line (pt 18 28)(pt 34 28)) + (line (pt 18 52)(pt 18 28)) + (line (pt 18 67)(pt 18 13)) + (line (pt 34 53)(pt 18 53)) + (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) + ) +) +(symbol + (rect 232 312 296 392) + (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 69 27 82)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 18 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 18 32)) + ) + (port + (pt 0 48) + (input) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (line (pt 0 48)(pt 18 48)) + ) + (port + (pt 0 64) + (input) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (line (pt 0 64)(pt 18 64)) + ) + (port + (pt 64 40) + (output) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (line (pt 46 40)(pt 64 40)) + ) + (drawing + (line (pt 18 28)(pt 34 28)) + (line (pt 18 52)(pt 18 28)) + (line (pt 18 67)(pt 18 13)) + (line (pt 34 53)(pt 18 53)) + (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) + ) +) +(symbol + (rect 232 400 296 480) + (text "AND4" (rect 1 0 29 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 69 27 82)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 23 18)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 18 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 23 34)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 18 32)) + ) + (port + (pt 0 48) + (input) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (text "IN3" (rect 2 39 23 50)(font "Courier New" (bold))(invisible)) + (line (pt 0 48)(pt 18 48)) + ) + (port + (pt 0 64) + (input) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (text "IN4" (rect 2 55 23 66)(font "Courier New" (bold))(invisible)) + (line (pt 0 64)(pt 18 64)) + ) + (port + (pt 64 40) + (output) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 31 69 42)(font "Courier New" (bold))(invisible)) + (line (pt 46 40)(pt 64 40)) + ) + (drawing + (line (pt 18 28)(pt 34 28)) + (line (pt 18 52)(pt 18 28)) + (line (pt 18 67)(pt 18 13)) + (line (pt 34 53)(pt 18 53)) + (arc (pt 34 52)(pt 34 28)(rect 22 28 47 53)) + ) +) +(symbol + (rect 136 64 168 112) + (text "NOT" (rect 22 1 32 22)(font "Arial" (font_size 6))(vertical)) + (text "inst4" (rect -2 3 11 28)(font "Intel Clear" )(vertical)) + (port + (pt 16 0) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 14 2 25 16)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 0)(pt 16 13)) + ) + (port + (pt 16 48) + (output) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 14 32 25 53)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 39)(pt 16 48)) + ) + (drawing + (line (pt 7 13)(pt 25 13)) + (line (pt 25 13)(pt 16 31)) + (line (pt 7 13)(pt 16 31)) + (circle (rect 12 31 20 39)) + ) + (rotate270) +) +(symbol + (rect 88 64 120 112) + (text "NOT" (rect 22 1 32 22)(font "Arial" (font_size 6))(vertical)) + (text "inst5" (rect -2 3 11 27)(font "Intel Clear" )(vertical)) + (port + (pt 16 0) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 14 2 25 16)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 0)(pt 16 13)) + ) + (port + (pt 16 48) + (output) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 14 32 25 53)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 39)(pt 16 48)) + ) + (drawing + (line (pt 7 13)(pt 25 13)) + (line (pt 25 13)(pt 16 31)) + (line (pt 7 13)(pt 16 31)) + (circle (rect 12 31 20 39)) + ) + (rotate270) +) +(symbol + (rect 8 64 40 112) + (text "NOT" (rect 22 1 32 22)(font "Arial" (font_size 6))(vertical)) + (text "inst7" (rect -2 3 11 27)(font "Intel Clear" )(vertical)) + (port + (pt 16 0) + (input) + (text "IN" (rect 2 7 16 18)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 14 2 25 16)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 0)(pt 16 13)) + ) + (port + (pt 16 48) + (output) + (text "OUT" (rect 32 7 53 18)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 14 32 25 53)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 39)(pt 16 48)) + ) + (drawing + (line (pt 7 13)(pt 25 13)) + (line (pt 25 13)(pt 16 31)) + (line (pt 7 13)(pt 16 31)) + (circle (rect 12 31 20 39)) + ) + (rotate270) +) +(connector + (pt 24 152) + (pt 232 152) +) +(connector + (pt 24 240) + (pt 232 240) +) +(connector + (pt 24 328) + (pt 232 328) +) +(connector + (pt 24 416) + (pt 232 416) +) +(connector + (pt 24 112) + (pt 24 152) +) +(connector + (pt 24 152) + (pt 24 240) +) +(connector + (pt 24 240) + (pt 24 328) +) +(connector + (pt 24 328) + (pt 24 416) +) +(connector + (pt 48 168) + (pt 232 168) +) +(connector + (pt 48 256) + (pt 232 256) +) +(connector + (pt 48 344) + (pt 232 344) +) +(connector + (pt 48 432) + (pt 232 432) +) +(connector + (pt 48 56) + (pt 48 168) +) +(connector + (pt 48 168) + (pt 48 256) +) +(connector + (pt 48 256) + (pt 48 344) +) +(connector + (pt 48 344) + (pt 48 432) +) +(connector + (pt 128 64) + (pt 152 64) +) +(connector + (pt 80 64) + (pt 104 64) +) +(connector + (pt 104 184) + (pt 232 184) +) +(connector + (pt 152 200) + (pt 232 200) +) +(connector + (pt 104 272) + (pt 232 272) +) +(connector + (pt 104 112) + (pt 104 184) +) +(connector + (pt 104 184) + (pt 104 272) +) +(connector + (pt 128 288) + (pt 232 288) +) +(connector + (pt 128 56) + (pt 128 64) +) +(connector + (pt 80 360) + (pt 232 360) +) +(connector + (pt 80 448) + (pt 232 448) +) +(connector + (pt 80 56) + (pt 80 64) +) +(connector + (pt 80 64) + (pt 80 360) +) +(connector + (pt 80 360) + (pt 80 448) +) +(connector + (pt 152 376) + (pt 232 376) +) +(connector + (pt 152 112) + (pt 152 200) +) +(connector + (pt 152 200) + (pt 152 376) +) +(connector + (pt 128 464) + (pt 232 464) +) +(connector + (pt 128 64) + (pt 128 288) +) +(connector + (pt 128 288) + (pt 128 464) +) +(connector + (pt 296 440) + (pt 304 440) +) +(connector + (pt 24 64) + (pt 24 56) +) +(junction (pt 24 152)) +(junction (pt 24 240)) +(junction (pt 24 328)) +(junction (pt 48 168)) +(junction (pt 48 256)) +(junction (pt 48 344)) +(junction (pt 104 184)) +(junction (pt 128 64)) +(junction (pt 80 64)) +(junction (pt 80 360)) +(junction (pt 152 200)) +(junction (pt 128 288)) diff --git a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf new file mode 100644 index 0000000..3608a45 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 11:42:26 November 04, 2022 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "20.1" +DATE = "11:42:26 November 04, 2022" + +# Revisions + +PROJECT_REVISION = "DecoderDemo" diff --git a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf new file mode 100644 index 0000000..1651693 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qsf @@ -0,0 +1,61 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 11:42:27 November 04, 2022 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# DecoderDemo_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE auto +set_global_assignment -name TOP_LEVEL_ENTITY Dec2_4 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:42:27 NOVEMBER 04, 2022" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_global_assignment -name BDF_FILE Dec2_4.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE WaveformDecoderNode.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qws b/1ano/isd/quartus-projects/DecoderDemo/DecoderDemo.qws new file mode 100644 index 0000000000000000000000000000000000000000..4cf6a83f7bae360eec8710a445a947a6daead429 GIT binary patch literal 657 zcmbV|F;2rk5JkTYkz7(xP*6}H6d@%_ED(eWA)rcy=uzz05GCNq1W_Ok!7=DK1RV`6 zcLBni)w;1D1-tq?J3D`7{_J5>)mqm?1Knz-3*D?XY7ofSq&hx{8?||LSETf@h97(3c%j z<3I|ZxGZ}_*yz(c7=>Ux54~SKckjxCIA2c literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf b/1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf new file mode 100644 index 0000000..e221593 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/EqCmpDemo.bdf @@ -0,0 +1,22 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) diff --git a/1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf b/1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf new file mode 100644 index 0000000..0bf417f --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/Waveform.vwf @@ -0,0 +1,694 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work Waveform.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work Waveform.vwf.vht +vsim -novopt -c -t 1ps -sdfmax Dec2_4_vhd_vec_tst/i1=DecoderDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 65.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf b/1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf new file mode 100644 index 0000000..2981fc8 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/Waveform1.vwf @@ -0,0 +1,328 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work Waveform1.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work Waveform1.vwf.vht +vsim -novopt -c -t 1ps -sdfmax Dec2_4_vhd_vec_tst/i1=DecoderDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 40; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf b/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf new file mode 100644 index 0000000..bdbd7d6 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNode.vwf @@ -0,0 +1,330 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/WaveformDecoderNode.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/WaveformDecoderNode.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/WaveformDecoderNode.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/WaveformDecoderNode.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work WaveformDecoderNode.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work WaveformDecoderNode.vwf.vht +vsim -c -t 1ps -sdfmax Dec2_4_vhd_vec_tst/i1=DecoderDemo_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 5; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 40; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 20; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf b/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf new file mode 100644 index 0000000..d2c9f7d --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/WaveformDecoderNoide.vwf @@ -0,0 +1,308 @@ +/* +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/Waveform.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/Waveform.vwf.vt" +quartus_eda --gen_testbench --tool=modelsim_oem --format=verilog --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/Waveform.vwf" --testbench_file="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/Waveform.vwf.vt" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=verilog --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=verilog --output_directory="/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim/" DecoderDemo -c DecoderDemo +onerror {exit -code 1} +vlib work +vlog -work work DecoderDemo.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Dec2_4_vlg_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vlg_vec_tst/* +vcd add -internal Dec2_4_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vlog -work work DecoderDemo.vo +vlog -work work Waveform.vwf.vt +vsim -novopt -c -t 1ps -L cycloneive_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate_ver -L altera_lnsim_ver work.Dec2_4_vlg_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vlg_vec_tst/* +vcd add -internal Dec2_4_vlg_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +verilog +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 1000.0; + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/.cmp.kpt b/1ano/isd/quartus-projects/DecoderDemo/db/.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..e067e29101416d46481060dd0ebc056625769e05 GIT binary patch literal 204 zcmV;-05kur4*>uG0001ZoTZV=3c^4PMfd%RFdxv@#!cIW)Qz}M1h=JjQXF(1W~M^H zk9X>TA}R<%7UAaJlR%22aSh*tY9*Ge#!hpj8l{dqX&6k?3z8LDl`M-h2IrwAH`u4T z2?DH1NQ7OGAx~p(hxs5*9A=j@PF@fI literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.cdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..23649204c2f19483713bee9125df8d933f658476 GIT binary patch literal 1144 zcmV-;1c&<+000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*CzA00000008;|00000003J80000000000 z004Ld00000004Latd%`)6G0G$&q+Wid=wBtgb)?Dq6iR_f(nESq(Cg>NO5IR($K&! zIGY45QUpe4Re@18QO?X(x2eltx>-u6k0fxUO)leQrnh=h`dtU2OWG2mb)wn)|{_ zPJLdd4_tdt9b2{~^+J~qcv=UX`#do^pEJ__?qkLB?CI>qlY`mb{$#vAnT_{eO=gqn zctj_esL5_Il~{P8eTL~AWs5nnPA$TKgQBk%!;oQgQP^mkn|?y4-%oDq_Zj`jAIeX+RpoWr(vrPZves7pz8$Np!T7aN-`v3Ll}%I+9? zy-1?Y0h#iM9xG*>)O%I-TDx#p3Z%jU0y- z_B3*tcv=q)3;LJO@nXK(o{Q0)=tY2e^Y-2Q9e^M51r7WFlhfRiASD9UC_XSz;73F+mWP^lOiWlqWh8j z69;)EP=Q4C@#K4@!QL(_3 zGU5P73$)q9C;aQcvWKQvzIz-lEGBaNU;{lUs-oHiuQ}s`Axy}-F;tzL7r~Ivq8MsK zC+ptM@`tC|-i$<2hjiydT-2`oFqW7+*L$X)Nw@8Y37V9lvu&MpzGx?glkn!&$(dMz zu=3H^oHgK!XaFv)DZ@JdU_)EEB=+cV{+#%%IgiJ{mrX_f0{{U3|9AmxQ9Vn;P!zrW zvemX$)X9oEh(b|`gU}%qlk}0Mq=`);*u_^w(ION?TO4$75#9U)&Z2bEv2;`LX9&8w zI0-J^`)G|71Bb)A_uQ|O6a=6O0JB?5`vK%2Vie)(7PpvZ8m`Bgh6Vrg<+|?D2@q)n|#nB3tFdSpzOR3%>!3ZLS@P(G0 zXA!u-*rjq`q@mO-*{3n=0a(RI%WR*dktY$<$?sx#%qc}-4}+bn&o>%Df_{-rq8NlR z@pLVpA&FTLhIq5Xo&Gc`o*(G%dvY2~FzqgP?^%yek@pCX&6_>@~8P8}= zy`IxGJ(vA`yIv<>9g`5_OX=Hy5v|>&14Ao@ZVLGnJ K9QPXl0RR6gMIiD3 literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..122667c7a7aa516deffb8d3a8f86102f6bbdbf62 GIT binary patch literal 721 zcmV;?0xta&000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*JEE00000007Vd000000049W0000000000 z007Yf00000004Laq?0>N!$1^84FnVkB(eYsmsH5VC@E85iGm`fU4i%s8Waf%mO#l; zvII)Xl$0s61S-tA!@QBFj-@;AcjwM~_IQx|KgXEi7Vt8ObdI*b$b_(ape^@VpPpY{ z54@cWaO&(*)jGHASYZ>w%7vLzD2$W4FmOs2W>yWf;smMxnmntQA)WWrE)cuP0>LTR zzRJ=~v~O(b+NJ$aedUQUT6eU)2W3;R1Ewq+>?!sg7^fiT8W$-AGq42fuIqNOZN`$w z6JrWKsm#F}zR8sMft2FUKqQls2#k#FCbPgdnTWLf?Ya+&*I)?LLlbqgpd}qL$9{YH z)=gyn&iwurTGFwDD%hbL4Wn+B;0w5e;#OdfKnnB`L!+fsb!qf#V3I>{et%nBRcAMM4}Smv z0RR7Z0pes}WQbs3U^rY@*v|;0nSfXbh=bkz;(h#`9YZ|*feg>!5GDozpm4l)@iTrP z4PwKT`ML%NJG#5ZyZVI$MKUvR0Hv;9U9%OYOa{mYnHJz06bv*6s46}Hn7_ip8f>+1k4rz(!Rm&2=4?td${^KvNI?EC9GM}WkD_lnIr+kAcgL( zF6fFmz}7MrmaxEF3DN{o?CApZk*6EbrJP^`Cz!9^0+a$92(uY(pliHmh^sFE00960 D+a)(- literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg new file mode 100644 index 0000000..c8ab3b8 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668463009510 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668463009510 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:56:49 2022 " "Processing started: Mon Nov 14 21:56:49 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668463009510 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668463009510 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668463009510 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668463009605 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668463009774 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668463009781 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "352 " "Peak virtual memory: 352 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668463009828 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:56:49 2022 " "Processing ended: Mon Nov 14 21:56:49 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668463009828 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668463009828 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668463009828 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668463009828 ""} diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..9b146b8f5ae2a0ba601ce5079bde2d93f23e2ecc GIT binary patch literal 799 zcmV+)1K|7=000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GYM00000002z_000000027y0000000000 z0018Y00000004LaoKoFy(=ZTkV0?d!A%uXZdqU!2OO};NtHeXqW)nyqAD#9(ne3WH z*l`!z75(esoHJ`$jS6wn`Fua$w{NS{={zRBOYA9%IuA$;j6wBXV%>l@98q+K&}YOi zTKtOcw}>gq4vFh1FmXzWdO_HY)C7yXCj|Z3D->FNP2e*8F;-X$ix+TIN;E#k-;xA>PST`=mH4a#t9L%opKpN? z&dVI(^URjIGJVo>eS!4|mEJRYx+$xF`^WLFR})HEo#z;h5e06Zr{9uxjl!tCD`g75 zMNDf8V}*5wU*3|KxICvkQzu~;#=3$NJ%{}+r2GB%y?*x)(j-mU{3(fVG#*2fffJ#S zVZY_A3K)Dz;#ALeCC*VoR@u@nT0ZyKCq3`7xz~?`DrMN@C!`M-xzrWH$1}*5R{TG# z`^?#w?l9|RX*%pJjrjLizfWSqo5_H0V}w)Tq=hpfU1;MC!G5utDq)S-^8<42}{QS}%>OhKt9f)D_{!U)5&LPYU89=c|&)zqI^nbBl7K0bgenUT_4RcOii{6&bnR%@n;@0kOt`xgsMhX#Rj%I zZThBAn3*C_Rj$5Ht}ZUFE>Ppx86HEK31yT%RKn!yu#5h+US6^4Z5Do?fpp;BV d{!vjN4KfqvMu@WDkRVS#_jssk00000|Nn>4WBdRB literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm_labs.ddb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..7666cdc493dc313bb2ae667e1c5bd48002408b97 GIT binary patch literal 6673 zcmeHMX;>528fKA-ppt0VL}Y7gkR^Z{n}Cr7FSbAgK^DOUL_m$QBSORrf?*R(pj!55 z0oi0Tf?*RRrYu52P{We#PC)y#dat#;?Vmm*d1mH2Gjk?$&ilRZJKsRW z#Kg*E#et=mSODt>H`E-?w0JFhxt@sxXkCD|zVKR1LGEXngWbN1{}uOKD>c812eb!!?H1xG=adsGkf@e3S$ihHj7R`QT?V&@BwH;%0&n{$FYv1 zK+xTbjL08^?aMz`OLliHYQUo#@FyoK29%@EC(y^$lACmGh=+yykIAIvq`-wT8Y4yp zovWg_O*)u0vyNvVn~3YNmRbUy1~bDlso#sGfCwsWG4Fh%=%J=22xHLMMPaz6ogQ=L zIVh%yd~E|mjS_UohDr&MyBkxN8HpSC(vw=kk&50t;7?fnd89P?Wk=vCdPr#r$64V? z;ZgfxD2v3&qSO+Ve0F1xb{Bg@CZZH>tj8VZv1rlUQ>v5qCn7~xp;DTw;vF8Q=mH(K z*ZZS=(xSF-YLaKV0^Xeieb#C)<(?CxxIS&ou=gY8sMR>SH)5sBbWYT)W_Ieih`Fi#rJ)x8SYQGKTKONZYQZmu;h%#dut13ED$cUyg-N zlPAm5^>R-X?SN!V!m|Vh()qK5k zRz=h8c6r7Z591KcP!{wKu`ex)S9$erETp@MaVY_lODl0g3!)vt3-!;MBB zk1qWIg$$>zV3@miG3LV*lL93uHKR`D4P;t@;*BNX7`UE&ENJfmpoUaF$N^!Wq%nrt zULJIrD$}j9CyRg(@gWd4L*F0S(cDqW-KI{yQX;X+IHyqq>4yuGDC9b|o7S)7<(2Us z0|UFBv?HDVh5Lium}g^}{HaVBiU$#@?ZG_Lq3&h{B%}*+wHq%L@Zw&lsx7?AjTSVL zRoUn4e#Xq}EmW}6E!*f2p?s4pn>bIaM<~ORg}l^U;j8D*VV1%LHcW<_2}|21eB6xT zhGbM14SD(MZc44EUa|15qTj-Z*-~%5%yx@cAhX;9YMdaVY`+{e>?{Z}4y%lJs4%XY zbAL@&B7@%*5tYDr`^p}|Bzj)4a|i^XZ=$HH zUf2Rxi`A?V>O@;-?rRrX%Sp%X&0aquUoe&dK17K9`chAqcA=v+^W)J78g9}!cWh-W zSW`9cC%)&_`0N&y8QYlA_XYA9&S0QD&D-3drn;J;t&r4mdb0-dRRi5oUNWx2v|AqvnXGO^z@P$1MMALb9Xrs%9 z#mwVWK+NG{KLCti7m$Q~q^DKy5hl3Ve8DQ=kxB0%4J?pZQ5alSeiK4YT3#@?3St9F zs*+Y>sFFFMrs-ELL)T^Y2(G0skqDEEnLNYHVgPIbs~5qbN&6G%B3Ot2+awPOHhbf& z(B8MR?Uy@iY!DR>ct8Fw1^LpxV@NBTzc1j$0JH=5N(FaYg$~dB+$vrmuf!0(&eyueh)6!4hx1?Cp zJJg-5+T_so>PkM~1p0~G2`d|$XJno7AvyFPpG?e|7e7VC>^Va2oq7aSL_UJrYe2px zY@@hca!r^_&9;%wbJf6h`6Z*$>V2#;Uv|l%B_%ZWk6MStL4k$==#i@$H9?edNZP$W zAIG8zPA_B2KTVo%RM<7ho7V3|a)$+#`g0SDA-f~)d*9Tkxz~wLJ0#U}H7c-9J``>^V9e(c?C8=@n@LkUGNk8{|T{3A3#`M5@v zW#}f23&-Q2riI&)hzUS^%gsc?`e(HHV=6u}Yx6*P;n^vxq6-OX)Ep}ViYAEMYwh>MtC=GnzP~}N+AZC!YN)G91IcJMgDXsVAe&(NpkoDzh%GiPv(uc zl>v6^@Oo*a>xu&7p+eK1UR1cKvfDcR_dhQKPZPf?DQ&kM^p>8=3N%H$018ZhHUbJ$ zLg3|!P#7+L^vGdvgTugw*L3w7c<)y6N_CLgXWZ|(#-?Pmh)Zpe3w85b4WVL)fwTH! zC#1IcNgnldI?(cPwXPLdN$i@`e$;iBtZO-$*9)#(bGa{3BP%iCdadQ8g}3|z<;w`s ITN + + + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.bpm b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.bpm new file mode 100644 index 0000000000000000000000000000000000000000..f6d6904ba025e02c3d7efa284caba584da6c2e42 GIT binary patch literal 613 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AQ6%vZq6wzpg1$ zN9n8DlSi)AE5seAncQ5~?Q52E-TwPBiRZb-W~;+ox-1N4zL{-qoL@fKPk`n3liT~h zl&-p+{b$EZN5zQ4&2RP}ZFal7s-$$qeZHSBXG+8z3%|Z-_fd}{C$eL&_Z6Qz!B$+= z)6g8Z`^iM-{Ji!>-Pwf`v`Yp2?l{(7vErCrI6?TK!k5RkNw)&Rzl$8|)Jw?-os>Pf z-7Tz2?3mHjnI;=`N>-e@a>8kv+03pSk!8D9TnR~Ai`Sl81eFTJYAh4x9Y?E=ni(aj%c zo(=!3uE$t?m@ECK=y7KAdZ#4T7q23B{|&knyqJCJ`Ln-|8-LqXG->hlC#>&3MGM*K zic~DwmhtYJ(-HsYTXWp&9a3W7cGUN6Ev`AwY@rlCLF$w=Fp&TMuV73{O-RW|0D_It zg6BDw%xs8|?3l|`^LXwb=f5#B%}S4%|1>*2s+IjKb2qm6QLK!!%v_n~*qIVK4X?i0 zUfp?!eaX+p2%%Ny4_`id^eTU-weew=1#7;)EtPgOGhjCK5I-@E;rIf9^8)_$_F`;e q3j}x?WSAeNKbyM2sNjH0qlrfodq=l-(3&+}-d#qYFM*Q7|Nj8{y8}7^ literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.cdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..a4a7d5415bcb359b205cac0c0f49812ede644305 GIT binary patch literal 4694 zcmeHK_fr#y62|keBd3BKB27^g1f)ig5X#XBL3#_37D@;R5;_C~L_nk$LC7g3(h^EY zkP=jCjDSjs1QBUL3=k;^kWilQ-cRp;xY?ckz8`jWc6RpLnHA#W-|l0srtYA>Ej&z2&61PxCSpVz9GN;T zxhwj?7RY?){1$d}%g>SryO3dI8Yj(DGATj24(xlSk6N_Dn&6z)r8sFYRt0f_hIQyk z-3T~VwIogbQBtW+yj_*%St;VL%Ye0?eyw?`>kC9m$eMzyWSZh>&pBzey}@%phKwMV6~h++{RrOyPv zjXtnQDS96cR1a!9NMcJm(pth~M2${9QlHhmcaB((sqmde}MIY|ch9$%@;K&$8=UALr4akX9 zosAo_ccgJyfuEoOvCKs`A==jfgJ~{UOcpn(< zQ#f9W&=Gp5IcIGb)C62#9|on8aXR*P%vr|IooAxbU4rOuj*oh+Ty^&I;WmNcX_JD0 zUiS0WXQ;J#HV+}on{67JZ3+qc0um~$bdOxoo?Z8UL&kb5RP_q$e=Dul`*r`l{k$q>1GLpTXLc|vwaJ7c)L-P^j4iv ziNG%^k$X&5Ix{xd$#Ze$xeJq>(E9zAT}#Iks>R)Yi*L!VKxxX`cD8pGYKyIbw)yjF z9Q=_{C z55s$8j=kgb61_DvGu{7H97AKXPM7EeOzd=T&2jbjcwQ@*Pxo%toWM{mNW1ONA1*f+ zOp~`+P=F>#@J6OtJBR5cNLN)P18_>C`$|3>kdR&S@Q6Qe3z?d0z&TicPvIQQK5p$o z9N3qTd$=`_UN(h8a;W`L?>@q9MZI0(#jHT1(OR9P{-x_2z+!tO?E~DqwMODN26@DB zTfeqOwy(C$gq_V9zj~1*!1FiMOt~Nyhk%fWJ%V9KD$xBD%v2RZ-({_kEt8;zc3s3B z;}uIF@fJE|u*+(A`LyRV^eBU^Amq7zzWvij66G~X%28Z^mcxC9Ov~XduLhoLAM3+A z)2cu4(&#oCc$>%)wrpb6_6>RN$3w>*({*|yCwB7&q5gYX3ghI9gq=Pj>#1;Vz~ofZ zir!>&r(autRN&-@2AVXuwDSsC6SE^-QXWG92giM2kP$#)AA^WQ1WY7F&k;MlCzZef z?WXcZjlHe)4O0M`YSZOjJtCzLH(2<&W0{VO?PSZK-*1wTErL1;oQq$Vof{ODpJ26+Y6$M^65!A%$o*c5&cORwrfq$oj6J=m0&Ng+e zL4)+(QPUbTn_SmEy7-ZR)9qU?Q~exvUA+rTTu9=mXo%_=JJ)81eFUh{}s{mOnlR8{kPcV?o3ojcWzd z4P>?Ss;M$4)J^;o%q~2vZR!Z6Jv5tc(bA(>>7R_cO}U&=%+weZucqDCe2S|AQPuG0 zefltR7uee($hidKyTdfboE{-8f9aM##Io#Eq}0jw5Vn}h&u=i5Y3Kfm`Gl`&!UuVY zh8*4TYHEq8MCbyCDu;OYCXVSJ4BvgK{UPFk_5dVn{pNhatdgJ%W}cCqm_HNLU6j~a z5TBPwqbo|*C}tvR4y#jIhR9>~0gLpsHkqNOGWBG0w2+PjW7Yr<&`kaD-AvE1DYLm= zvh9Wh3TPWWDM(1Qw{hxEB2=kY=7u?0tpdU5TE7u#imOf`HfNbA0YPyk1w#%DA#8@| zwDQ+uWq~o>^P3vAYkw63ohb81$*fJ{J0pDmoWPnsM#)8JE=V-?sQR;SKcBPqL^AO* zo{`MwSjS9IW>3m#O|}Qb)c>G}|FGrW9C{{?>^~cHNw%-L@ccxzH@END;G1Sj-X{t4 zHBDv9&V~WYF^P$r-$s{+6_W5SRYUL~YrEID1oS*sKJW8egj_(TTx~h`vUJQHE$oY= zce;Dc`1{xwEjw89-r_y~#9q~pK|$$p;Ky8*D`TEk^TVg`He8%7A~b1IE4p0vEfmH* z&n-|%#=?p&#cs^S{JhlROlh10=H7AH*G#rSyH;$fr{>6`1)YzMU}1Zoo;nSf!+*bv z!7hC{R`w$;zWDu!(&MMA^I&JmK{a$rRA{Ba@{~~ym{>qa!1a$XXG!A#Y4&47{ zUhc-YUmrFJtkk&wLWX~SGc<#;dv|*rI!S^>uKbSY2+;jc&Rw;wC+a*Id!jIT^;*6t zm#T-X>*m+Bwg+AATm|?TuAi{`x*1{0>#}Os18e2i(MpCA8O-Dsmsh1LUBV056*A8Y zVrU51?iuN!{%gPwW;dCAj&0v^IwpSv?rGGHN~?s>QBSDYX<2#y77i zIX`c%5aAcQ`m9X|VhYxgzHUqp*47ek7pipi2|W1ul$JYoVJQ%0F@Ak%bCW_=B9=~T z)B?uf=qiq`4>S^x9L=(SH52&F~>8&%O4$T&T?>4hM5LJ;ODGElve34_5SSW?Dp=XAUxCs_?h~ zf0M~J*FM})!V*=g&~`g2U<4F2kJK&syAaSx^~3SM+}HkIqBtoBX$K3A(HdhDk|4aS zB8eQplrggUeP2Aa_d|hkH!f2tP~3a4@tL3Z^Ag&c-UY0hOf5@FVK?a%UE@e_#P`3E zgZl@+Ue<$yh(j@XroJ|MfA(Xe5wuZ7zcEGo3}SUewaEn+*w+%75%LwVTR`G~f%A3# z<4`4q7cCJD4~|8h6%s`CJ~%cTVr><*E@k)!(@@FHHtm?TF#;Cke( zp7{{#-*B445vtk^;>zI9YKNuO(yt4DX3yGg0TS%G&0)Iih+U&1BMX*E%qM~I1*w$R z8!a9z!e=83Go zZsLP5mq8DlJB&A0rhuY+Q&um}g*RxE!_4@un{5{@`Pkb1$eFzOyIf0u#fy5jm*h5l zvESJxz{up#V};*cUn^WQqy{;pSn2Sqo{c(06h;+^ZSR{A?D&;9efRpj4QTEGq-^H5 zTg{u5g^3w=JxtDeo^%P2vh>|SZIy#|Mh1h1_u&b)^r#xgJWQJeg-gO@< z5rkOM;wwkq$7?>}mJE2_n{o}sEW8NG%N)~Eles=PuM>eAoN`fYpgcAem6=FZ#QCuvvgqoQrcl;<7)|KekH1wdu I{t?c904z|EdH?_b literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f9274376a40898e620095e20c7750812436c741b GIT binary patch literal 11908 zcmV-~E_=}w000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DkB0RR91008+Y00000002u20000000000 z004w800000004La?0pTGTt%5~hs|z(o>fCjY3|lbKG^Fw-;6PaxcT zX)?(K1IbLBOdtUq`9}gqKo(a-)QHHU%PJxQ0wQ-85z$>xv&b$G@VVZ*xEEbqqkxe6 zzBT9T^L3w}I_Fd;OknDnnyORvz3=zcS9Pk+f4?D@%e{L_F86k%F7e(a-QT+Aw zvMs6RW?pYcamJNT2M4*MWLVD_RZnbK6nU{x(kMRR5S&h>PB>&cO)7l#m?(Qhm*8xv z@V+OP%Q4D!eVUZVCbui=#b)Y|yrf}zrH*NRJ^d^7Y3f8)`lmjXdRr>}D5=Ot*%VbD zrC-VgcNE&oDBCtYA}1-Jxi=!V53U$qIXKcjxOVu>*qogq0+M?N;uOTKi0z1bPo|T8 zm>dU_`@!TqkXr&DFitrF{}{Rv`@EF-r13<^#zoH2UoOIxNew6vh>5tM^;t_)(o#Wou!n~xL0=W+*yme77{_+5afub z{8VNTXc47ZN6r_Ka@pC4$kgX0;>_WZ(=Uvyp)C1wQ$&^wEMY1^mtEl%{wY$Txve9s zYpoDA-!7Jz(s`aRK_*-$nYeT-DhE8rh#bwIlDonDm$ER2`gP+un(-#YpzB#rw~w<@ z?h(X1;@dOE>A*>}aflpK%JPsZ@8u=c93rpF!sK+lzHbLSti?prHshdm(`a`eVUZ~^)U_~C(F7pY*aaASr=9>Wwv_ZV9H>2 z|M6`8w(->bzZ?H4b01>fi`=AV3;QWD+kxFK6R+Fe$(24;Wenq-H)A?$^*=rJb zP=6sd*h^Bzlo$!@&*`$EQes$F6Ij45V|Z*lF#}@REN{ak8YWWQC5ufRHZekr%}JzA zOeWQoglkz#BB?|gmsvGVg|gK{>ql)mxw25ss#XN8ogjjd%@vb4`7fI_HoReU-G)dz zYlK_U2sft@Zb~T39irGgwt6Um$&@{@DTQo8UiuBAJe-*QuESfgv3$emaOKp&p}~>m zqk}6e>~6jHFwaFv!w$g4*oXRCd;0o&D{Vc+*1lqUWpTNk3%ST?4kyQ`XqOu;Vd zXzg868EPvc_I6p-az2ZICQ{9d{eo|A>p0%3aQ}M1*l>at?yFJoXOmTr?gsWi(^%^E zz=?JT>h>5wwLQmRp<%Da#XRb>L^*Oun`Y6~NKBM3L`PJ;lt;-)`L0Suf1^{ex6!=y ze4?j*ywuCdcDhuP;w4FL==_+l@}^ z?aHaZMO4mXKZkrf;zT&@n6zWEA124)v;<^i54T#qQ>5M=yYn8$>i>`;=k<$IYy zI0$i9S@&x3vVHoh%v5aC6-WZ%R+KEoi5#!cFmlymI218>K?qlcmaoA{wi!h}DuqZj+>2`rY&iqZE+=Y_(wE18FXfWfb4j_zu}rp+&GFg*N8kF@Yd5S}KDv6?jJCVfRpObDn5ez=TD7YO zBakC;Dq?*vqNo~fML+RwBSX}byA)jbGdz?Fy+JU#dhP0=Q(1kB>UzS&#V2_teGp}N zFN$fqE1eF#VbN887$?hwS9UD6TZfzBD_+B6$RoBRa*}(!rW~>-W1Jj`RD3tlQNwQjP-SKm@Km7bB&@`Y>rDsHYyccqUxi{ z!+aGcOa3VPM83XW%9#=`+sw&rn~4cEeMo)9w77rT1?p^hQ6mB(E5cFDG^m$EIXl-H-As)lRO9zJl! zz~?I()t(X9Oh56VDe<>0g=jTg47>iDw?&Rd*(E$@!9J+ixgFfd=59iK4>FG--hn(% z6~~{u;^?Hr|Ajc?62xJq>yYS1`k2Qt{*0AKuvP-|EMI0GbP|c1&;XHO-bffN+fmH6G@J@)A#=e zley3Q8Y#yi9~>1qWOwr6J)W07kCc}OeutD-c7I=&{ubNZ2N{W{VN72}xlX10zr1p3 zm|X1zBsNgKKCq0>Be6Z#-#M~^^>n@2AI#fK^vC;hQ&7mcROVC4T0f;PQci zRV(H%m^*Lo;GEgBS1o9s+cbM{{)&O+rn@Q2FtF$o*THntCh9w3`5A+&hDX+V@73&RRKew0enn=8A!hGdHeX zg9gcT4wN0<@87s9x~$kXTQyLQN%!2W&? zLX@UP3~w_onKIUoV>(CbnC?KNmBcg8G6rn=N|BfG8a0le_AtaTkdM5K+;>a?@(kx9 zayIN*v15ST*mGLh$uvQ$;ybfEi zk=Anxe--la$~jD_oKpE?@y`oaBYihw^Md(vFrUntw_w4%IkRWYY@R!J{_MH4nwk*j z&z~pa^=W;Zwtvs>&aXf?-l%%CX1@s=4jwre4NeO$`1;=qICt8GtsSl9wjz%;LPJ~& zjsi#a_Rnxa&vZf`4Rna}_Cv9RK*QC3%=@0%8~#3^IZ}(|)`cBK(N~`a^cQ>y-caCd zzNo*W!!NDPu_>}YaN3pH6H&p1u5HtbHN!)L`O?ySavNxr7kCFD#D!ALG|&WP1}`kr zyzwPUqjWyNaKGP>Q<58SES3;uy3ufRGWbJSLBil)b%TEma9R{UnNoSR50zgYPo|vE zF!=L!ID1y$VgjCl$hUQMc9%L@`%2N>Nq0zNZV743!LT*K?sO6ix-|s;?qu+# z5%7F+c-VlN4mkcSqgloiC3QFq1?-8M*ITrJ9*nn#H2zqtdNTB&?KC0GeIK+k&}e!u ztoaL3nV|2Y+F$YD9bG5rR_i>qN5M})B^QI%{=P1a(fI(r;MXokCAr7PvR_`C3oxka z0aQA)e}xBco>_C6rxcjM71jQgZty|CIr@_8RtEy7xwU*K1H9n-<(~oPg|OC+j(m4( z-;!Rx3a@6eQC@&t83O>9~Sl`#37o zuVA-&GhmM}s?PXVFeVrZx9dq%8T4Y-n|7#-Ksd%%rTq)n42tB4-uFy{>LQ{9O;mU6>WN5fj&h-)usTPWYkAHJ= z_?S3O8UMCq@XgwDO8eUZr%yVI?WO)s->TXi52eFA*90{F(p?Nv)U%TrU(<04KF1EP zvAp18=K{(-ZARo}z#60v%*_K5f3at$;Tf|i&Z{e2yDi-w%MU^UVbD4Tp9iZ;P!3tMl=tANvM~5BlEbSj zw^ILq0H^o*mUZ)TovNwH#`XWdCvJY20nd8qmN_*F)kd7|Upb-w189eSf8I%XKfK|f ze5nU-%69vzl0kdH@08_$^9e;h3Q{SR@fo$%Kr^m9O=a#7suhB$e>EH!zd6?t@9s%sm9 zMS89q{5-&EOp1L?DL>y$`2~Q#1$6zr#e8dTzNfgjzoWG$U&{2<%Y`80qxK$IcTd;i zp4QIJQh9N{yOik(=ZnC|n?1dKt>yOCo_5vnnk>Z3%E5ubyuQV8aaIoUc;OOo@BzoYyBW*L_eE+4pb76mPzPF=wX(lT!0}b|=%oFV8j`&YF z; zei}@i~}X;>q#buvjB zhV-)yGwHg9F@3I4jk~^KCVjqPOkZdi(-+;C3jQeA3Z}Hno*LF)1{{AGkC1M3LVpEl zF1e+0sSnoz`nr1C{C3smXd(|lss|ye`X*GS^?-u^Z3cM3$A2vY+(+mBE(2V3-z|Xi zwP+k)cJ=pl_xI)7drC`rRJgdOtG}H5piZ^u@7+xLI^g)rIHJA*Xv5auTN_FC%|?>l z)=08%Ig_d0za3nY8^IRbvH0i~egj6>D*Mc^W5c3R`C7t zoyIowZfDzk({lHKtN|yF%60dG5q}wX^6vubFk|262LE1q+f_e5kU_a}+=Ch5swaPt z-gbq4$O-*JH`5<>QvRd#Xw_-=W3(LxM}$mj`4dWgOY$caTaV9oOWq1)!F3I=;B(+eI}{4O4e}gsipgJf z!{^sj#>4?A05&tbm{OykTZv&rcwN^9J9Sz{PvjH4; zW#uUNjrk5Z(yq5^#k?DEPU0!nTVHqXo(#&B?e}JYEA8KPgWs0{t~~cWz%$QZ_XA9~ zXFI|EN1%@YI(VVGwVdxS_jVWCN{dRx_Iz(?F>V|xm(^qgi+91VejtN$e01*nUi-2Q zs~?3cPkJ2oPvLN7p7A};wixLK9sb!3{wU!5a%QQ_he`VK*saf9iz(nVSQ) zxxxR%$@a(Gl>Z#?bUSsyA3DQ$k~!^E?4xt*+~6N^gO6l@tJ!cp;3>ze^4w?!<;rs# z+~E8^nM1#wnE|dmw-NB{p4;T6{A|GaYI^m)mhx&%mKH`$nCIAS;68PY_i2E<`jX)3 z;N)Fz{*vCQm07Fs*p6^GTbuRvuJWRe5}r#cFOD;yUik*l@JmSj-S}8wuI|pXr#{r%_t9*+#sDK#x_#?onNa6uLYi!ShdX zQ)>;G@>6xyDuXdJ)F72ab>)h_pArRKhZa~4$7-PJaDodTyR;dDR$1rs`Ulx;fSLFcL{ijoVe1+15jCr*=En zM6Ueu#zyiLQ6GQWR>Uan)m1V5@V2guS|>dwp}IPz*I8X5BfBO_X?2xMjjXPesgc#y zGBvWgVx~q`SIyMO>dKiKSzSHjJ9IPFDGYY=`x`PAvaFthMaoNpq-<%L**r7y5RJPk zr5M$sfGvFC29d|_;|%$Z)uV&?;>zj@NRj#wM@QfB*T$QhkD1+aOv}7{zKk17oePUS z`KH-NUscw0N!GEK)J6w zca|G`3~*i$7~HsScx1G)azIhnWaDi37$hBaF2W8v#01cQh)e zW4rhGQg;P!K^DtJzRy!$T)}o}QDsScN2Rl?-CVv`u$pWf{|xW?XU6Xm&-CCKKht;? z4#{aUzj($;~W~x!xb`QF= ztxc8IWTT)(RKVx>?n=2>15g`L*u|dOn9hoVc4vov15jF=`1eaxOKt_0evkj2X_V35 zbVA<-boQ~?jwaLc_m}azD{7iijYBrd^0Ixmih_0l8gF(KvfD#Wn^tS2zZHf2klkFG zM1L?cuS1U;yw?toGQ2+}wBVb)G$mB6*vnEvmDZC|LVbI4|2;eOf2M@0)3uWU#b2&D zzb^ecIe0L(75ip6mVX;?(P}CyHr}NT2zy* zX?DS{;`eziN3}IjADO$%4h`6@`s#8IKD#^elzAW&4Z{nR|;D@&9`Sd`#bOr_GN9+UpXY> zUFdZ(9S255hLT+F2Z$_-o?FRqug9<`gyEq?4EK8s3qu%wn26y4kKx!5hKCa|JmfJP zBMb$=yznEWmJ!10AA1yYg+h(dCzDY;>QS@^g=Ybir;<@@^C)J9P;5^|@wi8Eq)-%q z^TN|e6ZqmukK%BlP`>!z$ta%jD5eR8n1J*JMEl76rN?lHFcf@>7m-cSj%PiJgM>o0 zV+wZW1QgGC6bA~0Z$xgdWE9VP6#Iuz>;nqAqB-&bbK1DWW7s!@;f;wHUi29D31N7X z*Nm2?SR-EXDE1Dacv~`0?1g)coUZl?p?G^TioHFGSMb~7^)uc<$td>mC|(SqcxN(- zeLadDAryyz!aln9_ZXfRh64QJg?EQC=m$$VC=T=(o)ZQ&xbw-HagayxtWbyrNDob9 z#33HTFGConc}6tHkE>}O#WNuke+dfw)xLgF?})=af+vNb;5TD>5`rT=g2#ox$L9_M zL9jRLO_=F1Y!e367e|7@GSN^$3n;GneQ;2J7CVeNyDQ>Lbj9e)e&iT|Aonju9lnc# zU?a2yYX!-?4)-~szvqO$-wFM{oY4Q^g#Je-^aD=l?>nI%bVC2Y3H=bz33e7pnc-SL zRDbBC{9z~bkDSmy2HK(F|Kz0n5hwJcPUxRFp??Z=Lbn}>xf#+mp$T&{&Ofd1$vuh5 znfUtg){<;)kAkmqRDY^nJ)J}KZ293KE%-1f*sA!m#AiH)X(0@&tqkPRaM}2P4Y*wN7mxvZC30)Xm;IB*gc_Rt7fySulj5*8!bm z^he=GtlDWm(X{`d5c}6#8EF4=Uy$^Jy7;O1r(k4d1yd_C)@CSsc&BZf0EK2LHGl{8BggX25wm ziARK5%Wcs&3*-EESpzsOZve+98o;ro0UV!f0LK;Jz`ckZUW?AwDOWbYh^xS1CT9Ey ztl1kw{>2T9PdVbRcEo=gc-j}gFhotPpK(H8>!D}Q4{@3)iu2rOJ@l;Dn_y9vU+0AW zoRj6(d+2$w$KO$wf8GiG1)%Yl%jC2#da9e}X6dl4p6c0Iz+duIXB($CIHAAnguc-U z{guS%uv5ivB|=A?s@?8{{BjHl=1gCDZkfE`FHJb zHZATP`zML)k2**Gsoems3sLZ&yTPAugFop8f65KM-Gf{1AH`i?_zzIT9}w71^?qw_ z11Y$^@oaJbkh8`AvQyB;9iRw<|1Pu*`VN$!!>;kXkW4w978mn89Z34URDUJ5kB{=%YYdKy;J^?*g24@xSD*S;Aa# zC|HuXGtL*&LffJzDQ(3ozx8gV6kxm;4i9CJTBc;!$MeRE!l1_FQC0>B!XKL9Qrs^TACl~e;V$Eo0Cz9 z3wB5s3LfcK?G$Xp{%}FqQu&)?aL$ck*Ja;@7Fv8j?KS7J1r&JUkPL7&e5V6W`uP5f zQhrzlqgj78HCF-V3;G4h;0Ya==kp z@V@%o4B%6CzCzEmq9G7GMlJXhM~5=h&)LtA8R>4!_nZC_Y1`l8I;(L~r<^>C8X&ZH({T^k^V{UEvPdE4<0jFgh z#ihlL*n1FB_H+2NeKU{NE!O$C*6)W(hfz4sQyzc78D;u>pgH^YE^6!72J3vB@eACH zKPDZVtC6rWU3F9sH|hwx|oI)_&duIXL9c1G)((ZP}B`S){KB37UVM6#pT%UJF9RRs<@ts(cYK!PExg>Ht2}=y zUCKF#Bu|Xwd2NMx%uGA?^YZvs=+3vQJoRCc%&}bJ4&(K-Wy30B2%{PYwyW9>Qki?HMbKSw-w{}bI9I+BSyo$H95$hZe{NlAF9F}%}>=6<) zGTtA%XPmyiygs2?tM|%AEWqZ9Ozhw{3!heN_lNz6%|3tdBrkrfo7o|QVH(niqb=hP zO=>SNJF*=T+b?!40SWuj=N7BidBPpvFWpuN`#fe$k8Qr)4s&}VmcxG5Xg})vOKWS* z!yU19*ju}MBoeM3u>;q>M>V4N8{rQ&BYq^Wuu9nN;0?ccExX4bhg$8hwrJFM%_yz#0|qkJ#p$<76#iU&V};LsY*cRQrtT z7Xvxm%JHOvgrCo8(;LwZ_O?)C&7x(8B~Z#_giC}3&8 zFni<7PWYU%)EwIdv>X^`N8mUp(3Vp|oxHyqFh_(+1njUE(0*}pz#qnA+teuJ&FEZ@ zvcp`6xLrx)DG{rdmGKgRjtUe6JQk4H;|r9N4-WMhX9VfFto}GeapXg_Jf!;8J_i}E zk15m5MTE_Y<9KS>tR%)EO2R;5M^IoXFr!dI#?>kC9nUE3sr1u54B3zAAp!B z`<%V!?DLrYR{WUNV-v+6lXf(I{+RUXq))v$mGgiJ`U1d286Wn!aH3c`X~)FeqwH&C zBYMtU!ihaXX~alw1VqP57t{r(HyMSHVbK%;?Zp9Ttyq?QOgF|!;zuDA5Akfw`a;D-p2NP8xt@Ce+He~u)L4$$a>GtYm;G^fEI0`r6lyvP z8SEV9iEc*@yB52IeOYV7U1IyPX15d%dPEGEn((a>$4SYwMXPOC zf!#2zHqiWpPSzX^GriHIrg7=~F=5-X2OAbRDGDESjqd#k>#^{M;v3!kMmRa)0joAW za9(K+i?u|CezD&rZp2}6z_5Vnffr%|kc3l?eNvhL6700_^Gef7R0f(KD6pRt8Hl~L zdITBuv5-cnwR2=}P+%_P2L|JvY|hpK&DRB|SUK!YE|No&&ZsX4^sQ;Wh6E(+1*R(< zIqX`DMC^b`D6z{e?X-G5(AtygA!bO$T53N941Z@tliowMp+2P)!>?#+sD$ZtR3`NL ztH>d3*=T(w;y^Yz)>6~zSc%P64*Tc%?3Z2a6PtZfI>Kt{uI*dtm*0y&jdN)fgC3`i zu*2>TbBjW388;%e9rh7qZ%g!Xka%nxuP18<{R!73U0}4lN(KKP00960cmaHuTTB#J z7=RDgdIv=jR1j1w&~1w-7nkkA?Cjay(Va_YW|55#Qz9*{O{fS)L)(O=FW8va#>S*J zHYO$%N@}X5*u1V?pc(HyM8(@U|K1VlfMb{ifv$+|NWM0UoV5Z^FS9c2FjblxM)FhQ#1|UW3 zB7FMj+T-zc@J3`63>0Q@8Ko7oPWUi=^Uei$5rANtHd``-+%92_@Oj(50t#}?;aWs>0%0lWNn7T2;}@E99UmHr4Gz6JP!}5- z^_G#sg?(o++$5_aAxpA?8fepONzu4jP8nFZ=E=K)Tc6HZTr%a5v!6%TvcoVDbKcxB z2O+!$1HC{~B+F8Qn#whM9s3i$?OnK135h{UMLJu0#FROxRSjJOvVt83K zmrQ3zQH}6=(%N@xrUoTpMr?$ES~cBNp*$>G(m*h-+Cc1op}&qGheNoWl8L`6*()%2 zV{2m>?ges{Fes=|thh9h8)-*imL5b`!$=Qw6~(Xhi$hm%7L!ZVloirVn+$XOzG~Wz zzSEQAh~W^mX-5qXz$TId-{}#+$moFEJu-SMHZ-`IfO8*AjR^_WfRfdVVvDJ?g?$IJ z6El+)g09U&J3ieXt)Y|ziXL3H9R^u&v_E#tlV*!6?YFmulV@QfwM^PGkukkj*7)((mevUcjL^Q>&XA>~- z{WsI+g+9@q;u5#f_J+?~{RM>}Bepl7gdx=2;F_Onvcj$HSwTa~rt0T9@oHO%$33zy4ic z1j4X;iCCwaf)hVgnpq!A|9D`k30~yPW_VMY=$dSDn`f5Zb1Ve&zfH6~6}%{{j0$y; z))W6c#eE^MqCsR})Ht7~2GLNt^CV_A227L?_NA11OAc{WdV!*UzIpCrl#IR!T9ph( z52Se9&lgz_5J#R|8pBOM*;`|!SYy5x%ej*@X~ zfS>X9tdTa(5JJijs4Zn|BRT)cizED$y2=e>%|_fSp!{KwrnP)qv7DUpnFJZNnc zw7RY) zS27jJ2knO1J09I#r1*ymo_DZ|AS;TQ+LEFLbWfI>U4Z3wGp+S3>FZ0(fc262X=)C_ zJd~GlHqk-rrF5LXc@LM6d7{ZB`ZOBYoz*Wzxi9V*%{}BxY3bNwAx~Tv6f74go`5c8 z`RfKax4)@=vZT8{y563C?Y3X26iJ#|OnPO~i(4T;+>)2AsOo3q@ayuaw;!Rk7y)sG zpj#7Mk0W@`v3Qo{xo>Ki3Sv4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DaP00000002G$00000006530000000000 z007AY00000004La#8kg8Ls1mIrGC_}`kkoaqN0P?8kRN{lZnmzXa_~pXj%LRT`Y7n zN|-DbiG{Av%+bRI@diWp8oJ5QeTK$a zarARR9OuX}zy|oJpyD4i!z(D(g|xT zk(HUXw9IT+tI5q|I)?}c`o#5@@>{QW(#`9WaP#_0`Hjaem2KNbOKx6W-x}B99_2RD1A+M)nyPX7Kr@gVg`s#zI0fU+l)(W@4^n2i(9=k3}&e~R2|T}p3Y#G0ae>%=9SoSFlYefzQk|;4hkBO zdUdE;AAf)E(13Vg82Pxyhq(F%_yEJmrnn@<%Br}eC^IkJjuS(#E?&KXAwE`CPEP(2 z@kTCS%k8)@Tq*%I0oA2R`T03^+!%`CVTt0cw4D6J5<4ESYVVXZke|RF14WhxFf9EX zeO=?-Lp@!18CZZqN9Wo!!j!NA8G*r(zI@=AU%gCcF-%4fBm;B5jgby0?D)Y}n7>+5 r2U8^kQx)Lv=@$Z3CIGhPq_X9GWM!aO1*&lk@^kb7CjkHe00960x1_kJ literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb new file mode 100644 index 0000000..aff02eb --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.logdb @@ -0,0 +1,50 @@ +v1 +IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, +IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, +IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, +IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, +IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, +IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, +IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, +IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, +IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, +IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, +IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, +IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, +IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, +IO_RULES_MATRIX,Total Pass,0;0;0;0;0;8;0;0;0;0;0;0;0;4;0;0;0;4;4;0;4;0;0;4;0;8;8;8;0;0, +IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Total Inapplicable,8;8;8;8;8;0;8;8;8;8;8;8;8;4;8;8;8;4;4;8;4;8;8;4;8;0;0;0;8;8, +IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, +IO_RULES_MATRIX,Y3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Y2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Y1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,Y0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,E1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,X0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,X1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_MATRIX,E0L,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, +IO_RULES_SUMMARY,Total I/O Rules,30, +IO_RULES_SUMMARY,Number of I/O Rules Passed,9, +IO_RULES_SUMMARY,Number of I/O Rules Failed,0, +IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, +IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..cf035f8a7308cb48c21e927ebb6b63df9c3e80a2 GIT binary patch literal 17070 zcmc$`Q;;S+&@ei)qaEAWv2EM7ZQC|?Y}>YN?s%TTGq!D<_p9$zo&V;)K1nLwsZOUa zQt71AouGk$fYic*{#S#5*!-7S|AXpg&MsCC_Jqug^i1?jgu-rCwx)y}%#4IgObq`O zX2$xBOo_XNH4i*+WQbRr;> zN%LI&r{s}jsgrc!>CwaId>21z{I8@$3#1zgWgrmilHgxUq~#22;4{2=_(QXgAtjbS zl*B9aCx}0sK;)kxWOdE&zN(t*%lrJn4-F0dIk+)6i2t|0 zKa_u9QSJwf$`TT=Zl93?Sb2Q(#{=?jzKr9en*O8b6QBEi`XL4cA0_ zehYs4Blc6KY2t;Z3oYTbekk#c*z7fTXufHPHn=Rs($~Kq56+dF`?>k8WPGU$*kxHr^}VDv$AXba~;+P_@T3>?YuSdon{F!uwKRZ<|%lWAwL&Qr=7KL&Tfk=*&2wu zxiDF-NX6!PQCPCcb_bxl%hmfW1mL@h3{y(Y8P0bxS!6}lbiLRK6+nyqdg9XTeVz-Tr{kB)JFR%!ItWFYpfD~c7YK;WpR{oaQ-kD^*U^y zwbQYGHTVFVBiA--#SecIWpG#h;YpbRy|oEyxmkuh>GkF~*TksObstVJ6KL7hP0L3V zEE4*M=TUsYIF~`{7vbtCm5(n_pYe4NELziV^S5BhO8jZJ2OH-TCCVeX1dtJqID1hM z0I@-`;&vD*R1@6SdFP8<$hV=V<6jT&nG32pbr(b4YoonJ&gX*P0*NWqD{vaTdctwT zIWvh6IEYmV3%vuy1T|2E`xOq4`t%Mw^__R{@R=UN;}`~+@EC?|h-VF~($%Hf|J`?~ zt!^9oMM&HY`Q=gf{w06YoSGUlXSS>5%4K|_S=U~#AJnAywh0rq>A1%%0QsERjNo z5akaewfPY;3&>>fn$UQ-DH zUO;v6o2|g&4)zuYvZJscrIangWO49SgbFaWW@Dt#gwMgMzb;MY7Hz?*TYnf_D&?5bpSWMY8mQTFGnNB(Ij*p>TK6xk}&FrRs;A7 zx!*W*zP~MOTd~0=-o(U+PN8b8uo46yG9^QYTiMZo7>Xq*SaCvGw|+pn;88BoNgh;~ z{d#y9-f-cO(IJ-(W{Gh>_$)B7kdwRu-Ba0X_KYE>k^wXjlANrF&2TCQZY<<_ekgsh zTaW8Zg5{_n+8A364(Rfm}@Z%V00+Y&_qqlE%3FF-wfMtaFrqpuk1 z^Tv+W81T_{`lXJu9=CXwBz52lSIMir&yw$7V#ki8F~K0U`B-fJh-<+Y>SR;D693N8 z73&^ECfm7Zaf}V8=4X=`0^NW+xFv;~bJlO4y}J9(d--hm_hL}|Y$k*sp_rkjV&YdW ztQWB+!9|0qHfoWK2rRv9TAG%(Z{g%Evp4#Xx6cx^)nRKIhxiM(5nopz6(&efC3M!6 zdWWt2-6ZB|LV-~mCR>eHN6V*wcYM@S?Q~fpR+*KdtPbH!tqcZD5@aiRmxdAmzU!$v z+YHFv7KUj>{X)lceN)SPR)mdl{E9Xxd|WZK<#Uz!E9V#P$Zx&O9N91NMxayonnASM zl_pKif;y~^E)d6J)~eftFmWNJVG2xeuURrEAY0!ln^z02MEVEe&fDD~&S(`swsUs& z#}}+zk(%nl0m%TssL!_Y#R6+`=Ql#x8i3urcw}JkLQi#bMe6Gmf?pc`=w!hO~ zx@v5|_afu0IT{?)$!}fB^y2^r6KUIE&d75WZ`aZmkv99Y2D&ll+pDTUe2w=9pX+PKNMnSw%(5P`{33+lNdDX_UT*|^j<*=X+ETk4?;G*?cvfrRAuG{pjSKlvp|%jVtGs_j#?Y-91rZnO zha`Sp){osp+7uX8rbLx&rdEt!IWUtPy|s73TNI+&ABp%VHLh zR!e=@Pb=AmHM&3DUvMmS#R;X163V3YM-q}VL}U$Z2?vANT2!1sgiU$tuVmlZX#WWE zSEvEpOu_WY232G1!9{7-kgnXjfdx*hCR)OuYwDrGo{C>>rS@M7>3acJIZjSNFd>%y zcPZqpx20%0RZs$~ z?l$B1=3jw){ID^muPN8;0hy>H%zQjqH^@h_$Sz6XDQ)z41Ps2EnKiFGu!eUxNG#!y zNHHQq_nz7#4#>!0F<|W=Nz*9*!A{c7Na!T_gco{6m(fFF<5MTX^g|3}rUp(%9LXG3 z1X&6N1Z!P=ya}>Nu@R{QLHfzfs0nwevn9NqXPvD9@P{2m*fcic`M;$fM|wjsE{3Q} zO(p1OXV6PmfLY1*@orWirx)f)*k2Ly{Nvb!>QKLLuze3GO=-?FeCMs}He7Cj+%d^X z!D+2Zm#(!fa>2x6A z-liX*AlJGfGt(5SrgRVx4gZU|A2 z!iJ+DDd5@D92nE*xmEJ-m;fTY?xqbB zm*ue9-}Qlj<}Z`zicow28?d)Ba;rfuHus_GJxNnx8LkaUuMhFm(+mA~XI^=d2xa() zc-krOpg3t;W|!tfJhw>YZfPxz5U(0Ac9FeII+jsF?Ta1c(@?7JA}MnwqEG`*OI?3a z-@y$#JwGglBsMv7JW%j_GvkH=sWyhS2aZj2yx-G&RO8NhtIkMurcAM9lc{$pO|V@2QBZnt$4RkPn?1P>X+p4Z#~%NB#7^)#wSNe){7;857$($x9?yf=yxrY2wdAj$dmU|4WZo}m=@Yg)^lW{4*SbVO~IeW z{!WHY_J&<{~gP#`z%Kod| z=IhPs-|4}|5>7eC0lVsBKOy~LHzYs;dss_W&ozX&w0Oroif0vmEnzM46aq<0SY5(K z1?A(r!62H4091^8=aip(g(TDX1*177N|xCYN|t!PBxaM8uX16O{R2v0W0W)vufg_3 zQdRMb)IvO!^*#C74ZZYGl~1T4ECE4NJH`5gva%SVCE838+iX7TerB6&bHA%*37vdC z?SqxR3j|2SXXzd64-^iGk%(32p#Ll&FFUVUUVPCGrzfUsaD5$}K>;5=$E)%VevEdB z%gzrQeA&5Fc}EPI!HnX8on8so6|Y=+y6N#+Fqzp~bo!ummv%`~FUu~`yeF0oguB}> zEk}H|BVn}-JP-Tx9=D;(epVmZX2Wse@z-nibu`>f6Ecq3=ymUoZ%-gEqX4_jvB~fS z=50eM96>rhM|YkCoev(iw}LOQL5>R#fd_Vv^y3+y`i@8$0TQZ5Eo|C47<#rIAx4%U z&Z?T8M$H0VV?hn)hxraw54R2;!n{0ZgqI}N-a?1 z)IT!b1SSchNKz0N8%xS9NnhH$DXc8ULtf*aKx8$xwmIeK!b;~`euN?Dwm&AlSkXX6>VO~02_t2KecwX_PqZ0hj+!Zu}7`7 zwO6yXu`4UBu`5?sXWQ$|m=ZkYA1lREgV@(q zJb?OKZVuD;qgbfH9(IpTx}k_S*B1i*X8Vfd1%z8@?$I8){y5&$w5f0bT+X82v(6&Xu+zGK zyFG6mOiiBkje3`REAlxR3Jm^RO!5jeVRE!mim)IN!93tQOyEi{^lKE!Qx(CSp+37y zc#ZzF4~8Jg=H#)Gn! zKcxhj89Or0jc9nIHZFVMbJ4CN)6@^_^O}@ymi0wsUprmP0vw?MYH`E6G!M!tKO#I4 zj(-G3;&G2W;ta6zJD<|$4ZaCfG&La02C4DETTL#ZZrAn+DQ zX{j6qE&vG}?a@{J)Y89Jn15%#iqm^2TmS~z)iq)yi7Zv98fJ4sIE+2jXGyzMiENs1 z@gSdQwl1vs$Kg$CHP_2g!fYx~ze1%UiItmSrQb3}Oc4D}N zy`ADrbfC=r{Jh*;v?`|Kj?1}CTk6}}=i1nj2a?TtK7w@y0$w1xQs8f@1C6#k4a`yu zI(ZgY-CZs`d~l|%3LKeRh2+_DMgMSHsdbL!6fsAn1v^U==Y;)@_f*Akjv6M(OuBzy zU!nt`jsQS{YY@T6A9vCXeN zn`|gx?NDUUD%n1+jBeTLjyjI9HvO-ltT1R|CwjrIrG)X`zX<=?Z~#53Bk1d;iy31h za!ZH|6N8{TNJspy)uHDcP@V>Z%1eF#XW&ungE$GJ#zR;^@>4anb@cWAm(Br!f9Q#H z7H;ZDAyTeUWOx7rk25NH2k@wlj_dGs8rDzMw>akcU5%cE z$a#PE9G2x|)`w7{T|}QK5>d0dH%8ime2zq&5iu-NV6!^-l}-C_2AMBck|%>ACiXCN zN94m%pDNUXS(0Fl5cPA4axF1E0OaV!S#om&Xy!{~lQ>goW7K!zUdDU2?#w}-2l+Me zvUvq5p`34$E4Aa+cMSu!Yygsy2K8T(axh zuT^KXU{A?RS#Mm2(TYe(oL0Oi@FE$bR9-Tx-Vs?cXJHqW39(gWcg}~-ek`mq=lFvU z`dDTVjd|G6pELgCmN=6})BvGCk!kB0d*HEEkG+W1Z1%6ujWQYO!BGH(fq)Y-TF_t3 z&2Mix>oFL-54Jprp*z%W(lkl|Ttk%dbJXg?5ef@K3OwXYX)Ox=pOPz>97)?OsO)9MIKox9$&g|Jz$SY`n->gd5vk3J)F)+dvunEMqHW z#@KAPc55WD1+8WR_G}B)>eS3$AgTT$XYsJ31-#0NmslO z3GUtQS;vAz=!G@aU!doDx9`J$bGa{?`?&iWrZuL`z76I5Gtt6t!B|GVG)i-cD1C z2k3uWZ$YAIyj<-JLBHZc+J;q9E~M_qfHsIK<{;Zv+_A|iqTa479FSj)eg7%S&1+Qu*t^P4vNyo(wrSZLgXBb zYtn201f}der!x|zmpRLdKgT@`0eh_CKT<(AH@4zsXuri)h@Ps%l()Fj?v-+!=Os!l z`fYa8p6srvY5#krG8ke3@h-|Uu%9|Tyj)i#Rxz?PI10fT%V)u1j8r$X8&PVoERTrys1z6w2wo0k zJk2ieK^@e~6pRZ#qCH*6MhVC6i~aTZBDpJ3bx@tHuL_m2Ay*wRy4p%9tXY9wpAA5H zN|~2a<9TXw`9J}0EI9J>Rt{8Qu;ns9{6~`D>8MxJy^xKi022ReaM(qyEjsk6lQ%S; zALT?bUi=0;jhMSoQxJ9cIqH}C8L;JC1IR949N1p(_9EEqF=Q^4J{#tS*yK3lze@B z#sPyH<1Kp>)iln0;MMFGmuHyDp;48F%Kh2Mpwu)1aWM7h-yXYt=-U_}@qvn+ccg{}CCId5%+w$+kAh3Q}J%I1;)c z+zafAq=y2qNL-su7q%vKTZ*R+rbcg4*v8%l2ZGD%UfxYEPAl}ILq(#ni<~){$x!*kCZsAK zu{SCy(x2}I{?Dr_2_NSOl)hc5y~#{`@Kob10*x-=?8UBWbv%!?dB2_j+E_&&AicP1 z`?8e(4%fPqm-4QFsdNOhz2%UdoSt`o*P(?E1;q-gdrI(Mm2;;Qm$UOeTaFLiO=S{w z?ItBkt|(?^ldAL3#f^2;-mC}iNC&G?aSrd%pr0n0c17PI5&EsL&e!znAIqIu3zMJ` zk3Uuet(9xvPNmA5smcJxQY}hD+qCiN=?i7Z1O1kXR4h;ML;;bl<`C(t2bO~V#f{2X zu$*ZMHOklw0WSYR_?8T}!4LuLp=cPARQHA0+Yk$0>e6>=xv#0*%unCtaP`r_>H-T#@6jHz1gFsk&r5+$t&L*OQ9k#iI5kw^5r^O1?cHw%l-zZZw{ zsFY8{+U6bn<;nZ)EG++6e1W!ecfDHM;4kDPfcwdU=Sj%4Of+jbxC9 z;$So&|T_+FC+sUTK1ziDA zY^uL4iFnCtRw*IbHke9M4D>_(ApiDzuRXQb&L%!N#1IYy?h&{)1E2ZdOAd4dC3vyM)9|y&S~rRw6ypn;gC2ssaafIlv9rf+nr zx&nmcrd4UR(Gp9mHTmc`6P0-R%HftX&+J1?gVpE$*L$wxZZseFM&eMa`AoY+1O=|r z{e6Y12*dX{gK)<;*F^4|!z$T?82njvwnPe(bW7ju4K>$6H(D*+y7CaP1f+@yl}kQgS1>5mPb$1cfxZ z;8Tv!=&{B7;RobEOoz3T2~EMsi!>p3xjoiG;$u@fIg!U8vo(W4_|HA_d+3=~*UAUj&U z8q&0A4`8b|L|nKC@i6|OYt9PMvamf7BkgykyBQNkpExvUEp_X|ct{Zu$qY8OsLM?^ zDQj$4x<)DIWW)k&4f(r-?sa~6+^51H&Ru+c)lTJlO~?a~2VN=P&T>-0VREWyX}7)LZ)qc*-VDe6}5C^|e>m9hteQrdugl!ks-& zwFdE+wBq$H2sHN;w9K`Pf!q2jL;EGTVKlu-gQ)9wmiuS7kCQX9)=cAgC*KqTZEg7tH*%r@s;WStvRveph4wN$DOrBptMA@97Z zK2*l#CYNpGlP?c|TR-rA7ikfE(TH7Te^ZX7@Zs*$Z1i)27hQ(E^npb_#teuA21XmU zdz$xVCbp<(&}bzejGzwAwW=dP`5=QBhL=T)$iEwoshMb+8TNOCjr`Jv3!16+v=EUH z25}Uhm)h$UI$m&)i`+(>8F;j*NV*T9URZT?iK40#H!s(WN-qP!{W%+|K8=mIXvsdWItG$bM;eVV9hs&u`vgTnWC5eC_b0>i*(ABd*B{_d6#i7+y9fTT;rnTf}VcHCD zEZ>w^ai^E0h|1P_A2ThmcFii`SmM$bT&7S4+WhteRptkRc zgM(2>O@zNlACU&OW}dQp6`XC)$L@SpP$U9O(N=c6^5 zm5;pLPG?Vz{l~fLI+uTM-Kvbd;@V{lk z{iOw*>t#M1)I<&DDHuXA%t)}5n=99`nCwBjs~YOzmN-k4@WuaeClPW6KT$MPT^Z-g zDyZSEacznpU7{J5GiA9Gb3F-of-}CQWU9bYOVW-ngJWaTEqP5z`Yta|JxQ8L?@yB- z9S!gV!J;!Ez?!eTz8TI3vk}Q~TpktIPGKT}1ze-c zwp(@Xu3PCHmi9`t4GNql7pwCdcu{5D`$+zKKp2pCWNsGtehi7foh9gE1~?swI!#Z_LJTx z<6Xbkq3)ld3alHuK99gkU{VJrq^;@hgXJNXZav#Hz69yh#w#vC*8XnpN%CGtc?OcWA zi6G2eA7X#p?sHG+?AnBo0e6>cqO)zziW&wCU3LU-Z8s+?iISAM5Qh9}@NTW%Y(RM| znwvs)Z_TfJlQ3O)P+2I>HR<0A4{VU;>@2Nm?y<3AGP17POY6DmS5PE@q|9 z1yxbJO%+}op5Pk#0q+nG0X@zMbjozCWL2=$<*uh%Fn%io6)AxqIu^QW@jB-*eyR!t zYD>i@`7@hLU(A5Rma_5^(+CsBP3X>VxkpThjNKA~#lIxY6~dQwoXL|!UD4E}gLxQJ%JVNo#L7YyFWcpsBczKAo6k?PmyH*Ywsz!tU{w+4B z*4Sm1w_l|FV>Z4ZB*>nf%`P0^V^9TDyRqVPINWex(yl~C(clLnilVf_ds+jVMK{4i zmcLaeP*o_)t#2urYj6kz+W6qL_hZKs?=UmaTD#%%EdFemuC_i+4*`BsVoF=t?8?BB zbQj$V0J!M?%KMzoCTDr{v=JiW?D->NPQXWN)rQ#;P;oJ5gACm1VlL!{{S4U}#{mRN zyT+Qco@-5B3sT0FeTGv*DxG8;68TFF)3ac!#b|!B-YdMNnZss>6Cn98FZlAg3udq; zTW_%+(iS_~s5;y7#=+nGMbGMpTOM(+xK-Kp-IgoZ*eO9FQEMr>szRWHXtix2N*LyE zckAxl$O0qZfWx$>BME;U@TE7BjdfM~7|LIstZ?BktF|q%1{$2l7h}sd)K44*&i#rh z`sqqJa;#m>7s^Uy>Z(e&+uqY(!mXp>!W;%%>ibOJw~Q5>o{-hgV@JE)gi&y~R%fG8 z`M#0(;JHvF{JT_%_7KXUVrVeD);^C!Y^xC|g7|=?WIxpI@UGMD$+4SX6LTQj=Z?+c zCq>RNM|i?gavA`vq7+o$!nm9W!HD0N{arAQ_kmy{0jT{#3XA7psJcaa%$WvDu?0^- zFD?f8!&3bRAS#A_R5Nq8;rq|~^2EeL(%e!rw-t4DqEnocZdpdgTi29u48JlKb;J7N z|6Cu8K;}wRmwCI{dBnHxg|?xxdP!SvfK4ERcJboRA;8y+CDBMhWFE+nSwD_cWJD{V zU=h2yR+{ZO2q1@PlMaLz<-ou+C!y)YMao`6Z7@%ry*db>bD#`)lC)Q`hK#B{l5~}+ zYiWYg$|z$9eBft+MXd$l(_s%!iZg%h7Jx8-c2{E&)X`&)BXQS6`gBTPgOYA@loT{D zuHCx79*g+)w=L_ersp{>!cpx`~+ zr-?WizlK>*{Ht#ppBeejysM1@6m+ppLfTT(_0i98G(om8p1I~&G`y8WG}=kGSG&k# zVUF+~q>>KTk*&%EcfDR8`^isxVQ$&5F> zFB;zvPpJK4s{=ULl6_;N{mS_1A3-E|)~x?vYHxveSt2sU&D>Mvx3{-~=-)S*Cg5py zbjjgrRusT}K#oO+vwj3L$R&8f*l07#~5jOJco^B0Le%ggBZ*@=b0rJBFH`{(}d?r7t5vgUG(aLqJy z9GDPY^JMdRmO#DwQ#@Q6OHdX{ko3?TxbcA*`Nv8#Zzau49-YjrBll9J&F1c%2IS^ zOImu0MdWn*yO@ajsjlqZ^aGC~#}QgDo_cf7MEgwZ9;Leb1C_KIVxFk1A!Wr6xW>F1 zY(8Ig$!gm_)ReC(E#K5^5Rw~BlqFHgzH5!^4KF9gJ^gVCccns)ns^y0>S$AUdP$KG zHZGYKJTVCggW+PnGYHPa26WEEhG@>((5g%1(YSz}vL!V-YUW>nh9oXw>F-wpBMS5W z+_1O;TtN7P-nttE-=K_V1*IsXzB%cRn=N}!S!}0t&F>@19|2w*-;4+-&%lS+3MMDXZHC%~>U8dn#OD-aE;-7qqrmA+kxHLxS#=S&oVCAPj5q z7mTksBv9*u#K{B^RId-dx=}RN*MHFWy+iU1x^kTYJXw=@@?)8F$y=VE{8cuWBUP1F zv(JL$&8)pfHRG2J9CAV*TN!t$v0JZAEIiGZVn-l6O835Ou8?1{rl~Y{3=ZdwOIO-O zhkxt*ei0hJC|)fppT@L#@H0MP;_N<4Ov0>;XJsaKl?3UQ(#)QaA5? zlRL#lHx;>)P!@Q_&WI?+*=C-O=I1W9sM&>m3Sh>b9GSj3guqTS>bB7zjjxS5g9)B> zYwDiMZr5Nj6ygW@=?P06vB?Ap6m?cc%I{j|`7)&Z4*sND*}Zbs9SLhzOw}F!FXu(> zy;_d4``0=LTqSI(ZPvt3LNQ)fPZo+f^cXK{HHgi#D9}RLML{Xcb7Mj1^V)WRu$j18 zfIX9rz06s-Lsp3NU2)VnBI%2OI)2>+er~~9^59SqyfYowlQ9jB;d}>De?xur* z8Ki#=+F<4&LwQ}Z8$`F}(A0mEaao*`6X1gKoT$9gp6cakt}Pi0vRMT+2jj0J%px6W zCnSA0uX7Wm!~BaBFEx}mL;={=LRQ2_rJXooqLk~-v5E35)gURnq;A?);+!UzQpCt& zTLb=-+)fGE@?JfxLtG&x5P%-JU)a7gD;lcvX|4oE$#lb~Y!U4*J>zq;sx|bF=3krN zim0jj`;AHIsX(UC+r6eZ$kh6)>`|t*AvPXfur)Pt@LeA1Ok~(hlUL5#6~4PPd=~sA zIBZ02l7DkhE*w4rkJ(i&{H5uxBVZDiXUx`+qKIB8B`INTv#gGfAHypREjLuM&1$3Y zD`kQ`{`t(Oc($002!DHy>LuF6_d^w8rBcqI-DTqo*Y*!x+nRap$18N<0YNEykJ2?2 zu4)^^f1;Fe%)xl*+FB1bYz@mt+je%#xOXSmHq@=(8;J@pCk|53XJs1G#!MRLu><1+r)v>NtG;zAQnr>2w9?<)Vb} zy#G;Ux2SZ9y~znh{h+begN1u(OEL~jj*1kh#u68TCRJ(4vg^Q0xY;^T$GcDdqo#Qq;8es_69hZt7mA-jBk6Wd*I z-LR~s>VfCxP$E`j5+aER&0->Sw|}TI8F^TjnRh?j7O6X(Rd4UGF#JlTC#P7fA5rs%`wY0+naJPj7s01`2Q!|FAv(0CTI`c6{nLP>)htD61 zsH{oz%SV|zp4M*@3{P`tf8Iz8@x!M+8F_q3yL=aIr6!se9%FTJwXZ+S*4C}1=w8}) zie{)+P&mM;rfvMK9NH`?b#E9|ZD^a9aGlEt!~}Qnt5njfwr8?kM@dEZ<)i+exbKC-gtE?;9>jhqc^(A}n=d@E_a%RI?25K%rT9#(q1ef?4?sp*+dtam} z=MYk^N|Lz15xU;&f?HzS#e)6MJhjvS*}F7xv}9+|_c^7XQj#;>k#_4YBUn zN2J;UvwoRULb`HP47Y7E>l^*Jdb+nQeu9{n?X zCGyi4>P!d~6Wh!l-vY6ry@~t1_rk!dFOJIy;U(zml8$wmatrjV%rB9Yb-ZJj!M5X( zH2v?M*czFY7Ce7}AC99#L=t5duLz-d_z{r__?oLX40Uwv_u zVd0Hc<4Y;2^0+To@2W-WnAP(TjXRpu(|e(_DlIL-@H?WnNJ%jU1YMN0mOK`Djw2G# z{WU!$sCOqF?PzU&Y5iNVZ2-Dmbq0T~ar`UTdVUndgW+DBHa;hyXGAR^wxr%h=)=#~ z{f4sD2C`PuHKQXSm3?+OEK>GUd^yOnf;%wy8l`Cg9cl8e^(QMD>e^~}1#x))-D%kExhylC7s+$Fr9VSpVL9!tX*6)GGbovF{jnKK{_A3aH;p?munG=`2T7k zSvgJ0bSUCsqKWtN8{cFD*UXSI18F4$`)ZOcZh*b0sr*i=Q-e7PkfmT19!8l3*FPXB zpOhPsT}HqSH?iWiuii+(;g63NNYxuMRw24c)V{RgE-&ZYW^8>99ywdwzkleKP9bxU zfX&<2dQIdNM?0}v)1t+(AK$kd&g`*FRXrX%K1XJ8>_SKR^15%D1lSYBd*Gg@zmZNl zV!&x`dP!OGpsD%ZZb!3cJhBGe#xKP+o2c+>9M-*LXxhmkbD*imnapzJvl|{RCn);| zScTkvjP}pX#}gOFa-KU5>Mt@2+L9|XRE{?i*+~LoUck{Ghdg=lxzlJJ)G=b~IyB?S zD>xavaRcse)5Hs$xyT?Fps9N}l)@||#pU=XzCDYo=Hh&_d`*uW+4wPC-mz@{l^VbH zjW4fEj;n4skyoc_r0;0f_Gp~G1XA;IpMTkZa1YJSxf>VJnG>OSV%UV%62x}1=B{kk z>TCXaB+=wtVC3U7OMZ%anq9ewmfLdEm>W_?jFCsti)RR@Y>(J(;*yxs4Pby->$3jH zpc-C>#3E%>xEThiNl=V5x)`>|nA?5OskU2Ba{ zbWxFC)u)$hoo(YC@L1nK-r9$wLMW&^Z@d2u2-oX(Vz+NEx_gItV7Hlw^Ja9P{}p%C z5?(4xgnhmA+#5Q+xXRr@>ae91Endd~27v9RhnTLt&dI9Nb1+Lix8GaLL40=~t;KaN zC4Q_nw(Tu;kMRRT+)4V?;i<#;$ynGtJPctdR=Z@q)B_j8Egg=CPqq;pm-K{%PiD@I zPbQ9w|HL^s&7dBQu3dUhu7{r*am0|QRN3<+D{;i)9TF{{T3?)Bb)o9D|LSK=otlq! zR^f}^>t3sJRC%tDzEpW1+xDLm{U%!-`)i=6s7G~G$&1wYgp5W<0i-+b2Iv0emgL~J zM5u7GWL-Rs932a`PP#g{Dsmr?2(1c?4uJ^<--)f%xgKX!&%G}=S;uSc!%XJ9;TOU| z=UAE06uV8dqL3=^bTDO4RfkY(`#;!FnS_4uCEmE8wlVQf3l4$mF)MXeqsmv3>aXQ$ zQ^37GY+j4<6jt)#ZSum}BNin#@=1@EmNT3!{OuOPp8tc`am2DD z95ORVcYsS}HjW;iJFLIk>wm6X-hgMbHEQ>T?BmOB+paoO*OPj z6=?fsU)Y?w!NMlbUtL=*(Q!ieY@YP^QJpa_IV1}ng%@}>ACh9<|HKFOyuU)rHmY&~hHb-#T7zNwUJ)TQOJYtOb85x|T^-1A(k5nGc?**D8ItxiKKgFU&_ys&2wU5*GosxfXa53J*P)*Q#+BdlZFK4#LTc*$l~0pzimEFX-C?`~isTHE@7X90L6`h#iShg>itz0h0rXg2u5 z{4N_KweeMxx^)}IYc>!3Cm$@S+{r=tI>8!2jF@F1EF3`Ay#I8k%EtJ}32MjGJ%BM% z=@aohzXOBht_i>41em&?>Wl16Dp6hcBpcgxKp_UY03`0S+#R`9s}}o*j|CZQ_%mp3 zc{^o+GK{xL#ePFC5Cc1E1GvZ7Z6L6P?srB+m4jpXyJ6Un8a(=MA4wR|SbQ{#KUH(hjC?!*>dxl%j#b zwRk`H9a8>e2*+GoOFm7_Y65M)h|#EE34Ec|sf#8d+YCu>^)m-6YvYHN`&3EPa9#Lr2EL zYo7~XUz!g;@udebdv}#fc0WA*tUs8>UK?OJdN9Pv6DIOCHtc4o2&%CW(4awcw_n0+HwRWiT_RE9KZ&dCEoJovZ=06A}68o zY0}pu8`^4d)!gwl7#CwP36 zMftOBKNO>^BfgYm^o9_hO20*lw6y0XdTQkO+;r)f+RVhIwW(3L7EZTPgPdKX@sUs$ z6(4}VyVT(l66GtCK?-!B4XjpW(SgD zn7f^4-+6a-lTZaM0I>HtGF*kZ8Y7A!?NlH|HX~Iv%;5jV=FcD|a4@)@Nll1R6@pb< zdw7_{FP)4^EAwS^2yXpKf+UO)C?22B{W0;|>76ZEZ-8&ZrL%oUF18nHUK z!`1chZ)c66x(t=ed!8i=fwEGrFmyd!Vf7~7*UxmDp~+=A8P?q+a%q;&L-V2YC^4gq zTm-go@o{JVi!CM%s8@%~~|H@OF-x`q7XV8jQhgOIfVhqT%B4^F9xV;gW;2Z+U{@xW3BO?X63` zoDQQrI&Mi-aXkqwTzB!l(t`|{<>$u2ie+0NjOEG2Wui3Ngn67^CN+2RX*&tjv3N4F R%SbJLOyvsRKL7v#|Nmj!SR()c literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp_merge.kpt b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cmp_merge.kpt new file mode 100644 index 0000000000000000000000000000000000000000..23a5cd1aa32e47832881509e188d9c4863953df7 GIT binary patch literal 209 zcmV;?051Qm4*>uG0001ZoTZUX3c^4Ph4(#0m;=^v9RygBkOv+j6CoD LoHDus?RAkBTcKN_ literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_ff_1200mv_0c_fast.hsd new file mode 100644 index 0000000000000000000000000000000000000000..d9c61ce22b0dae0a5878335bf5cb44144a7beaf4 GIT binary patch literal 746429 zcmY&4pG$0_L zI#}R;EfA3Hzryy9YM8tHvUYSJVqs!nW?&`~akI8FBjRLXB4TD{{8w3+{;{euL~_=y z=0xIV)~^3-Y4imCH-w%E?Ek1L$p6Uynm*J2>+=M@>Vu!Rx7NVmH~<0#Q;sE4o9fOE z1!W_&cj-@o~w!BX60R3SbnUN-{&Mvm&SXf;K!)4<6w2iCQ!I@X~*o zFIiZg*t_PzMy9mOL5>jWyl&s(m)vIPvGg(vgd(spPc>VoQ*C6mXBfgj+ihW;6KuF;Oy|3R7J1C*ZFz{ z;s|sLfba*c}p2s$PYZ8@#*katz+c%aa>XQW z6!YZN17$Abc5LnzvqqmUphfHT+_(P5v%mJmTYx~T7vGQX^SU{}W(VMm5cB-<(x?l# ze>T#-Y;1JC1)ROCJ~H-wEFQdL8hYQXoEmgLPkm$N>+11&+>-mZdldNH_`UX91VMIb z(Z{CHUma6lKcbf2dcB)hcmqabFfk=Y^E3^5JO9Fk`~Gm#y`L6rF*-s^=dms7C7uMc zy$>09o$roLzZ!P^Js8#JF3g}>ZtpVuV26s+AAag`VfSWen4I$i>_QqmQwI0-H1xmq zYu@yIc7ZeGj@xbfo|VOT2!0y~-jd%1SKRVH8VG7T%1+uvLeqE&u^KGc{Lqq|+ulcW zG47T5Dr}GR=W<76UUl|W*y8{4<@9B6gr!jd>R)1)9=pAQ0#cPgl zgR}3v2L93yJdO)`^+(Sq9I2y;fQn5^sE6`r8K7t?LtJ;0g9)U?&wB644Azp+y(;lk zlF)gWwPaL5eK+fh{Mb9Z)&O6zDB^QXUSGSng)<1F8?!Hx7e_PI`dC4vR(`_&nIM%w zH(qy_=-h1Ggx>Y)JKExRr9!mNg+PA+1vG0 zK@OMKOpV&#l|1^ZwvIOn^I^3Ft9|%ejU~eajE`-}3jm98AO5qSvTYx#`x3GKjeK zZ_;;st#-K8sBtH681@)gcWAd&e=(P~JZVJO`!?y>9D+LdxYESxErQFnZo1So_e0>{ zQ$EPQe2Xt^a(3VTY~M?`q3SD{jrI+t_2OlTM$}GghC4>_&d*xR5$nU#H-ZExb?rKBURQIW2Qyp`rffvxL*9yi+c~{3P`w&3pe1T^TvBUg$#D> zzxw=sy%Z;e?{gS9Tlx+mDk!)e7=Ipn-D|+$$MViBK%~+WRES*LgI2AbQxt5lsXSk* z?%~?>SaIkHDe*J(*!vM9%mmc&uGfs{?y#HOOnK-@-W<2BJFrmY_x=5P+~{@bNw{!N z3rU-QIyZG)DaWOK^0db4*0Jjb<#l8mH?PnLiL0o__L@-PH6zh;7{zZS@GQ!}t#8$t zb*)Q%SuYO5z24t&45OIO?O>7jxU0KMH7ULSeL|`}_)9rRHdJpe%?Zm$*2U(G#Rl;$@(4T437kFoVyqrF+}&oXNv4yK zXW`LZ$w)2W0eP}9&Z%ejR?Khn*)}(+At|{Q zRV<30KfTVQKWtcIman*g0W~B~&pp+v?vliC=Fz(86as`BuZ1sv5C4p5eAnf@jPBHv zzq@VQm3xl+Oot@?4y|{dHvd;zOEt9y{&3RBWcki-p8Hj~&N|+t zidgD0f>>`So4(ZFY;t&6JO!GlvCL8$3>>|(?_sAH?dvo!M=SaH%S4HzV6Y%r^&6GK zzujQg9Jz#R%Y8*s_n89saop38Pq6d`?!P2Jm13%*eX)3*;3FOZ-U>7+TB6SW{=D_S z2f<|O9Wu3@ZpPlbMh@SLDVEHbb z%BbpA+RBj!`dnl;Z@bV*qUDbvRx| zrSr9fCM^2Qd;xxn@k@fHaGEg{7J057-!2+)O;5-b<9*?RdkEl7g19A!zth`oej8S~ zB{W)j$iLoC#QX0K;-Cqru=;W=g=#u&5ph1%L5ESs4v$dAb_FL$l0@5S2;<|afm6e_ z=qqlSDm)A1*4d$^872%kE-CohH?{TER<{c&L=VG7j$lu;L>u2ap74x!XCP9*2ZMs@ zacH*EX8<+grVhQq0IV}jO2IhNu8STp>fT|ePd*9Tbon}1xq!%I zw-0MQ7HbgczjyuQ&vX*W(vJ_8R@E4pwGl_PbQzRh$}GW!{oqER4p!aTr`3JbrxkXc z!Us#Ugp3hAv~kL`z*yWh@psy)qh$YfbX8>dj(#1CWhw;l?nNVn{5@YIDGx&=LKKCc2EZTMu{Q#{_4NSyvkb}q=OzGo%n0I?%)6dD*Oe=PX;X8B0Oo;-3`bP z{SrUjl^a$vtZqRLUz@SF4JUI~n5Q)~>G?&7FscH}e?J)5g*#b`k>q}8@#9!$e&CKa ziZl=Ec*Og47~&1n*ni)8R@`EB>-mCs{OEJ_GZ$|VDZ=%4ur%leQ*o@LWev4eEx_^o~BN$e#IH#EDwz@>!xl>L-q*Nec+4Qwy&KwsYzFl>= zgu((7A~}6xQ8Q{*!g-X-8Axt@GoI=v1w@|wA?B~#s5;CVD12f?nIOXZhcNay^XwKZ zBbW-Q*~m-wB%DcaC^@BMFcQ?G2o%ax#0r)qWfic%pEm=%8W%chkhPpnj${%A<6;tL zey6g8@vO{|1qDl!V^x0M@IO(I$lQlOIe14n5m#r4-lUWSu7V%Ow{O0f<--nvuoEWI z-5uTV+ac9cKsHK6l7oeKG)-ir+qYo;K}gDTMq(7DZYt39TgVo0fk;`27qQ6cRll`) ziuGBExeJj=%7vMrLC9&Dc^27KGBt+Cds$^VOO{BHlPPhG2ZZq?p^#q}17)~Fd&-&E zMM`C;jj*6$CXy#eqnX%cIuQ4{raf47Gpns0CuGwM+(fCH@m2=nKcBzzrmYWB4D!cD+* z-@74V#jfO^v)E!C*&Zz}-O)rOQ-KpF76wm?^+Ule%bgXY(R^T>kohT0aNaJHo{@G_ zq3;wZq^B5TKy$>sl~9ir2-d9s^{dD5Uit zC?`%Lm}R{Z2Zqv6ia9!m3Rq&)RJ9_r5?+)h5UQy+JwGvNS8?A46s?l|M*gFB7S8e{UD9?-biq!WbR_%$!THR6r#;{ z&*f$9qR`4{J=*ZLstEhizsw-ZTOe@VLOvU2<6?5km0fA*Y=Pa|>q+>YwO~~>;vd_9 zM;pL{ecR5^*Jg#WH#D9=Qc88Z2u@S7sg^(3&ylmO6&jAVogm}DmPvu$#=gvO_uq4k za87CZ(a5It)>YZjNz*Kuv82;iIqgL}^5!v{4#v*N(j?IspCJ$%>!5v$W{eT?J^6zxtbrbeIz1U>B` z#|+J6L9c5O3i+R*geTI4{DJ{AB5_|;0S>WV(hQ3z8>W-*8PGY7cq=Y>H6;_)MCUDp zP=ge=Y-crIZllUmAStBlU*;Et_}UbSRFS_JNmIV{Ew*>gPVniDZ*($*hHLx1nywgS zYlk?#TjO6NoP2IG?2-F8(L3{R*Xg7_NyW=oOm8hlMKFN+)N$$v99pjg9epMc<^v1$ zMqCA~x}%VvE-P}vr#W%j_d*{AoymlQJXB>1b0%Ef=HKa&O3bf%*mgT|aVgxi&( z^;!waM@5lpPvcQwu{TZSr7<9i+d&brr=j$?@3)mP;D6O&WrGCoD2%CHAu0ZnZpTy@ zeTt34bdV~J?>Gm4`G9sE3OwW)KBx*_#!7VkNLfmDm)#|NBXs1e$-9kbDMU9|kgs!< zqOtKFT~; zMK-S_jvuP33VbOj8*ufAN+6|8^c9r(c%&nbl z0Gf&e&gqPDxTQ^=3$=&!S^sI~<&VzY5b5qApG3Rs%y@nFd#Jw$LZ^Y>AiEkXVhz^H z3^|@Hx~+v1ZTImtZU9^sfbebM^>2ajXHGr)D!uX@NGwEbDUd8*hD>IWg+>xR73(&0 zn8uKw0#!m2lExYXQ+C;QD=G{tU8tzw)!<iO3&$EkKpIl~9 zT<4Y60>%tdXYvb97&Z>T9n!KHsa{TfNmOY??2}bw|Cic5m`Dr0a+YyX>0(9yjxlHV zM5;}Aa(!T>($AH$JstmK$xPzMvU%)$0yU~yw`sk8nfF)fK$O&Z7N!9|Bj3&@z3tQH zTV8zy>98VofZ_M(-@V&U-uka9M_Vv~E|(Ggy%HLZj7N9&)R_g@ZJP)@x5>J89b0lS zt7@PMNwd+E+h~Wj5Q4rljV*+ErDL3xc?B-fhaQ*F2tdftZ7hn-(ec}FFahBt8Hp1h z>htd8@DoI;AjNR?aY<=3LZyh=ILf<8;fP|crYjyqPc-8+$&OPDnC2OQ!l$x_52w6) z@H8z_;-qPnjRh*gsE`^Ro#0<>20yMedVnnr(0gMW^0u)q1a5e;Wm#Hq)TuwmS;O@X zzv2`3Y|DGUhe{n;R@fftx|!bnAVT*m_YhQ;6oQVb(oY%YQ$DYyAndsaC#@zSX~Iqp z!5^2LD@c7#Mo5zBE;5Od7ELJg=g4nQ4};3|z4&g1#fo=^Q?=>#Zjsx0tFzcdr73DS zeq7AnsOx{!1I`0JCop+5HP21;`X)$fhn1LwQML5bW^`)PR>jMeYko=4ySvwA_tR8Z zHLk{EwXGLv%!G|tsZW-UdXMU9jF5l6=0xe(Wo0Ayk}0r_l+)7de))&i;2vY#Dl295Tz7Z< zDe+bJT5Jl2t|uj2ib;5b&K-VFHyBd$(&csm{-eWT@_REK_R~{U7hW*$4lDOQGyycf z(RWc2YghDf$!l2gerd(=XHxLX6k%aCXzWWZJ8F;?C{ts)hDDIQA#fRILXYTC)p~pc z=15m#SWb@K8yrMXKjFfL+`n7cziR!C>7xa^PN&%!J@tvkTx%Yx#ylmeKqLqCCB%27 zxH6p?r$J~%;8n?L3mH{V+&C=wO$4$Q`E>TnHLMoM8@w=rhGv%%lfG*galI4^@2<7b z#nL}Dt3c*hxtn``;Ln=ZNkz(gd3nYr`P{_cPs6X1zu(XuDa-jS^A$HmKrtM-C0)Hia%7oBc)_71dJUmF1T1Oy<8F$W zY9yuq#4~9s6Ih5Q_w_bWtc5<>%G6GSDp(st$*y1#UmK75!rl=K0U8*QNX~R48P%Rw zSk9Ikr2zOv`ve6K9MWgxozGE{IFxbmCugCnV(f)JL!#}gOecvUa@)5_o-hQ> zD$)peL347y;|AZ$@xRr&(%yOWob=O3xffEzxl^B5qW14H2j#XLY=uMp7cz5!50N+y z7jiDFss4ouSY6aZ?K2dkk*Mgu%Db%h79LSOr&4aSPs-2ew@?&*sj6WGJ|{`YZKJ_; z3n}c&;5he>$Rkf8#p2U7gg^eyA?%{Yp|(Dq>7!^q*(j-nO-&xkp+3BH?x#J5IKan0 z?wL}E35b?sWZIbK|MAwiN>Tb@p;4<1aNM%oRU4|A@n@d(qa^8~kJT~(S~#6!WbGaV zP)T!HzRdu@3Jl{B*x6LS`8_8c*(`uaS=w*RNU3bF=j%He9Qd6}om134VTpnMZQVyn zG2@ubjjFmdg5=M9`|6yQs*}EAjo`dr2Db(u(F*4&cf1Dg^LyUUDK_wg;;h*iM(bnx z;!z5&awvvXzYl0-T*E-PND!y7kZulW*+sxNmq2hl{?j3A+)>IrR#Lqnk80{bDLa8J zCUc-iv$v|IWG&}x2&F0K*i1vgnH42a^{eFI1S*8_0*B7WQ#DnHM4Dh)-O|4xZpLzw zRyVY&6uWh83R2}|P$kH$*{tw&#vp*vz-|n7+l?xK6*fMtZ4Z0|y*8X!SV@Pf)@l%N zGFr>JYIjoTa3RVbo;tS%CJ{of<=O=H_fLc(bv$G%at`a9Vrbq{m0-o1gI0S zN6@LlV;SR8!3J3ANvWtbJu&oPwab#i#^yCYH>m(y1EA*dK~gc6T(pu3G=WoaocO!= z&}QI-xxZ2it-ZdbR*nV&Dqr;N)0KqORK@V|`b!X9*a9jq${j$5rVmL1_7JaUw0%qM zi_5E-%B00CgzAIsujDk$dBq~g?#MZQC=f0%S0?m>(LkR-aAalqK}3d3ulkl-QVnxX zKk>HA+e}c4@6ETTvbM^5JB8Rj%T^1wIZdQ%R15h6h!GC=P01@3qYh8^U<}Q7g9`=% z`hxYG>1CEZpUZS^!{F$1r~QUZ-J>PCeS3o0J0-Y&ng0u|BAy<4|IV>FXJ+dWtn%Ui zmlnaR+frAz^3!p{lw8>KUw4g!EcT#|FIM;45jXU4ua~snCQpd>a3*x4a;-w_nf#^9 zo4R(vbdo$DU)b(FCf|~p%1w~wzKbw(JGyN22WGiBhg;Z`xvcvfhagu=T$a1=dQ5cNy==8Z z&3FJAF0EJCO%T!MJz6N%CJ$!!1j2t<(?+VzIlw3hn zTw1KvYJ=?WTFZrl*1*e1-E@I$(S(YVTCmad1>@$_M4lI8$42^FI@WRNS+wqasyE}EMxOH2C8Dx%J zKLVM6kC>^g5M%Q@kM#eAk-tXDxA)j(_*CFWL^c<*=ZW@_rOzWRDyYlv1u3r(1t87R z1XFeJCNP<oEgmE3w+kv}A& z?o-7G+Dv2aZ_6xy(+WaB3z^^CG$ZdyEFdgSm#NwxxrNzk`u4Ni@herae!)^*TK5z) z`ia#D_S?^|wB?*wT##oVTr}F>)t@*i0s|N)9V9fG$!I>V?Vy=@0n(>T;-5LF^$+2} z%KY48q;>$LNX7^6oB5=oOAR^CfDwe%6ZX9$Uj<*WzwM|zL;!Bj+7l44EPRD4=fxgX zD&%KF^yRR_ml3E2bQKP{FF7Pnb^g3@k*7k*#1<%iN&cOQZih92NbA7gEo{~`i`}e zJsnd&zb$C#AmWo$NG9@6mFXn~OhQj>6aOYV4J^plx2TeSb79IJEknyylZ#}awF zEQJttO`7kuA&=A|v%bD#yDDOVARRrLuY7)W?xM9y%KfBbbqm3Lxojr#fG$)`x=?PS z?(^;A?cgL`D1fZ3%+6tX)BJ@E{+CZ8q}XQYjwBYc>ygC@uK$fR;m;M&aYhnec!{as z{WrdsF;v=2F!x_Z>2?4#oQOI@AN3^h+o``%H~{~_OFQ!*UVwhf?-SL}D7)jv2$8UY zI%9jZrM?Hq_Gx0e3P}%Pb-Z#6Kg?tOY}QOVi*Fc2;O?TB!>;QU*rRZ}djZJxWf{+# zR_%#aw%@h@WLb1;%~_|HuL zGeo~V%l?n_%RA<@muw4GYD#*a4nXRHNi$QLY@8M)TsnU8Z_b&69DwP?J`2jBPYc6} zk?)9+kLd{djHw%b9FgwtCkgqceyo8B2`l+cBd?O;xYTywK^w-6^^F@u8M4?zb{X@9 zmmQ*@mL{u|geu8z{A~nkUW$uTX7DMUUS+E`xf{7DbQek7DI+h`0hl$mAfrf;R?bq{ z(%3G@Ahk;I3-7Ar6GT>TNuqrvVyUsKMEK1=`AAL|l_OX9zF<)gMcn-?UXPmcIt%FZ ze|hZjY_Jz3Co;F*8R1<_8&dF?Zy;}fZ7$KM)^`yRq3Xixzp|ogl#Mn zH~&L4WKMQOpar=IsUlT(S%-HdV=!|7dkq~Lw4dx0Jm&4)9XW%SnwDH*3p3P!@`PE$ zD5IH?+t@nO5Y=r*!$8>;Og~3c?J4EOp&Rcjh_ZuDxO=nIC<@XL)s9lTQ}Z9!4I=PC zncpw8=^nG^U1~Q=f`g&Eo%u#siPsMFWuYC3tRctc$af92fPn1v&=7S-z0jy8!qNm{ z>_GA$GS~WMQBSmmxK##0dJ;*Rj;(=SLqTxdR_@tJM637;%7LJXqIiUI;#dY)wULZ; zM+0lKN+g7AuZZxqwMn(nPLEA5j*TFraH{gOiWk9F^KGVm@s(te1Kx}>(yhc-1 zr<@0f;utwG4iK-z1ib7NJQMz)N8DR;!#|>B9N<`OH;V9J<-#GE(N)qo6F~Grw-TtH9v4dJpX(SP7>hj+kQg!L0ZswPldmD$&i>{-BY{QGF z=}!reti;H4UL}H2h6WO|ktTy;8xL%>#M0?Eg0_XTv9G|AhQgQnhwyJIwU`gL=Z_BK?%mo!GC@ZFEgw_v82N1?wGc1pK`$t8t90E0 zAAJgtToPnju9G>y^6bqQtvS-G}?neim3Hm-4e5(ln3=>?bc)4)H zHI{@EuIy99RZvWeV9tHG92VD2HtVL2+DXLXbPfZpi4h%Fm4qE>x1n-qwkFO?xY33q z(u8I7LpaEQlfy_IrDa3w)>WqTmM(~htkRq4xh8{5FBzCNCkDC}S4mI7Cx*N`B!`7= zk_hn+No+8z)dr?eC}NBsn9X;bR!A?5(MGsQ-RsLXojX>*ng#sJk#?!x+CB>q4yF|$j20|S=*?_%=AE1P6Ta?l4!6cPaDek>Rz2Y z^LGBUcGBFY#?9!q_$HcDVX!8~JifWoIeev~a~ z1(r7T%(}4J8F~AG$l_cd>fEtf_EjCPj=|ZQYTZPb7i^Slpwv)jO__GdN*HhLRDg>3 zTR0wu*<+iha?scc;*}I%=&8)Le_XkgRcXEt66R~>d+HReAPKUr>46^llTCH-Py62c z*V@2}sKy72PQl_X4pkv5?mg9S^khGo24j0C$3iCMhpkb6yZ@i|$9fhXa5A%gXaP3~ zXx-fyGW7NT0KZ3zT${4g-ktCnPqr z7FU|r`v6Zg2WU+s-s--D!2A$0v^ZHtOmRqS%!KR}?No?}b&6;2Eq#`!nJegHwfTYX zuz7g;N^fTrJw(B-DL#48z3GJRPisOMVk%q_{H&VyKZbIY@^p{OBK>Hx$A{D&KhH8YM5;jK!6jB<0m6TVkEuX>Wl0g2?z1jJTD~ z&F}=+y)^KV>n^}*IzUgAT>DUyEwKR(Q5>R2+C2#R1lh>q>NGozK80Z5o8j?0qul4D z$(9?D6Ky6cyl*tQy<*cv+CA|>svo&Sw0F( zY{`Am6tB;6VW|>Bk5z?GB9uUsaacFS>?zeB;S#ZY{F*GF#paE)b4 zna&kSTj5#Y?-?aylPUyHwGONRJ^-m9QTWs#Xsv~s#p-&~9K+XYJ?es%{U;EkbX68I z)v#p05M2o!WT?|t1z8)_MdnWS3VY_+90+?Byp%Bqdvm`A93kGe!- zhmuU^KObJE27Q|V*V*gMz+UO3B&sH|Qj7#_ zwjY5lzJ-ym{n7si?~>&kxPVR2a}n8;dwXs<5(}=B$qDxyF3w$hZ!4GH5l;zU9u=0A z3O$WTMml$IEf>oqB*#>twnJ*A964P}&lS=S&7tQewx{^|&~_mieN`4EF&tQ@yAK-O zT9~U5@qM=5xY;U5^hO`U`saop>?{l36oX3aj4DwX0P53tv1%b38n`7!zz!GfTnP|+ zq;qQ7A#EP|$F0xIn0<`&xb{)HH%gWSnJk~A#@FAS?iW7-tW8hrPcOaIEW@NWh2}Ch2Ih?6vBX zGF9q;!%JtDDP|gy4giya1;>0aAmyS`Eg|trUa%*BgDba1SilA4<}EEmDXbNY{9*Pw z$2?AdilW zm?q2q{y^Sa42qdGa4%MCY3x$yrLQiGvlNsF*jAeUA$%1^U7Q#srJt3hXk1|GMQAhbW(Xq)S7h#@@ zMJlhs8bfk@A}1GV`V-rDxvbl#+aOyVp-9g_QWM^Lv9TeE7Pi>D1wHEDj=Z2D`6!@F z0rFyyt)nLvhBV)eBC`wa&VU^KY!~{8-sq-=7A~)h=4<1Ij4qS9&`9+G!;k#9eb7lq z*_;W!tx`-AnU_a_*Nbv;=3NZOxWE#sg`lQW2*)`@ zAf7U6%d0L#99EvBKziozwI7Qog~6#<OI=y)3>-TeWUKTn9cB)puY&ZK}6l8i)GwiaXi zFgJW_t;@!GQg~ZnABIMT<%i#_BHpXfT@2e!tY!L+OZl!ojGdK=dm4A=T=DMS z%HCngSGQIt2tFL~YSeOBN@{q#NY15hw^7gc!+4LY(9`ppa*Zm@5w(j!0XfjXx`U55(0Qu{MkiB< zQz~2W|MDKT?4x3Ycj`KBHv%2Hgx`BER#O(P7r2m2us|eyDZWjFr$pj&HTxHggP~bQ z8Z+quiw{f{!=|DknIRCk2H%gWWu1(a>#)JapsJi7Q)7e|5Z%{jN1h)~O%8qbvVrBT z%%*k4S82>g0C6ZT9AdFR?1cgf#6oQYmuOM7?qCMKsnmiECLA8h?tlUVqAI`^axq8d zf@#ES6rVQ&zHY722Fq-FhQ3$SVBNn_TLJoVHgFxwAkf4rrim~LPzl{r*3*$98(ftL z!^v4yp^6@2Lvf@huS*57tGBLW3x=0DEqD5H8-{T1Yf@c=$g9Rea$P6J^y&292Lbri zHnLOup7#JjA1pneRxk~6;b}Tz)!Wm9W0^+W`}zNO7Qah23ZHMI%?((r6Y=<655c#n zqOUr1`Zo`H4j&lT&nYUU{d_$=x{&4b)pf^|ScQ}g_W!KnvjKyS%)7&*X|2O32c}^` zr*E?#G~0^G0ZMh^VoA2{w9IHVM; z*TF?_Av0a%pLhydiO~{TN?cpi_h4lk&55U>KwA)A%8Qm%M{!se z#C&Kr4`|;zamobtjS}R3+@kcI$oFOKYhd3urS4$)a?5Q^~6+ugq{f=stD6=G= z=#R-z)+b8^lV6l+4m|MH)+v(EzeoU68U>KJ9}cb5EEhnF-z%IWlJ9QO@IC`FwY)!3 z&+?Q7Mb1luEVY&Ih$P?cQf0d0v*5cIO0OjsVyl1U$mqSqP-2+ zIDzU{%hPC>Nzp3;73*>AQ>7&V@uuczJo6-$!z6*WlGm|qgJzF}naL7kWL1J2)plV? zd?O{$!Lk^Y>7ZDouU${jtpRjW(vDuqnb|Rp@=>6lEr+qr&Dm4hZHqbnXgR;5Sc2-X@9q zU=8kCo1xR*ulLI(9SG^PFrzMVMIxGJh*|LG`g_-qUx*r*x!o08Jm$ps#`%`ImI9@) z3exrvW^ut3-oR0R@4b(=x=1cp)Xcuq7{BWid^U8=tU&tDp~&$GsgiDxgX2cI-i;-=3A}VPpPf4{t6g?++33Y3hGjtTszo>Cn81EFJGx$Q>8u*}H zPz^qlX7m_d&^+J&DLn(&*4*(Q^P_)L(s^b|UL>ZDh-t#3>8z8fWHLq?`dkcWRUtF) z5k;rD`=u7ehb1liNn-yHNRm`0Ql^+kLR~pQ5x&+aoYj7UV@d|DDM1Ten8uFfE-H0n z%Nas~BR3xwD%92XQXiuG_2STGQr-gQtdz|lNC4>PAe&(js*!>=mF6zni*wqO>C#=K z99_kMU`0%2o)OQL>ym5zV`Z7(T<_mQTbG3fn{H5|WyQf;D}sS^j1M?j%#`eE(A99r z_nNmGgRRl}^WU+UWAa+}M!v!NYQTZ*GVIp2PKz1`crgJRP`>;5Sx< zw83tCB@Pbh*FSWQ@*yPgoY2Wf$96(|<#tt4L(rp_Wgl`ul&8YI_CBissW2$v6Ey+9 zAfc@w-j0vOjE6$>t13Pxm4Jx#2fsR%fY@%(p}@jsdb^c?)~tNqki*AjV)L543T?1i z2BBs)0s&sRlsK|uH8UA>l6e3Q0v}4K;AZpZElNkZxUbIuM-OCJt^R|1tZY%GS0#<9 zp3ec8UIblJCa!WCw}o5FiQfDX5$Dg)?;L7|butZeL*T^7cOVpb0Wy6-EE-H_{80Px zLf9w}eHq}0rt|~o_CMA1&%;x@eP-izSjo7VGBea4RN&Esry_e-pIWd+U;pyM1vrdK zETneJ{)&B%WL|bd0z<;vL)wEB0!lsPcos$yf^)+M10GRY`^O@<;fny5Dy}d2*ws**n{nwR0RkOGpm2LIQ)jf?GrqcHF7wUptt!8 z0UR2h__bUvu>`bQ5ya3YTDNTv+M*OAZg z$eEuI+C%8g`v!UO?`joDkJ(Y!qxs&cB#yzwe4N^VTeT2_m-oGI(uCU&hAn-<`21um z-rAkfa`6^xCkp6wdw4_4c^bR>KO9o|>(OVxMZwnr<#I2?8N=mIl`{rAtcmb(&J;qL zR%JOYpiLWGfylvy#WX4mhq$=}8Equyyo~qPgtyvug#nadZ>e*zQwuNwO}S(>>jad? z9lLEL>L4{!9~((lKJqFJ;LLp%0V66=ZVn2#cN4~Rx5>#ubRwZ0g=7@XpK8SS<#Skj zdES-t&LsrJ>->(ftcx8(hXCn~nMG=F_#WsCaV`}FmOgcBg+PcUJ}MpX4vlY~FqK8n zLTA%c$&m)iy$fZOUV_PWA-DF{{(t|<@PoPa)5YWZdEl6jHHCka1i;Lc58I}AfK5Eb zsEPgktS5>Y;w4g>OiL*fsG0ps@a6|{35OiuHBDu)1?*;an?zAS*DG(ARKgjzS}Hv* zSGXAYd^clMMt}gno_yyBF%wC9flK|Qio;`th7aS38$b}7 zzqKX__ftTC7I1(COe?gq$MBcML*H1r0W*oHx*F}0cy2dW9QWqdAR z{^pL^REH!JFKDR@xo~rNO#6lL{FrfEs0`aG6nYB4$)5*)jAF3P(9DCEYyHBc3jov= z_$(jsgEd7K2`#G(;F%!H?*fpPiL!eklOPt3_@US$DQ-&+;KT})@Q);#b!yl@_Pc-C zk4e@4(zsMNZ`%#J1l731opAX2bNdk_U}Uud#NMO)YIErw%(ze{#kS~D2}T%;1nd}h zG)zHz?2SsfeFz&SKWY1Zft= z`3n*W*n1iTjkte+-?J8{&RC9S%^dR#dVQCLcM)SNgVZ3AnH(+_+1!_Zi(F{lB-n0? zLh*6g$rePW9aGDm8lVpA>7^&C?L5;$9pEL98DU&XO;ty;8h++-5aVxPP13@1ttnyk zQ}S!(X3sU;iC+MzzOZxl@;h<4-8w9>o=Z2X9#a89@VL$Ac{t#mkl%}5J041$$-s!Y zFt-j~Fw^^b9id+yj_j4b0-xcJ;*D)+ATn*d4Pt#&?_veTEBjE0`q!ji98yz4a%i6^ zhj2f(GkZqvAb-590f@}rZ`%}T)icajor`Y|{FuYC(-e^b@+P&5w^!~tBn5J3HeHE> zA`8%q5QbF99{5ta@eihoO?WAJBp)fw1xF$7P4Y-xeycRh1P^>9@5{L>9!9Ljc(&Qx z=UUuJ539CAn`z|2f|@ERATkN9H?OfkQCxt~+-eF5-UMUhiYXRA>ezII6@#)g>BIq{ zl(ZH=CRXIY(5bsfn=mCqkMS>P6=WU#=_#;HQ8iv`#y<9LKI#)HzS?#>b$Aa2d%&L# zwy_7&PDkfpG(Dt@#y8!=`c@BSIz_`Z!mH8uq7SjRyU`OI>bC#m z;M0IRLkTH}x4u|rwscu(MII~lnVFI`;6qO%Me9yKtwa6aPhcfc2t@l$bEDBm3$WSE}Ny>y1hn2{9Fz*5XF+r`;d$s zvih4NB1FNdgyU~0l;GhX#YgVSf+>6ddi4f5ggrDq6yeZ_GB{*{_{9^&o?qnN+Kd@Y z#t8$Q9Do0%j!Nvm@v-wu7s>{az8nKy2cD-|f>LDu9{|xnF25}lL!Fl@3!xZIPfVh) z3N4ya0%=BwOju&T=pNd!vpIQ6C8VuogoqOn`psfAYjzD!g!1_HG#m#fkr7dMQ(c-q zqHt0pT&@{U0^jSwoSPA;liAd}Wv6A^P-BMu+|$(b_81`m?^^S7zgw03UY}Y}YGrDa zUqIzMNl8LY28_6~G4ObllNL(!NM~OTy32s(Yvxt}W})15y*?mJj@ohgDwUHO<(3bI zp++PJj3@;jYuCBzv5nhhByeH`@`<3on52 z`@)yEh_N*zCU#r-GRg+Dd^xh)0qjd-@*Q}2Gh$@702&iyfVI`FO4`sR>~;;FsGNR{ zGxA+0cDn_y*SS#x49J1>d=k6u5q%I!1no+}AD=w2TYT75?ZLvgJu?FGnDOlZIL(SS zq_jFUVazBEow5V!za2BapB;LPO3ex68eCR1Ov6uM*Df|GnL? zz!0H~D1fe+LOvp;osH;4A&&I_#-*WgBeQ=tLsMY;$#)4~DrlA-rR^Z+N!XVXcRsan zEF%Pf5e6y=Q8iCQvJ*xe&wvvI@d!T_AfMz^PAJ-l-wm20@wR)Kfh{H7XhwOkoq59X zAn6c}NREjNsS)BwfER}2Q5ZcaC1H`%9BRrV-K!w2w4<|k_r+G{{mH0q~p;BH! z;)9A>H~52Hy^hHc*M+7vr-1#saqe4o%zpidZ|Qx^PIWjJC^^k9ah(N99>Y|JKl+v5 z^`HOSEyptxNtYiYMrig1gJ!x4IF)cCMALW#h)9Sk;OdYphYA+45)R9y*b1nI&B6z; zDxAI{QH;_hW(95EPL_Z8#rf8x*l5C6e`dMxe^ z7X`bVAZ&xo#x^bxLk$SFv*a2G(c0x^j&&D0QinyW*q?VG}_x zS)>d#l0YemyQL;wpp@z`g|gpw+V~C+pJkU01cLewz8MCB2G*x)C}SW9j|ed`QX+bj zgJrXLujz1=A6`6kz($9H=f!j_`_%)}8Bs=Kze+pJishI^>Ul!>!zgpsRXf=t>EQ_d z8Q^?{0B1z#Ls$`x7Cc=`kV2@2{b3%aOWZui|0p&ymod*9eu^=F?MJ`&9q(|)tQ>x< z@IjGNg%1_9WJQ~fq!IeEhqO0@poI`6xw0~)5qcv;t*KHAb0f71E?4-_nN!Mav9xmA zBOoe#+ip#+L(LF%wwh~<3Lh#9^JY-^e>04#Yr2UG_w<0;%SzalL|wmYH*pyiq|Y4A zb9nkkg+0Y5ZnwQf#~G0xs2NsTi20DAvH&8H5NraS8c;S*S!1B|$^ulj_oX8##vV~d zbsXhKiJy?JFQwg>jX8_mj#XVUr|{QeD=TN4tP-FcG6JK@Ukcb5842e1|Hh&NjC7`Dp>{u|DrIwZP5W4Pv_bvbLfBAxUd{N$PEVXcI+NTWR z5%h%K#Ep^^bzN_GxJ6sRhA=8qD1*Y1fCN2RPp2{&G}8#G8ER+5EoxXcBL?AzrpeYB zakU;PoJrTMoe_^)?`?rs6M46U?J!a zOux5FWAtm{?Mi$#;{=^i;4hs+5&l#Xfn4_iWIKlw$(lG%n~vt*Bn-5-4MRM0@1_#n~T9 zmRHSR1@%dw9XVIdvywVxM-wqz()yMX+(^=g)ob2fzLAIO|p0$yjf(q?q1;RsmqWTd>wP z$QS(-sId?C1PS}~PQi%gr)a!L%z38&7;wmvHRgmdY&ZY_A+{0w-6~si!stcnSM=Zr z2ilLiLMAWLAx~HGA|du^?xs;Y;_O8N%T+HD(jtVV`86gl(f}k0o)7&vbSIJd1xg1> zz&C`#_{yXat!SU5u->GQ# z;`>2PpWYGj$O~4~?&v5xS6*7ovWsQN*zgXULKEs)R(5Zuk`hGwY(zp*B{NS{*}J5+ zi5|6JK-nv_vS-17vU9n#aJf-zHRI_M>3Zk#Xs6|UNPnG>y~m{+^ESeo7t|$k8;xrd zbs2ZrI|r$-C+BUHFZ~GH9T|3Wx%#}}Tcd~U;f&E>5eQNsO9S3qN~HLH;g0l zjoGb>4|XJuT%%$MeJnI*Z^98lw|TinYjAFcE~X{P#c7yfAY8;a(p}9APiD^ zBq~BL~F`_lVS`%;*{9(mlEMqhgt$xL(pF}aOmxt5%*L|s&&&)Ux z+dd){0lP+4>ldqS*RPNcNcrxBR0NC=fO+d22Y7!&7$aJ6tg#s#8#ym!#7nED!1o2K z7v>#g_NWj`O5-mT!hxUK!}r)sp3?Y{H^=4&Oj1~lUXEa#?S`PxXiJ0L77Z2Bn6pi> zAiO84rnnMtEJhZ_d3`lXK6}=#-m`YPTrZ#W5ux$WhSj{`TbV?|>M#AtKYZ&$Zdhrx zEXymhGIVIN#CSfTnR~W0PDS;EpK3QgG~t6ojqb8N5^gmB;q~f-pBkYd1oo?4=`7-e zG^}iDAg!1M#HrD2vzeNPl@Y@BK_M2h@_k3pRk}vur#7|LcLHn{T{GL85q??-ODRDx zKiodNOKC*mr-cw!A_F<(k{jTkGNHK3>>?3U(A;L^RgE5`^3yVAkt1yGxnUM`n0z+x zQ}e;i8{HqfqN*js4g2R-%@NjDO&h1X=r3_ZHG|5oO=!PUH2ybI1&F|e+vyAuxO#{{ z2qlD73@?+12x$m|b+3I`bfwyDE*RxxLmd~ii8Tr<)%mAz0O*X`kdYv{su|U!}u*5Ru zsp>GAvH@-ttM+nn$Ev-u*eh?BD#p(01r<PLRdC%oxR=msa*6XF|bol|Hr430ye(?k!6?3|MJyddo1u}LnKQnR=CUeKJE zMQ`A9LQ(bBpOc(ZaEyuLhj}jTh|xKPGPI?p_!2pE&D6;`g@FVm)vsz)K(7j%EIOx9 zBTunhD9ck)2aC-H!sMI+xEPNIUtotm$IaBqIaRuFky1F`DK00vWt4y21@5VAp$dil zEu^MAxLeUrcORrQy}Qo*u&M2!YyiVU;cNuqE8{e$5r5^6&41$YN1^dyIZ9AQjq%wp z1&)8Kt3_|PK;(K-+=Yh7XWCql)*uR*-^iU zLJVC|#$$$0d4Y^2x_IdoT@iGbXAj@<0;^1M*(f1t^{4A6D%{GP2S9odJP8rHSy|P4 zrwm{70+30zWW}e4?5^zX#8V2N^8z&wkN_)`QuHxg=TWiDS0~@oMg2%G?%GF+zlDz! zdj)t6iujRY*FYfL3?C^@v5%CSkHbg$bSsYQS9*sF7G#@=h ztZA9RP!$=j!&9mnuThFP7V~G7^&lvJ2!??3deevg{m=WDk8}M7K0dIh%LLWATS22 zPz@P(MTuCkvMLC(D_0}8V~dJN&6`xAZx4Qt#07cq9kC;E_M-w?mAz#| zTuy*Clqw44Dw4B6uHx8)v7R}F&vgCHx0L#Z`ydz_Xn%pwY`WQ~1P>Ai?Z6WQrr}9s z6Oyf<&)s?_LE%Y6U3a^1DGcoMGgx?%#K-kU5le}mBp!Mf!2Fc3|9WGsUx2#=ovXb8Je1)ZM_ zYtK*PE`s<)&SeiUxp0OK@Uv_<1PcSKy_BZeqt?SAV;N;8O5xXz6=3s-(O53%G-#n@ zWDTJRgK{&l(vk0^HZV%r#1W73q-r$4@(dY0*i&;s_=U2HYANs>`{(>5QC22&_d(yZ zOu^6w6zAs4!LYSK?Y9|RcI}w`PSN<^NHxY&Ky}j;&FoBAz_H8+2`;i;G1oO%UnVT| zkuM4yhXO;q+nJK8VYL!bU{3@v+`UW;%aqYVhTX{;Z4_)fR^rH%;p<%t-9}sRK&In+ z*Ok6SixVnGDlE%&0tBRv>ph&zBU?IrzqCA=5sa@*G=@0){ErBhVfcu!*M3B9Zrc0f zufH$ZlFtp4_7QQj`G_#IeMH>6k&ozU`)aV(DRlfRqT3SP#atGsFbl#9!YT9e#y({~ zvSeNJ#y)F>AGrU`3O^KUi{=)EUo!Wkwp+Y@s#`9tM5ppyh&sqle}1%P4_d#Q z#y*AlO&95aZd+V9x1In&df>9}6RJHMCKU58o=+hVt{*4F>mQlBn-k4lTyOMG(A-VR z1MJs4KllCmMK|zW{k&ZGi?5*W;wWrsBwRtiXA`77P35`^!es`D;+bi9k}s6)Xl z4!cR}SF^E}u{Ux4koM(xz;RuC9hyC!VZ++aetD~&Yc}jOe4tmt`7Inc1$*n4(H90`2f*tjY`PDqhxgx=%;g;-SgaYFJOBlIQ*8#H-pZbtkW z6O_vWM)o1apHV4mlLJZ3j}Pdm>Z7W$=fvy&RDE(iQtbL;+K<&YA)TJsm%5GAdt6_V zV;49U2b@r;&?mmQkfE zRCj1`r>9?(5BZ2yO>P>ybl()5mGf2!UBp8Dgp&O~ElH|~E^?usdr(qeE{$Khc=yxq z^=3OS-N*jDXWr{VStQpjB{Qi#K{Xb(2OJ;sUJ+&AYw?PLxHe&Z$;UK)ML}NcCb+P_ zqC*N3kJe`^&5Zx-Q$sjf>=8#l2FWVbq_;Cb48o0+UtKF zNvn*J1X?i3Po5mr2E2u7axjvxU!k^-xH5LUt30AOkBUPZ8A_0&^m(BDaCRzfy!I&4eA*#XBq0|K8jq;_F3jg0-8Tr z`X{RRH?zk`!T|S)cmem|-J|$Gd_m~)tTnIqJ6KKanBv~ENWvOVoDUwi2J}o03W-5y zpOL4O0&>uXxD-B6?|sSCR|GQq9okXGJDRP@xC_SGtW+h$Km!RfkfIZ+t5{n_M+{U@ zfgPGua9pqPEgi8GaI07H0PR?u#}W zlO&Dzw}&JdQHmSh#N2>tYa-?#9}dQ>cj!&4xh;YNh&&X|_4sz+(N{P84*q!9%Ry>i z2e&nrR+(U8ufo=p+nL`ydd;2d`Bof|+kV8iDnl(Btsfo?RgPQ?(FgD6bKHO@EUxot zLY_1DuP}ojO;v*40&#_>Yj|oncNu2bzLD7NFaONl&-mlcZZ!|W*lo>sw5kcjS+S^PA{14)5CXzmN>puEf=a6}5KJwqaJ@!ACktYSwtpD$ zsODHTHDM2CqwCIz{ggRW{O4+$Z|T5OFhONcS6IHW#Z|b~4W&P#4d5ACCA5S%l|r;0 zZk`peITafSY$45#iVhGrP^M3A`IhgY=t@F2$U2Yb^K%Jq{awyqX8rdB?bCR@M+sy< zoR8~G&_=*Z5*$LDK5>4UPvLw-!s9LtA&=qXVIeod;pPG@u_aO*99HwB*`2{OXmeNa zQ+xkt*gVL$d*p92!JUs21~Zz^WQc?*6(H)BvCeN5xm z%M%KKKsV#L0>!A%g&cK|V>c6!_@ux4BcJ{U-%`=6DrCoY%NiLC5PAKYoww*;Fy%p^ z0A&w35FOF1AcTBr2`L*ZA+pi|F=Pro{Y801%0?puq7-QbBt)w-_5S$O!t79MfB6(c z9V}u@&?55XG#>ASl#MnbF{~1hpbS-4jT2Hf8sUJEH8XuDDRAkoh0m3#K?YYBrtXo5 zr!E~IQQSl}b?(@>HT!TYw2~uU6o$sQ3XOJ`q;t|nQwZ83ipyE zX#V~Kx`Lhu&y&%VKbYfv8nvKAQ_g*z-)j2%rCq@BZ&TQ>D=Sl5q&n!*KZ7?P4ZNw! zjJfn-<%DGq4^%GQ-|XVthpOaXmc^+?&so8^xf*NpUJ)g9vP*C16{%LSSIB6=r%Y?B zuTKTzFTVOWKkXNf)s{eMX39mx{#W}op@9Nf%A5sGMh_nS59M?)U(P}RB3$dEWxvCZHkxk?nSN}-H2C{zxLZ4PSX?_uLA$> zgKhA4A`8gmd^vo9!*aHbY`;@8_}@qsVokQ3?H4{64d3bOU2IYONpvWL@z~BgJm4ma z=5UpZ@L8kE#irEso~m*YzUxJgTEp_muoBZ~_V8gJsd5p%>?2h!!l%91Bh6KVoWr=r zhNKdf?-x(0V~s;B0{GPmq(mPeo@aC&ERaA1FfbPZ(xFF`)SYe29tMp%)Hcge(H2SD zG|IAE;Cd6UUVKOM76EdHOw-L(G5qTUNm&F)C8}Gt2=KS7Sp=9SE&}d`MSyA2BH;d` zEP_L|Fh2xZadq1kg!x2TF2JaHloNJ`z$5!?mR@q;y5R9IoCl+^9B1 ze`0M4l#7U&!mLe^K*w4H!tY=9{erb*gg-x&vgp$NOTmeF!D5tpZ4If#5za@wiUeWm z$O7Z}Vyf2jrSykij(%QdFWk{gr@D)yokgr!8v}JbhTyF10>4A`i=7j*7zTEYxa`_c z6GzF7-7DJ3OS8*Jj?S94QvF6ARiQ7j3Ybc0PNYldW{*xSbiwgCCGr(Y6!FTCt20_HUWcdmAW7%nQd0e-XpiU6@EcV zqC9*ldyn2;ql)rDNCJG+695IJ4v8l1HHL2nUKuNqh<5e`*Hqg6hO`=rtsvu5;If`qlCamgpnD#g{x5g zWZ6V!xZAANZbiNClJV!9D>6gWrh>blTGJkB#LYD(W(Y7S88Mh4{NwH2DEMFBm)p4orLUazeDf&05yaqYJgCfgXuYk=byXxlC-fv_MoTyX@0q>?fKB0WHjXG&qziC z)eLD$8D_erYyY#v-8npeH62QR>i7ItpZCw*ek#eEba+87=pIAgOO|?H<6W%*O`}hw z90DN&z?Bf)1tsTVyS1z=C#1??gw@aJ_2`|DBFPBR7@omrOx;Ok<}@``1|vkVI+jO< z5wqQonhjHBFv9(EM~5Ir)Iz>#M5+u%xE)X?fZ7WBd6dM$atL=#mB9!J(-U_f%qXf1 zX+%*Oj1pB5X=(MCn{{#8i1KU-B;Iv_LX6K$?Dz976vTpq4-Kzqx4??;sj zidz-#$E`u3t3J)_(bO?UFlCeZ_FMD_OZYfgbV+Hav4MIi$k8hso>DTUQ~2MGYZndd z4u0&zusLL(r4oEV0-Ti1uZEI4#+V$K9BUv*jxpI!o-pJBhVxO+c5;e1?g za;(C$o2m#&C_XsmG&ElwbD~c8aEdB47Z?KhVco+j#M32*TLGB@Z(6g9bFmmtS4GNF zJ0j;E+G?CP{FK%BBlq9*4S(5F#&sY=Xq91a!x~`^5Axxmbo(fW8WAw`h)N0}I+C>P zDDs$XM=Y}NgAKbfCJq-_3?d_}Q;v+k71&Zk7�o9TrZg6;slPQ3N2`2}4L&CLDrk z#96FJR;sS)srn~ezCvRBr2fH|xSo9~iYN{^G3aR0%V_*MND(kY5&&L41^HryPxgyg ztQ%(S3hUAX5+dHhx@+B6SV}2?Ap$AW$8}EpaLgel{y90|#a@l)^GgW}yDd*A{gvYN z@;ivx}^jz@JaTGgB=HqtSQjj(0pO@Rb|m95;k7A;&rwJz-V3r$RZ9vk`NH z7~`*b*KhdX=eXZf@mMCo3Ob9hUBejnrCLTgR3w-Y4z=+Yu)`YNcS1_AN(kfwT!q9K zQ?aH5b3)-uhM?7EFvJ+s3z-Q;(J6$*(1K=#dSWd0Tqnad3a6@wV08YXOhkK`(#GDW zrZQ8naad|q^qw%@W@_P9HX@qIL(0N_LhEOh+diUjc^eXH6YJ1}vfGrxAAwQ=+V#Gz z!w7n!sGJRyG-B$QFfbKSfwnpAqZFB8l)wtn#N>7Mqn2ml_cTg)J88dxa%%SYet>17 zD#BJ~tH%#xTvUY!p|EI`9I8#4m2k@8sdO23@kpSHq9?4z`obAwe3cmEhjx6HMR2OM zan>2mu;KeJJSS~Z-q?9b-~ROf_@19}tM`&vsR2jeWE+7pV$QCEGS+L)*b z4iFwd*f{-;OU3$Ju88FnU%Yh$iQ*11IqkrTT5T}Xv!spU4vD{P zr9-)h>Sl@XsJNqaa9MP7B`QpQi3p^iQ(0&ho?p@e z@}z^Uvm#FdD1tsnf(y}pueq7Xli25~pb*g?x*?=OW!DJrANm24d7(9LJEFMloRXcM zNV(p#h(LIdv)G(+m~s4_tVoZoOPsq@{#V}gkifo13zuDEdiMLUG=3gO3d+4H_4W%i zw$$J8xV4tsiP|nd!Km%F1$CfUq(JvI7t~QCK)7HDoQDgRl(%rfvhVsh0{b}thiG@o zV3I_*c)mD%JF4{G1?f z@XDHjHPMfOX3ZQFrnUt5pa1&*^FvR$>OYO|U`b`>0jR% z)#=Wv{|!{9JKfh+KHekT^fdsIeaAcg^h>`kRN|0=1H4yGot3F?b^xh-ghMGSe zA$kb6t?5TbiOM4yb3pO55hWG2aw1|0?8hq6i7m~JJZ5}5Kns-q8+hjJooo70nZ2*Q zM^Fo)ed?NiRIk%|j|}Sq9SnCAU(kMuPH>t?wi-Q7>9}ckq^@P&k>DGeJBqK!`rbL^JCM=saR3lKtv|Q==z( z*0fVeuVSkIh?`eaTaC$8WK4*s5L%p|zG4+b3x;28v_RS_59huk9#MJw6H;=**3lj8 zRSS&RW)z5ETF1$GRk~zPl|g5C!Og)7$}2zeiq1xtM$6`;zMW!p-TI=>+}}NBbU9Xj zBDpCVU1(gOH6M_>T_m_$KGEnxydJU(;tusS3wF^7qtWHI)OuIsw-ZLA3w}jy^M&N5 zQ7TY0y7t2gNJLDH+$LfdlLZ-#u71hnVmKn8vSN zYAB;(ZbE8aCcjLo){AHeG`WjeWpR&3CH@@D% z&w8C^b(O0|(HN2@|KO8JsKBWNxO8oW^E}^HJJ~ z{?Ua|TVAGxmM$h(w~|g9JS}@x+G{a$TJt7Emd+`hzgAK>&sNXPSv`fP9ud=LtLJ*G zo}c*HH-GAzy_$q3A($>=c{!el)v@3)#a%fB$0enm5pL1I67mK~PHRSpG9iUsCDi&9 zfK}A8bUq?woe=^F1{;PWE_cOe>Vy<^MmV5guHY}W%b_R)PDowE2=}`^3OP^>LYHdI zVs=96B1Q;0waidW0vM2dGXjyd956I)z%@d~FVPmFArxK2hJ=OBvK&ZoLXADu27K<+ z>;VI@!m^}7m1+`3Ia_jz!4k!`Z_fsGt7MrtMKk~ zJ-*XQMQFC3BS5%N1Hd~}-0N?l@pIv3X|K@Zw+h&u^mC}Wg>n2i_;*Q`c1TvYwxOF~gcIs%Ffv>VJocMN19;aVpG|htli7n@{%@a*Cul}$>M64l9rr9u{ zPz3L0SZzi_3Olq1gfvfz0eZ>$5s*OY@j2yEYnP)&{kC;#$(L@Fu&)u_;5L zgH5b6cM9B1A!`n|1DbA~>Rm{U_ZX}a1*dXHFuYYP*v&dUr_|Mv_^G4!^zj(n4%{`Y zc5v6LX^~vM`0HY~^M;>dx1aulAA9fTTA#bsg4SVI(9>3QrL$+ExY7ZGEr*KsX@q-t zZKReNdTy1b2~Oy)7JMym1i>i-;PDzfK!CMQ=q~`d((4VYCo>rW<-Zz2XScYUqa%Vd*Movqt4}jy?{I@kFpyWs7CQi*o54@EMhj z*yp|V8M&6~TXSf1=$+RGIt)KPE1y@dd_Ju2KNP5+tnTvA3T9cdM;Pi9$9wUA{XhQZ zS2)L0oUL&@1O68@B-jqB5VtAE6h}tr`3|U`rR%gDDhj3%B8eG>J9Hhy7`HGyvGkpg z9M1?*(|#wRKJcghP*xW@2U@v!@}E2+Ii8IOG!b#C;7_o-TS9WYN{EmLcqj;WsMDNA zoXvD(X>(QA4PCyo?cJ6m9O5u?j7iL8!}*eP7~g6~_9IhUq(01O&LPHA)JAo~R4q=7 zi(up4Y!dVJCu)7|r+xLm_%shOszGdaY%z~xeWfEkTo1Ko4ekKc99+}tWRat;z%a{X z;74RLHZ^JnfnPxbJKn>UZOy^Bj`eT_mm%ZAh(~(329M%$RN5)I^-!HvZEb)YTmN5n z>#bK>D3!df^^YdE9w3++kON;Iwapbfwmu=$hLG8NB;Smjipl9}1zr0d_O#gB{?Jo> zB{*BbSn)7>*f-hrc^ZdRhF9W%7njEam3v-RF}~q@a~S01ELW#ONU&_2stWqWG^}^R z!PsVuNnkMAyp(^@goL7zDoz0Zh_&D~8IPrSwY6Z8;+2{#!3fP0a^Hi;iCqF?*y;s__Z{aXWQT?~y5rZYg4sqJddEB89Sv-jH+&u=H;B{{(YL-Rkaf7Nk^ieE3tDRr(1FIw0%h<$E%5E4vp3wwJ@D)8y3nYr z&5qa_zIP(PP)EA?j3ppz_YUQfy^M(0TC&hsT5GBg)O%mkp@rG;>F3$!e|BiBZFuYa z*-0C4|Lm}Pg`b@^|CVozo6TpZ&A-dhEBNdVH2+4*Zgw+>S2nsCq&tK6l5S)9!~)=( z3VsQGO3^PNpH@}j;Mag3B&bmEvz+4BP~Sv2A7H3cdfcE-P3rN3mOATQx_+|2c>Tf> z>-9^Hv%7iFdmJCAU(N=pq6r!?>Sf-sS-GEpUg`9-`tv)|d>qZQ@x}Z3=xx49rIVz) zcDVkg+xAAflZW%AnRlGOxqqAx{aR=?4yhH+9~PUWf`cGEKiN+YEDon$xaybEr)9fu ze*&%2olV`r9(;JU!mEnwL5~|UfoMbb1{`(Jp(?{Hg={PE?n!1OD2OKDv0(dV_yKPz> z)d3>}FoHTM!McarprHtk7E(dC<`Qo!W0WALjp*>-721`E_UMFUGe)>Y@&c4crs*vS zfFv2^$eW5%}wzbVHAOnH)wH}2!r8tmRdgYBRp@!QqP{yoAZRudqu5SI(tH|#uNJLkN(p?`PuFX zRqc5UlVq7WTCm4@y`WCKi1z(NMe1ll)CzW}NIj~}94&|#o*dyRqXiMelT0#6!Ng=i zAVo-AO;c@Vq6M*Avn?Ft@E#3r;Jr#C#_%L+YAb>WRk+zBEfJ>hBpqg$8`jHaHL{0N zcoJDfR6sz9ra5h(S6qB%=%AAu%{x%S4LzcyXg5j#wm?A232RIX(?Qefp8_m>K%$UR zF5&BI?kK*W0X}WfsP<@!oo7F)E~pSTN8IIMR1v#weO-ofQpp|jed>uk+5Rb+l|LwZ zFGOGSRGT;#{k~-*+OHp(+9Fj@8BA5MUkQ7n9=1DK)JqqfH1N75C(9_X2_c!>P#|be zNNyM@ALkREoT2lmUOfrqhWQ_h_HbpjoK=Q%zZ|N8ue^A1|Cw{Y96SNmTc{2Avsh4Y{kdY82 zTU)~94NwS>5H@+KifFPC4<-XgZ@|H1;OGrFm<*h}0SB{zlQ-aSI&ky`csF=FQ;o}& zTZLmKrS67y@GRLBU*l2nPJt(aQ008_1~h*yZU7~*sznb>h(9=7lupl@s+my4`h{MA zU&Tc~E&zL(ur{$?uXu`3{zi%*+9%wr=j7effY1k%cW>GoFxSCa*3c2np|xbmQos1a zU;fSi@~DILQ2n{cQsG`@DhH!rtZfn(t(FHjzUB=JChE^cmI^=uB1ghfdnVH+ZXQ`G zT(;^C9nh;f^NY+kz+`0f) zoG`Ie)Q8iK+S?Aj9h$pIEOmfsC)N#|wj(!iu7&`IvH)@5lO30ei*Kjzx>D^1e)9HN ziWO$B2k;|Wi@c+bwr_aqvzV_kLAR@%4H~wlvbHh*t%scJC3ahOUN5b;&zU5rAubf1 z;{XVHP(m7?5UsNx?>SvWr1oyx|W-v^I-=GN0?2IDl?aFvx^V*VpO!5GA+%)0w>hC3 zCo3FB2{z#%D`_BvIE@oJ`U8Z^4n-r$YF0=%Yi`Dk6HGmHK;4rNjRk!BrwiBPPebTW&^Uy1$1{sDlpDw zJ|gQ>4t6;nPLG>A=K>C%%Df`GQ?i?i$>?m;g@%luhOi9kkVWh+G~*RsxMO$4R}rwc z2-Bp(WpJngZ5>bGCzMY}VHvFPh%RQ@Hjev**PXy2?Ja<@~!-dYL4O$V;kU^+<9 z=wVHV0F#|WuZ`H_8Od?eNRDH#sP%uHO^2&79X{dPe(Wp0z{Rv?)>v4krj3wTaY!K+m{}8N4JVZoa_Ji(fQ1S}@6n>PO$MTv zHbTI)S&)yFsF$NGIg05@h_rDn^1LHbBTr2pYlOWtxP$xy8)B8cbd40#Mu;2)Xb>dq zHsjQ$kd^?5{GI}x6<#(?n%q&5D)Q$+ftTyfA&W%C)#i}Zp@Wn_NZC2=nTrzmGJ|6d zjaw$94n~OyQTshN4Sy#<9VcNlG@H+bDc5Karh?!&U6_{d{Zw1yEKF}sn9h4eXJL9h z-5S2>(|`8+zu#ezYJrVx-T{q_)DMRo=ww!9n-_RH=E1@^Ms@PmI8HV%!b}qELcPgl zYj{SRw_gKcDTqt}E!7$3R${by_sdSpx*<`Rwbhb28EszVePc#qJYh|$AI?XNHt!y} zU${m%?0TlX=MkgLi_8gBB^Sbe2}QVS93D8-{c&9z z)if@Ja7^eFMK^iiO|BmF#}ySl>pJ|YdS}@cU@n023rC7Iynx}IEOX{K90puU(*{R4 zQu@==jdIw0f48*9LvRIX&xb1RnWr4{ewl}z@_s>(TnV!8dAH1aPBqkFd?!}~2=e)& zHv9Zi|IV+UdDzLRibUpozG%~azNpN;D|l2(^rhPrU*_rBOIbQ+?d9=BXwG{@XYJ*B zw3na$Z@=uHf8bc{Yl!EPtAUhiRUs^((WHf=VIrg+Gy@psDoLF%MG?{F1J*O6h|5u# zHcH2G8tP6DrRUPJ_PwvcL2@)BM0k^~09e^e6uK3|-KVB(G(t~;KxN!EBubuUgq{Nd zH-m~>8}TI3=webE)Qb(AaUUE3aI1xi|7iyE~MbAog1;Y=H$O2VU|<&aJRj z8^lfZ#f#oZcUw-B;)>o#VD(T71H1}-g30~THHuoL6^z(fNm~T4HJviGV(i1U8oiMN z@QH$<*rI-RJE9m+QOTbtIJ}ARykD_IW_zUG!(X#N}FAf$h zli+8WVzEORcyWV3F=6gw`bs4G%_t9+H0+6dVU=^p=lE z=_eZ#c#sl(88$$f#WCltWK>m)Q5EdQxC(a5N=B)*x@864WTk<`Rwp;D2!yX>oauk9 zE17>SYZa%pE17?)M<-S?&c4p9lmm6(SVk2n}H2vs8y|!|yKm zGi2ZW5v(H?>)W%gSyHf$)Di1Y+-n(9WKpIJ)xUy21q5k++4uQ7TyONJpqLnng~js; zn+eyC6YwQh2L>Kk-0iwW7oj2DcF`qhNXMlehr{(oe@wSobjcsnaW&=>;)Fe}H$p?Y zVbSBb`;$PB&X;|^{(g<>jp6xq`$eDb9|ZaQeE&VJH@^RVwdfNx1O)l~(F2ROqn}sx z2kFzQyExh-H%}_P^|$WeXy4b}w?EMbW_ark_A2pKca504WX2vg>*clBL`fO0rQIe< zG*RQOa=k zAHVO_XJ5Yi;#a@&xwdil*?X_td+YrR{QuJo{PN$^A?L2Kdu7*b;`TQk^bdYW~a=lol_h;nb~f8`}8I zqRtvuIZ%KezSLdJH1>~17^^MBmgFK^Xzjl*4bDig!&sn7G&7w&!F zRSa_PC4n|!?{08a-@k~*?q9@XJkO?^IISK^XYoP>n&2~r#Qmk-TD_%9K@6JVjx=}N z!0fj|H_05CFilr4c3b#6}|4?3NvN@z`K9`7v9wd=FP99 zQ3p7CH%n%rvJ@dQJjIYGhY}bagdtP5K+T+x?A1nujm4Bvm^({0S)^&=gyg(Ncrc}w ze832SaU&QVrWQ(Ht5N17k~bS6@Z!j{;myF}g2y^dO?A--Yj1NHt_MpI!sWHc&A?Ly zBd;A%{7Nn|Nh> zS00b6BM8gyf`XBx)qw)D<@sri9_pyKPnfJQ7BGUPm zlGzXwRAYr=`V~=V8$Uhrib(pNmtS_OOO+bY%~U6YPULsP6V9cL-uH?G;A?54umAgB z^j*L5>#HrTj!Dxlikp&!C>WGxFbXA$M1Yk;MY}M<;`s!SeGTV$Lny{u31OVj7sfF3 z6Tv77FPl2_UX}Wa!Hs;9@Nz-s=r54~Dk@4TzKbli8WGV-pysgbUKNdx-N+<(24rqWdvd$`-tHT?`yTJTG!4N5Ll7w{k)Ved^JpL4L6DR@jL>TGePjINA7lJ;?m6e$d+mKrch9CSd(E@P{O4TrF`nP}#vm&x zZ+#UUA|>ThVS*LlbKJ2zNMU|LEy`#BVQH1D2%jTp-4F%|AW$VwQdFvs0>_y8xmLRE zS5zv~aj$i)K6s9+7yoo?s>@VW`*-f5c}%)!%F}+T3cDj;EhY6zCdnS9dr8^$C!cCo zucVUdzJtlVs}p#S#GRgYi&`@(o;UV)US#pMn?fp#S+*>A&XjZscaWjAa0ecJ zVs)6=#YcK)nh;2^Y+eGyCK7rEPI%3etMGDTY;*V)1;M;IA%vmrHVts9dof}88%9X) z{FaNK|2Mn_qc3k)t#3$P2_-znG*HLvg5kNv+a!>z7?}DDk78MxPy60Vb zxC^3M2e!9xcA^iVRc_(VqoyJ7J!(NrNp19HQtSH#5zQQ9x+5SXD^^BGrY^T=3zQlR zhz8iFktH2mjam$*T1j08L-M-p1yQYo<_!W+*4sV7j?J1;t)u0G!@3}e*sr~oNv#8h z%d{Ag#qF@yYb$HfoR>}NiK@<3Wd-aJu2+?-qx7t1QdM{P;M1h^AW+@q!%+3a6|R4} zmZEMIbWqeYRq6SuN`s;f?=>{DKsEH+U9S4~C+TmZndiW7rcZe8`DX-SQ=uKS#e%)5 z8ek+g=MDwip&t5<6f#i0Ds>bSBvm+U5U_O!l{8jzLCK-Y`bco^KmjO%YYsD~ zS#v>p2)5#Gj~Gz|v2?qljg0-9w|9trzns(S(`>mYTn0%~_nt>U3uBe6-K zO$SOf{9p(qD79>R6%!W>VM$$1FOPvzUh||hN7xH}A6vlhnrGE+VqZMrr&kTj@^0{w zvGg(Qj|Ltwr`*|+tX65{7SVN?5iI6XozL_7gFpLAAN5YJUMu3uJgTF9V{k+=%}($GwAns!?eAXWzdYzJJhZ6vKo%Wi^$!@p_RO|ZCV$fO^Eb!k?l zT{l5EXiA`j2d`vO%2#kBY1T~;91=|85X_2M+|7#gyi5?RUOM;_Jus}WrynDpmq{M+ zV>OG9e2Q9iKel*YCJ9*T)pNqd^D@bSLE)qJ-|hX?xKlBjE|{S^#ll-I#F8gwg6*%0 z^`}hKOvBoj51wdobM2pOMf>aD^nIdK$Z}iQV}DT@Z-F*5Su>4xKqfUtTvK?}rYo1V z+jOz<_DJ8y7O1bQO=pV`-lp34G9mLU>65bFh=ri&qp%RO5uahGrEe+aNF=p#H|dja z{da!zhkmc~H|hIgEuFeWQvW3ZW9&c1d`dx1m9I+r!~~C^L_&y6Pk)Ub4aN{I=tdlo zgic(5Ao49RkV(*uIHnmuK!`~WK)|*ux)BFpa)Vk7O)l&xS(4L=Zp0zD+-QF)j6H}G zv!EMs4pLZy--#d6q-qJ2Z}LZ=@<`s+d_PrxhD>xWkxi9gfJ((@hA!- zXf$k3Y3Gmq{S%a@ggNEO9#Nj1FlWN@qMN5J-=~{@&nN!iS3T9bmu-#+)dwxQ!Obe= z5;Zo9tVo$){|V&-Ag3bR|3mnY8q+G^kXI~D0z?h3d;x8DXX|q z2(wKWRMD&e2EGmp_vKksS@pO0##MU`?57}~DA83!5mh)7gr*A483;n}ET1w}(Udo3 zM340;n*Zm6f9XSYCn={dH|WY})dD>hZtbf74g|h}Y`Wp))_MxOa|{0y?@w z^BIx4-g>e`yx2r`F$fF2;Y;S)Qea2*XoudSNjPdymTJ=rNN=?K z=S!yc7~Ihk`ED^`uDL)dMg}Q8lLH!|=W9Ny9;^4I>M69Y1`XD)5LIhk9lmmDU9}8X znN{QwBL_F=`tQ)(2~kUkoCUR&BWH##b$=Q8;ust_e5Vo9+~#mqw4sC-9X~eL>zd8$ zmhZ}2bE-a_(a$sb`2z#}eDUB<9ly@Q9(`Y0yB^wJF+035GSRO4BvwothYOy}b$Hz| zlGG-#qDQG+G1_$iWfY5YzS#$Bk~c{1yPbxb+g`~kD;XOMN$6zLqg_YXgG9DiR?IMK zes#`$@8L_RBs$Gn$&~xHd9l024!Ny-C9_?(fw8OzcGiq{2XRs1EQiC=k<7QpCdMM_ z%udp%ub{>}a+^=;WYyh_(R^xyRL6Tzcf)#H%hm}YQw-Ls*VE^c$iGoy5Vt!gzX7dH zG~+-nXN-@$?bT=Lk^w>5lHY}`_OWP?nwpWC=7IEuTp$1XfBIdo^ww3ys+wvp$W;%y zFoL8sah9(vBUKtNQ1{ZYG>08XB1NINAm9DE;+C|Oz-oXVv?QuskT=T&x62(BDL@^| zRZ%=HC>bg1`B-c?`GQ>nAlqpUEg2~j#FOk*Th{>4}W z+F=ss?Xlo##s98U%26{9B)sO<)iY{lM04SdKU-O{yaRLi7AVOdH`XH6btAW^wQn

1d1qur~g|1weu_)mp>|S*c~g0RlArfJ!2K zOM>Df6hVwpA|bdyAUm@zW7P`o32A;H2p07A(5Y&*+=3>Zf=nVehmKV%ko>SWkt^<) zzYXU&YAD?VE`m9{$@EMyl@GyoZ6>UQCf2<$$b;Qy>TDhR+b84yMya_t?x|5S3Z?lc zU>rJkaXf@6jOLI@mL0_i-R$6;FOrc)W3Gnsdn$((kJW>a`OgQWk?um9v% zevKo=t}ul|a0>~@is2fBTVzu>agg*NI3k+DL2N`cg@e$DWD0epBdzes$Ou{vu_J21 z;*dg+(uHr%j1=Q>^^lJM3^60c9*r@)TiZA_g?Bx@&d!4Oe)7{_{kcBxPSI)Rp6eD` znRF~I-1DBEC+egsxIyMg{P>kjn1@GOzCz-OI;jdC0d5fY980T@?1FU9O%MnOrm~Qr zLY}M@q)sxy3S9ulWH-? z{ph$`q5Xu>PB_nahYt83qCuuad0`vLc#G;(Z2$_6oukKlv|Ai>`ZuN|6`prH`bn{t z*%%FuyiY>63j!}q!A+EUx;r>uJn#tysxLjM3tPF!g>RP%RgXHgeNE#9hjAzuOoV|n zR47Ug6Lwgfmg!TXxv)RR9o{K-49*t{q|VR;B`F@~@PlP$bHKgm4Ch)y5{e>^^>qAF zts!9(Of=U;E{6$v)975L8kzFGoR~+Wky*WA^R9P~IlgE{D?CwS$Yo^_gEc}cYMWn) zjxX+yQe2}N!jc7UQ8ARTMllC;K%<)&nFCTb8JDZ=Yh^c4at3kcg!Hsxa(ss%&e8Ej z>PI;*&?8LbG7C!T;Nu*nPGku!aEnSoiQ~r$~Eip{~M)-b5ZSZT1+7>g}b`8O7P$auz)&3L*xYf{0P%0;~E73^dgu5CFTopm#K>^Yo zMC&>qn|n`=OpwzK$EyGI@>GR~O{mk;72Y(rx07+2s_>>Q-)2jw@V@=qe)@xc*puF> zIa{w9+{Wx&g&l!ArhNnEl0@Dhy5D=kcX7Ry=?|}|3r4ScizZa55ToS_emLJ}7ffDT z^?^5wwZ8Z+n4GrbMti~zfaa%xu6C50@Lmgp)-rdwQSchia1TiaG(bVbrU3ftU!@bd6zh* zd{yF@D!5-0U`)yknCnJR%(_W#L4zpiDl}jfIzV4CwHR&pYi1LLu!9|#3DljT$VieE zc(XV@Su#SA2S*Bt|60#;aHdeaKf^PH;td*@DKy!s2qwO%iKum+o~%;&6LEFAQ-9(r zIwn^+CsSmyyE7cJ%|o+K%aI{O#Ov)L8-4TOV+i|l01)tNdp++fH}k0gg0lOm{>RjC zZrbv#?PNIjQxE_6tDYDwlAs+^b3^+jaJo78N<|X1Z3z7mN)~LgOi@}4=Rk)}CLmTS zz#rcT#&8bp?<7lNkpv*&MlgnRg;yWYTrkbGA!iKdLXl*LibX!h7|tD6$gE35g#th; z7{fX64JfTXR2$o0)2~k99Hz{b9{rvRnTjNc=GKD7MqP^Cym`$O&h6Ps%Ww{jCG8K- z;T+hXa4jV#)~O?j-mf9zcFACpl9;8`9zEV4lrxZsW6axEqKP-?uv;trZtt4u4uM}# zf6-=DkZ|S1U~uK#we#GPxPeDMNYo#%(x@$=5>`d-j03ve&G5;;UtRj>(et`T-)LAp zh8d5tRhndUuiIcF(Bt$+t%lRKzd%VL5{fKP4C01!YLey(VJ>uN${I?)X^a}h3#=*_ z0OrIv&oav{MV)+<;{M`N`2I3S&5zG2%pvX1H#_d1O#roeih9xQo1*R!#(il9c8j7Z z$C?DhCf?sWi(02zjq62Rv>N~4H~f>|^C@2S@VC4_E_bcszIV_=q_N``_w{eT(BOcS$T?#??UO|kDAu7zxVDR zFH$}qZU_7)&!>w;46h<=RDy%Tn@tHPv0+(0d2elo4fO{Yu5dg)8F7DZ`@7nyUq}Kg z?$75S?$7P8Kh77tw6$Q$`bAPbVzJ`>S@no_SrwUHoT@pn2}OKe%@O83-A281Z23Na z^GDzKe|`UFc=@QZY&zJFv3=x3e1F5=cjxH2OvhW7-z&pI_N&JgK7>JOnHXo z1_W_X2uKgGe2{`;c^(Rx<#umWn(IBOjgz3*922Zenrj@q5tJvS2s-KIMu>>hit;Gf zik0(n1Mo+)Vu(SIw}*qo%Y&2cwkJm_698?$Je?&)~hDU zqt!CHD;%NnA&yg&e|p%LzIQ$3pW2oEo4!w!4*H9a1Xx^Px#TaXKaf_*Z!|Q*utsXz z+3@5i@1>LE&)y3(M9P^X2Y!+YY27ubG=SWL3KRDvsGzR9f*Nm7Vep2A-971+ccn$M zA2cFg(GX8dMd5TER^H@OW9B($e|I_iX}74g19Q&)JURPMd*wI1@!?p`e!m1cs+dS% z6I&A*ZRuqC5cGv!%?griDJWDW$pb)aCwHA}=@5rUw52d(S5tUITZ#$C2s!B2_b_-w zTe=-2@QAh)`iUcWG-s(Z!^xrnHR?n`j=+1zT+>meWBR%b!6=foMecD;=h zWYT>wlN~eNLX+lNe6;J5vk7_f@JdS)Ix;$zCnr^Q*qo4|U@hLV?x(r{6PBk96^HU1j&OoM2IhU9dJuFL&Q5tVWcodv6o!&*b&UC zcR|M=@y>ePIdr&BoTp-e5pwp;p`+@pjEp44ZUdvJdMhI%(m$PH1X1-mJ``2ZHr|mq zxae1=^b3*{h|+wLqsmV;&k!S0P-p!tij6|MTJy;_m;I?-Q>XT~SyVRdJNw%w+mlo+Ozs1mzt`Z=`KO!FU+2GOCCXe}z# zMedqqB{tv|W+k>`0vXO;53gHNVuQ49(SJOwZYIP&?V}0hI>c$Y0SjYxu%ajCL!2t3n`@Hji4A6+qbKImo}*mx07#11@4rR z)s6Gbk25#+ebQaS@Si-b_L_d)oUfkq)gKsi*T43)Z~oW^W2V}3AtPffKypoXGo(0R z(_6zDO|?VEw=0-ALUG)tM^kwP7cN7ECzt}F-J4E0XNh~Iu{;Lk|o=^A{<*; zDQv|84aJH;S+$sTmM5y9o+DNSnMB)pz@XR!kE;c1E$qGCW6o1PG%alvTnKSG1=oeb zw0u>%xlC}2Rt81OBNfng9!OAZl2B(l2I{!(PPtF0e)udl<{q8#%~?uNY-?^&@P&5+ zAmiqUBxwFUQW-W$W+n3VQ3pQV3D#%`b>KKIl+Bda#N*-^K!@@g+9jIcGzQSS zI^Ai}9q$-X2R_|tVS`ir&@tCtaa>zAnl*=x0d&Q20e1?lz(4?93wFwBk|OP#>#hYm zFstO4eAKX3TUtM;J$wM#*77M1`*`@^(zs6^IQt1cUJ9R7uW5boFd0{DhPky^Fa_7# zeq@7~0A=&X73{7{b08~D(4rNLy@ zc}$U(uc@gEP+yWAlz_htr(Ne?6jDMLU>#C^f-P_~xwv)R=k&5ZrZ)meV<`^PBA?)y8NtxLmPh)#r3%#RXzxCz<&w8jt zt@+Z1O4NFHfk!;-fKJKOIRSxohuCVc20LYRWY^n^b*f}RAnz~lSnXWcEH2iu(RIDQ z*kFBc9)m#IU$(u~VT1FHofGTh#U^!5Kp^j*J120#;?I$6nRia;)v{)=*F3pN$uw-C zXSF8Nu!(D3H?hkCu`pDo_( zm|S&x`+Vl)aq9;B^v*G^+O;~|+?6i(y+Kd=xy$HY<}j0vJ^fc=kT$542`{4FERm&<}e zaY1_KRdBh~neRvVJeoapK`L1j1PGdL6f#*`;aLj5dXjshsho3-0-`_8|I`WJmg#xb z3Gw_FNvKWO{x*KNY}$ABw@=3ZjZ&yTnFmt-LJ`;A!+H8a;#~k8VzQsI?e$)zE!Fq6GTnmh;joI#`3tz3GLUvF6R8v zstuY|5!{y{WBy~Pf^0>n-C1L5Xp>Cm17?EGy0gao)kaXBHIsDanVVCisxB%-X4DW> zv8e8w)LmT^+QX>bG|+PG*0rVqOV|8KD!_*BRLJXiE>}J|KtDGUwrjvdSkT?!nHhm8H*c+ ze*;!lTyf*6Yf zml}js%5jd|pbLsOZ-Pr?R>kISx3rK+P`r5)JnlIrg2ovmxEn$7=8IsNJ5Fvd{G3LR zrqnJE3fOQ5up;vDrSII&QB0{xf^Y@3aLp5OeoZ<_@#wme-~!Z~Xjqf!43mU2Lf3$h zg^h(V`U`N)rF?FZdkk`u+%3kQV+ut)`e=BfHn*?0kTz3oqviX1CbX$Q2P>zmB57Ja zIPTo-u75J?Y=8Y5|D+4;ZNsHd9ikR{)$K1(b8~0)X?%CL!*X7p z=__=x=i(Zxk+Mqtc|NLYd$~rIr)V@vRH$F|im&|pKi;y8nnG1uhj(|krQZ*}I1VnB zH?e$`ViS1lkW;=ms7X~AE=YxHE24tJnQh2=LdUd%9-AP*T(UtMg8Suyb9bPEd_|8< zAh8mqnq=X`QlQ^Ldt-lMxtfGn&XhtU)>T*S~DKN z1$a11CMytF@O98>$M+$Oe8}mYE#=7_dGY6fVLIvq#fS;sD5R$9=4rR6)y*^B_B?po z-|}C4*>8Nob8l*g&AyqZSt|PQ+A&NgdzvNvZ_YSqj>I9}BMH^IxiMfU&N#eW;3Hbk zIRy-h4GFx}y>Abl0*2jz**praODBCfec0d}Fwk2T!6gT7CZ|0H3}BtY_Y}mW12wI_ zVhk8S!~{-P3gSn%AxH`s&>%}_1R=b}Fyc$5fB}g_5{Iw^jUf){ET>!HYT?v2Ixe=_ zOMoJxNmM%-{rd7RUCXIo7zDyi^6&`E#=ur98&9H7#{Z3y!+kIfDXV(;p)js<&M?3g zLEvTuQzK+bUdacLXC4Dri|f}O1E(rsw!DvTr%O>iBe!U_ywjZ{U;-vMN1Ccz{+X|M z*AIEBPTe50yu~S!q*fsqmpRfYYGbO-1aU7(ZO9OGRVaANS0z1s0vJF8~WVu`+@dl#?*x_JgiFOe7y<){REATl4^{N+OMHqE01{Ji! zh4jLIOb8PYkZowow^-2tgf1wS*M9Z^EQDh9cOVv48yzGGZK{$04VOT9S6q`I$yA+5 z;`f5k5I-DoNlEGj$#{1Fog^F-lHd#L96bj9wI^o3l9?FkhD+|nj*i=d)5Dtk1xItl zt2yc+s6S9R6)!VFLBDffLAR$T0i!AB=jtew4>3{KFN@G!Wp`0l&!aCD=*~gkr{XYJ zZO>AF_P59W3wL6482D_4u(riof_(8L(qYABy2Aldiqi%a3e7{>}sNKNBh|FQz5h1s^2o{6FDu@lN_7!=D?a+fq0O{eGv1zhF~OEwOk_CEbbt2DAk&zFdRQ%mNuI3LRbw_uSkLLXQU-kLJ5&W6%b*I@`Q|R`0R_w3E=%TYLc6%CcNwJsZM`Dsr!gi`+pSFA( z@=&pV+CP2bEg$2FGWEhtu~(lA!$nIW2vd1PBe+Kx9m2s0L(v}$?mC9GH7ina*rC0& z)H8}GyX$MsR&AkbT3`Lbbd}~Os4~bA3{ltbL)&&3XSQI1f)QeFpBjh z`R2DVjGLpAbbu9=07M$-(@#(C`ry1Kq3^akIWVvZ2!MEp&|?S=0{-?T?`d;A<1GS@ zGs%*9l|Wk8O6GgC;M{fwHE`(~NtwQ3=ZV%b9YYT@*tzloST#xHM-{`pUu_DQ!>^|_ zbC@K?!;sI9BJE2oH{Vzq~hO7!T?XJ z%C-j$Xvf0K5e~R#7eP4SNWWIwpoOt^@M7cs49!E7)-))gNeH&kkH1F$({O_q^|hbB zi~$Ur)V-m4iGH!Fi|^gXR^W_pH*smHF;1rGD=*1y*~V$h_u0mO_K`pR$=~u}wYyvM zie?*i3m0p>U^fMdNC-VzL>I5M2_E*KEfGPC-OQzr7Ij916qQ9!wTbt~R)p12vka2d zV#&%^NRH7qn&4r>epx;j$Yg1V;)2w?CI|pGQD+c5EIVK_z6ezi{W20wpntaXwEK$G zyCzr@RDfv|BkF>wh=df8YJJqDN*om=X&Y@#U_v;wl@%qeafRDN< zH#MlU;{rev`Q?D&w*@(|jdpZ$?ntH)H?(sf#WtEG>@uo_JWu4u)PV@+8E?>b!wDvk z#Nb+T;6SAW$#{p#G@WZFM}M4$@|qJccco+q z@D_0*IxWq}B+p`K$mTjc$rFQm>8>EM0(Fc=Yvo|@LShb(h!4#HqKmA}YQ`Kb{2C4w zL$VMWs}&SQRx+wMF`7+Ka-g7=vx={O9o-fC)!Y3Vv+70A;zU13Dyu4psp&X0S6rc} zM?-9algw+ig!O|Ov?ejxHLT@dPKwLq7|s8S7MP!#uj^?=xjq^HH%bm8Q}9A#9&?Sk zkaNKJ;9xx^T@j3tLRZ2L(C?|xBVJVAAzH5vvgOnP!R-_=DICQU<|7f0FONvA48ekd zG&P(*tSQdVIVw8t0@~|?^%X>v8)LmZW<5FV6t?;FFseMKBg(gvVw~;c-Py-!w`jJH zw}VXir2p;T{I9@VJfSSz?Vto9^Pp`*AgwEzC> z#99G3;g;~yC<(W&EvUp=!39!f%muMjE}X!*{tzB8c!!v#XE;b&W zlCb3MqZ=Obi~SO00`h*LKeTN=65C#WAUNTKKA2SnFcmaw##+I}VX-Et3cm=T>h@KP zwStSo?#NmJ*4%(jpBYfi({p?bu2N9dvO3x1IvEzzEqndQd{RN-SaV=*R%( zUo`3f%R>r2x#1TF0_DXK^19B8gMYObhaXFiBtM#S+&dR`nH z@47F}5S?9{w?S%TFz>>2e)O%8s97gEGYBMCRkq!?M0tRz>FS%K8i8jpxT+Ay`*S<& zkDPu?RIyxG#Wa*nfAiiFA4!aK5GAzoB7|$Cp63 zUcZgd--Y9EFE%OB2Z6l5#K(32wM4;nQ;S(O)BrgicD7B>*#&)h?>@HhaMrvXHo?qS zH?hh3?3{Y8!CfbWg76-SOzTB^i)CP3>cHE3wC)Al=7?+Nb@*C zJKZ_PRlCk~c7-FWbH)6wFqY}wy}`S}U1mDFg;4PcIUiv+?iV+BjbTX#}+qUkLYxA5`S zCDQOi$K2il)intq-~*OcIujiAFRGt>1+-h7S@o37!^n<#Q{rD$oYl zv+rsv@#jR<7D*MRl(DGUCN59WxIA^hr@!S#-uT-cj-W9}%O{8FB#d3?^GRVI-lGaq zS$OcU#H+t#&nBFk-JUcnNzh{lIGbp^k!-{G44DNg=Uj1QhRkubL23nlCAvlzh+ER3 zJ-EX;0)Px<0f%$5;kg7#zjZ`5J*|A?HOL}Kbl3Z|DYnF_62X~Ko7Ae!PsFEe)?b#w; z!+FXt0=ln}^w17RUmUbhgG&JLMwFbSdPRk)dYuBkR!NKB$VguPr4y*>zn_Z5ZZ)&4 z(ih21jN^3w%$#RR8P5&=_f*Y=%pmOZg0P-2KUI0p zMUcBIf=s(btpS`t0_OntA2otQ;61tn3`gB1$5mgXBR-Fi-AJvI)LB%wd>r?2!VVu!a)&3eK z#xO~QS^_)(eQ$9$Y|T;QtoGB}UUj>m!!aXPw^s#-cg37o4^V=g@8i5vJK2xxYi)9~ zsBBJ=@%=`lB}E&A2t=6gLWZsr!OXlEF~h5u$8v!8v3actu;pPr)$mTR>k>6hTfQ|K zjGF%Xue@{l(yer^mKv?4FBHUWnG?>!F1eU}Cl?blC#YLdkhz#8f}MysQV_ST=wrls zT3jV6t}U+X(v^=2_7Ez{hkh*)4mF3S!m~qf(by_QHYo4XkmJ8v6rM{0lg(%ZFsnlF z7bID$Fv&Vd4E_GyVNQ&iOtMZABZ+_(ufm#Audoi=I!bK2D$wj8nG#!dlo(WWzD8$L zw^iH82UWr`9HMGdWooezt6f33L`1r08q#F^L`4_h_JF1~{IReIetMh#IgI3Qh@Fc4 zGD!HGgqxDUWv}~7KFm)?(_5~6r9Sw6l(YK$Z~n^v?u*8>?_fGQzTboC==gd&con2* zt;$H+w}Mxp0$cEE%v)FR>JEJ(t*(sPw}MxJGAR8(u7f09X({sxUe)mn0c!gC*JbT% z;8i4|+H=g>x1v`o4YeOzQHSN=d;FE`)(*T0ZK*(W#CDpCT_LRj4j5UZbee*>DTjb- z4{QT-Rv3H7@s(LJ-ku{efRX_0N{(t@YR#%yRsXq%8it4ENFL$aBQQv)K~ml~#=5K5`s|yJS(> zE%kR23P)(ST_12-6O0B8xY!mx6uUl?^qT4)Z}S#yKpmi8lnB1Ur*d(#C@<@`8+Roe zT~iMhRn&E5e7|uO)>JSNfubZCJSs6V!K3Q=%{HoWu3#sYjw(JQMC|_nmwO9^|wXL@7S!NxBvA$@gl90yBOdq9eK`RJl z18&rs%n^A-x{xMVI8SisJt3Z1kSeSS>N#RX0K8j4sxT8gt`?yBW%2Jw6_r=yvsndo z95Vz#65LiZslrTfi;-Zc;W7`oXQZFYiF{m55?z|?DaVpvJ!~&zQ}z_>E7rth5ls@8 zMW_~I*^}REzLXDHdvrd&cJxXU2tX^RB8KxERiL8(I@nYFSI>Rww!=eoyA8`-eaR;k zdQ{=K9R0GtFlmvv{e?$3PyRS9q8Es|Yi9+lctj2W>-9-30{HE`D3bERjA-jVkMLdZ z`GkM{bw2syfc)e3DO0Dq1*pIppaxN=NWQ7QC|?y6i3y?`q);(nXiqChb!vj38b|C( z1&ze0>HuGn>a+?vJvlmXLdDBZsjs!TfTuf27=vM%VIKb7^+@lN9y4Z?)87c?sf-V^QT{QSZzz&ZnS>IW zRY=vgs7FD&uJ$>ziTl5MQYM57Z9Jh% z#8h*y2ccs9&P%AL0S0rm+i zsGMnmEO8HOHVuIZf_?kOq}f3!HK6VAO{tYpso8(>t^Ys!XZ!2l_@}C&OsM>Wwb^#N z)34oPdv$mOtCvC^g@*;b)Mu`j&h2IWDUa>Qt9@U!i&GCl6wg0{!7!7-e#?HsU z3c1$<90a7^I8vkumttIn9yP6cJ;w9tNoJ&ldq9oah&zV8J513M))k`b+xR$zy&zJ; zOla1q5W{yQSu8DnEB$SHPS6iE%O*&TI#&g5DKvF8@1OKE`vzX=sq78Gu#b$vzCTYMn5b4ko8m?VtW|yU z;43-@F&38q$F;MBF}|5n0Qg>yNMB{}EkNL6lvhzN8b3aG5Qa{(Q007bo75v>Kf zDPTfXmHU#C!L&6?Pa0{ltf3-EMi1Sm60SM6IsTqer&g6yA+XYoWk~>*zYkaL(yGEL zV1kEj($7_ve2Pl9>g+~Fk9ca5Z_3JkpmF`#azY5kKf1bn9)mi84ghI`ySj9JPw^Fz z{K`E6rvj>O+7lq86@LDte^0*p2%EdKPQfIbvp9-7Vgf!iEmv8{iAB zDjr>#_?sJ@@4C@>>=w1*#9WCyPowj1{@Z`=+y0Q_T-1LtJ8oV-icBQ=K%}(+%}En! zMW=sDmJ|^@U_4YK7=8ZzmNw_aN+b|Cv{L3{HN#tW`w14$Fj!Lv+;sm>81n7hr>=bw*QP>1FYAOd;8R2Lz%@E=zk%kc=VO z9<7Fw{l+El)&gnA?f2k9b7~F4yh9JJ&VAjw78XNBp*q zWD3cS3sU0pA}z{Lw{UbiT6ImM%sd{D;%KhBG`b>3R@B$5q^e*8 z?T*cf_Xun2+&kPOaNNhcem!reIxFhkG@+woWC%m*kqaG1~%kI$KAL{gLT=3;&CwJR_`;(G_UkIXa^{CfB~ ze5q^l?=?>fMX9|@_pt@Mdi95JEhSpIqv3DCEoUM0$Nt>R zJ7kqUpK}bQsCKA)Rf-BG2s9?Srl3KV-Fd&XLsig=np@%?=jdaOk?>PHC7v|NTCT;Ak*5EARBzVsO?8h)i2Ope&J`>h z`em<<H9>9kY9tIpdP?GEPo08kog|2 z9uUHea0a#sIp9D~A^AgtOzOF215n~blyOu+pUHMmK#c;2r9G+P2095LoBv>fHBRX{ z#|6Vf@A);pr$Ha;Y%qP)VQp}AkzuLvA^13u^Ef%bRvz;ah1DsQ%<;XMpA_!8IR#4&(6Wrr}JTzjTj-Z4eMX7->K)nFTdIG)atpz^{Q9 z49KtczWI`3m&+y;EgSo2>vyOsW&D7x)iv2TD8>`Sh3nCpBTSL;T__z)wV7!9i@POgr*i!+cHje& zfEv|CdT{AU5hG=i+bwGgeD2r0Z;fPlo-ejSu)!2T?d!4Ig`hb(`qv+dxsE4L#NB|!EF^RWBeA?mgX#;8$43YynHZJw10d+gY z0|9kv#2TC8f%A6l)8y;F(O1A_$_9pV`7KZdx)Tvfgh%%?qjP8gZ7r2Cd|zg zDcs-4ZLtHT)I}Y^P`jhw>itRCf<7kTx2m#^J9WJ+tfmKU+2 zFPcUuu>hazPp^6U0rYxnP|gdG%&R+A0$~ZZVFH))&3{1qtOV(o~t? z{;&Ya9#>p4RZdgog5rOfB$!<|364uHJJ+YFvm@oqHIWS}&R=(}d!?gK zGise?{puB|E9G7K(Jhkid_)vd(c%#4E`2@v?l1W#l`bjfvhlolXu7;1O3T&>1bcpr z;+@&c(f&muWiGV8-xk`F{*=bfj%KDtwD;9jXlepACEg|hs6apWW4`;B-aD39Mi50R z5e#sF@2^_S^PytoN{V&A+>^Qpf)cS&mAIgoKNGZ)DNsWNeCdKTP-P2a5fBkj<$wyQ zs5=*oiRFWmMd8qx`lk-J3&zAUSgMGNg=#|WPJMV6jEQAH^mV`l!yDpeG4>7=;z4QQKZkqF7cW4ud(i zjG^WW)%1>WOR5y0J+0j>mb;rSv}EF0%hr0der~iLqu%!VN?|%0bv}_HkJYI>BVxPL z%5$6P6l6{~;Sppq!Nw7p&4yj#>7WPrNZwOya`?fL+VaGkB=EzgTpmk6k^KDz*yWHm z>Wz6iwdvDBssV0C+feF=+p?n3c zOJ!<;+vSb}8<3J+VKBNx3@#`o6yus1!ejE@*ouR2Oevt)ibH5jshB@ z_jfo5-@U4sM|MVqp3W}!f8bjIswW`)-HNk0bGqBTQwSQP!VW{kl-xxRO^yaC)Ut(i z$`WM-D1klZaklMe?w!IXa7G1Vu<{k%JLNfo(VQ!yiJ1dNLrVT!YNhHIbG_sr{QucM z+h702KdG+igIM31_Ao7Ce`iL8)4Jp&Z&_=&5|&gD;eBl0C8NR&6TCZ^V9_%p%DvUT zbAIJK`IW!+T_68RuRdRuWvFRCv;`hoFe^4-dN)m-w2>9ANG~D8 zD$8K~B34-j%NMcAQjlL)R4*73)RR0z^+JrC+P5al5x(g!ExUaTqVEgEig|>_X^4JF zz=ga9z06=tuQ@_vS|+^XhR??I?u_ZQTQnQfr{Da{#bOeH_tL}+P}W29%{mt$3;*wb z@*Q9O(T=%S&&Kj=7L#HAF(W8ozBZ^ArU)vIazV~#hj>s0k-}*NV>n2jO<)_=PhfW6 zKF4G^9K`%4LVro?(hV?EIEWxnpiclxut_pRdCCrLKc@Bt;Lshm7B5!d#~3aRsP{5B zwXA`VmB!RotdS@%`UN!Lf}vOeg|^xVg2uL`3yhX^)7FL_hrcCM$lJOqx7fS`&BdySZ z1PX-Qj=l%TQ0@_aSfN6K{!6ZCE0~{_3KDYLpL=r%M+; zmBB{$>f;1!T(V}9XVjgK7!s5$ctjdQ)Hg<`U8JVrxDPger=b%oK4!6EB2IRV%U9?v zOcfWvKoV3M0(pONsq6miy^cdKfJ{dvG*oOxdav0|jhwdkZXZvBn&X1uaP@SwzEmqd z*rawmxf`)O(<3qL>={iryO@Y^-nkjmZqe)+O}|B_ct+p&5g+j<{xh#Us+($_kwwR) z4IV(r4O^6`D9Tp_#$kehxD!$U!QHk)ClJ#NVfZ}9;^h@%n&Gg-bYEGqvbOl4MFSS3 z;%<#G!E`#n{KnxGR9^ZO&M~GLj>iKylc3iAO6F?b4)Q;8Zo9sTLgQ2^*vj=UKgS&yKo8#F&&YVUaQNZ zVs-@k238@dh&;!_0qh&;z)r>^D(0I11a`Ueq zRq+e^PgUy)0C-nu{;8@@u39#3xlO4DH8-~@jRlSJj@O_`ZMbjpeXZH$!Ut-Pb;}1z z#9rtCy)HdyS^n|Ur+?%}f5-DbI;Ky(YiYCWsL7~Ly=!T=DJej^uvwoDYUrp>y%`CN zkXc(~eTqIDRaHJH*$*A{>7dS!`gBn7MtwS{c#}T$${UJqCAlY#aPW)e@|a)T;|PPb%pBo!@#a6aiv6Qs`IEo&`yJ}1=h@9*SUc*N zVy{4n9*;U|jzN7L6~~~yjv0(WeH|6Mx1+95E^1uwsMu>eDw;w%iHW4xYddO>GoKXu zpf{fs`>+X+Y_DZ7D)^$$1VqI?Yy#r#88!jw{tTLcbc3!o0jL7SJ9O9pt1sci0tKPw z4vBIruM<+*j5j3kST`B7(ICOhN-zfv@I)k9o1(kjv;^Y^z1)^=+3VRB-K)^(mJJOx z8iUttGBOF-7eja2Ul1A!G%+ZBDak~oO(PMFhSX?$2biUknpU9Y6I%|g&M8{{rX?J( z>1Hd3j7Vx461v^^gGC3pl@vsjZ_4E{D?>J7X^rFj0P@KQb&z?UWYewRfFf z7Zk21)~P@oHjaO+CwKBV<~NP)f6f||1sId2)P>he)pa9PPQ>1YD4y! zlH1Zt<4YzR18yEt;2=+pLESk|4UL>^%n=RtB8ed`9gUo94En5uEr*l`t#wUV@+}D6 zq#+bB4(RG*5o5CM7KK;Y0+*$-UH-FFp72bIwY^eV_Gxg`lGD`}}j4Z^d#?zbMdpY(04E3t#xM7R2Q{ zg8=5Q6sJ)4>%j-|6sN!$6h-)P|Hr%k>Uc?Js05zu%upRVdI6~<)0(d61r+*H*v-wh zQx}O|0N90#fkjECT`_tA)xPc)B*%>w?)9&WUI3W)i+Me+Fli(UMlWEvuALzHgLUmx zk{PUPM=#(eb#0n4F=}c~&smb`7-%=1{z-*S1-O}sw!gk!-=}_49W!@xN#?Z7;armW z!l%Ea*oL>f^is74EOCGPAN|xH{8%r^C=jemA+x8857yZgI$Rq*6Y5jlZAqdzlC&YM zyAZ}X2}jWt`xJA5)TbthOrR!b4r3HDHtu(nLIwaJByqr`vKH>MbZF{RJ2X@|YQ`dn zFueIO)Tbu6!`NV{9Un0y6Z$l*NPTL8d(XLg< zb@D91b_cDW4lYrZNp6oD5=f9_ho=!GM-ZuKkhD z)e7}0)o82oZ=Z}FZIBdZ)}@|bBgFZXD$JlRz0Zm@fOXe|2#>M0(7TQL>)wQ%mLP!2 zt5Ox;z2pcF@oaw9tN!`hU+@l4U8q%$yTyT13&1? zXi|^H*>yNzs8O>b-Oc*)uShV(LxY#5Jv7}-69l2}LNxOMnIE03P`)bAOcTV!DDn?e zj{^SK96G8;%%A7@M1Vavn}(1oHmyY7EKqU{t4Gj#;Usx%P%<>fmZX!NWx3=~dY~80 zlA}sbb?uGMkFTCp)U$uH^ zEHJ@TLX1bE`NNQv)~dz8_JB~-DP``1C#9vkS>j2fGTTDP_(>2p2Cju&@hB; z7!fTmNVv5r0{%Hi_$E(21}4WfO&JX;2TnDD(efVAmLQdF_!Fc;N-M^|1f1H%mZKvQ z1*9aH0}~lyL(9t$rIn0<$q`pjia5yQu(33+N!4jK`f%7|3L}3Hu4L;;G~AXuaO7#Q z!;ad#W^{}Y>}YEzMjF7MiIOMV{Te~2wJy}wy5X>1-Hw&|@-Llni_t--Yd0Z^?t2^5 zOR{p~1z4G<{EczXT<&=s%01Ie%p-_<{=hH%i=X}xk9)K%)dIR4SKBiUAg0+JRn@~& z!`Hi%y`BhZ_GO2sCa2j4xcgI@eTce0rP+s=`(v8D0&t;=I>h}c&2BWwTQuZO>wExW zsx+6ED}vxG72Cb@VY??=acbm9#dgQaq7VT+9VACJWz}A)uFjce=hC_=5KHwqLt3(^ z%)9A)h$?bUv!5|F^jLR3%u&_dMO8(y%~91ODW8A+=e_GE|GWdWi~j*Dy#T9j+ca2R|v^BNWe+UYw(0 z)%Wt>o=AA`AStcT9zaJ05Srv9KS|>*?I&%+ktb>v2GU zcO%W2gy)A}rk?MMaGdn}noajC?W%9Do6qn}FC}EjwXX3`@c3p*jLNuL2qH_$-H| z!V*UG7eNO3&?ziMa!e{+Ko%6nH(xP^rQk3pD;-%tR)urT1yfk6RAx9dvVN+^Trh>D zYt$5FMa;)*&r!@*+3$%1kQ8DT*t(yWoTM7P+*M~)FGY=+POR}?SGP@9fkpdG;~{GT zP1%eGuV>UJSfzoa9!Rate5|K6hHBcv3vBc-Yk>`MBNd)K%J;Fg3U7#rz{&Y*cQU!nR&&i;c9&ZWQfC^lwj~Egh=ZS+ey@L zTd-|v@5*Mm%!eM;TcS;DHnDCr{d}SY^qX;Hz!cr0Yn7Tn*|?=_*MQc-!-$ZfQU~J2 zTBa(wyrrQ^j<8@Exdvf5z+DkK`y_72J1`orRxEpbPVLBFp&|!ax8p8Pt`nfKrm!~%BVH3^q zfhMU{V)fhI6ciH`k?Fuwb=I8Rnv+`}7`-9C>Fd(b6%wwQr{WGz`;7uSj6B+Z+Jy&4-S;lgbCpB6llD zHE2JEm34^@&?Q;MZ2OOinG@(Sg(&ZU%T}k~1*sTq#XT?uxI8k#h-l(;c~WuAhY)JWaU{&;t|2+taGXOSA}dO@mO=$$;~I_sgp#h zx&eo4PBT>o5X9+lWF)o_DMi&iNzJUcR~98;AWq=8k;-qHs;Y(l`N}2E!(Q8N#$7&^%F?uXc=?B*)-ElH^K#ldo;f z^xSZw@MEY_|J@(^)9-wTXRGy%zPfn?{kzbV3H#_O70n|+uxghHx=4_sNrJ9YZ(}_B z;EZ(lM`No;&xmwasSq5RknSoK9fgAf(ix)bWxd&ebX66FFWVi-Znj!ANA??CW5}o0 ztJ7VqRwp9mpGtek!#+4@vuN+ieKNXqe=|sr2Agx$XUtXKXEvIFCAfy40=wem%uacP zN4&NhI)!81b$w3ZuiJj(m;S6fg_`NLh}u%Zo{b)xOt2zgQVMezq9bmH=Z?iv6f!#J zTx}E-KKk$0 zdP+GuN_WiHQ*yCZS1(A?zLZU%S+=l0{6UM6$pnx)N@^dVl@9T)qR%Aixu^N0oxtu=NeM_p3UdC1wT?zS4Gxy(S;>?Vo=j%^(|3RQrQ$JW1^zE7={` z?ph<3)qW+r!?*{{s@NmgQ0)y<{6w{n2I_&)HSzY4UP9W=*a6X+@2vLEFuJBy=0RsW zGllB#o1_`^FsG7J=w3TZAnalSq4ob=XZL*?*W+!SRO+5VtwGUewKnr~r#iD-9xu$w zh`vym6|JvM2uN3Sf0At{IwCQ(WlmzPydx4{G1P~=s6_+n9nNU zZ{5O^B!miZ(m`fX;27nr0{*Uo&>Z5;qs_C77jQx94HLxoEEHkI^^WuyaME3n-n#))Q2&y>Ho?={gp z`|{`aqJc?_oku${Fd0O-#&qEz$~DK02KWaZmJ(9c%(zVk^(UZ! zbT7n>VXs~3+iLxjK2O8{jncztpgC?lZSu$9d?%(;kxe|^XDF}B>@$RR=cnKH){Dv( z{`A`dk@yt0@Uss;pLUC8pW$|fpMU&c_$Ocdb0_4Q*BuV@n9U3`Aq}eKHZl7QE42Jc zEpm_YT&_$-pJA{`F!~Gt38NUs6}QXI#FXeW>@X5ctT9TACD-8Rn0$uS)@qUD@yh2I zeFo%9ir|8i+OuHt8Bnid!BiR)$y$~Qrpag6f?EwghmtFBtTmEMN|cjEgAai#T4E%% zXkIhjo%Kc;>UWDhG6{`jygh}lO)=G-HRJs`t`C}O;7{wcGsYWK98d9rPMrm;4#Wp! ziE&O#)S4j2o8MZA5jDl|@=Nj=1>4%%%|U2LoSuv=nP%NONsHUhM(@7yTR!I1Kr`3i|} z>J<~*A23CP(G>!nqE}pyir)kQH)h710Nq6?pqb#Ltf&VKE$mjlVMtIvSTx}gL)iPV zfiGOGTaFj0xewk~YR(g*7Haw0uIS(cBuo>lxrDA+J{5^tBrkArciPN|^IZn82B0x$ zZX77`Nzu=n5ycs(YDzDTm%Ie|ak}Ox&*mJuJiejJv|BWXF1Hi9{G$)~`EU4#j_=mo zv!y#rCYrcAg8ESXgym5SR=(=gQ$gV`f(IG0LTfJ-TC#4%g56<{xsf7>;fU&)yC5x? z9U81p2dM$V*)F~ME=UVzf?MqzL1AyT=oDU4J#~TB5~=H0&`p3cRxo_Wc4H$oal)qk1)9HlBu2wS{G#-JHi#v#Idb8$GxGMg`MTMpRG0y2v?7ml4)aq= z)p%lKgWOY_R*9(^oSah3;}2lrO#&vSYQX4N(kf`YZ0l7`d8b@Vgr?n@!s-5is!=pJ zW+OIbs8PKvTC@k_EjmuWykU8V>QNWk4$o~-p}wXa`R3BfJAP`HyJr)99}m?i=Vr6$ zYKxCdN84ZM>Q?p6ut2`y!PWpzo@|YzU|mq@i0y5S+r4f~a$Fd?Pb`$oUPV1c@fqOdl8~wIJgw#*`rZ57dfK zJK4cyX_JgpQS}@*D{`8FtY8Jg+UC%7Fia4HR>XQBZMjAQvk?>r!z2Mo;RG8JC1BKB zD;Wt~V6DM>AP1L8m?;%1_z_zZ(Jr7myh7Bi?V9oK;3n!M7dRTY`Z2va*%=U{S&eD^ zpa$AUxbO@6Pfhe0;vZHE_ttGmOC zRmgQAyxLvE?3Z_A#HL)k0yAy-)?_iB`zx>htnYm31g=TuUvkJGH>be7yn7W~RBaRz z<2i3$U7I%X5GckA-n+V9bq1)!c&@gtf{BY$p`f39#o*ZC1uC7Z+vC1q-CZzL-;j!5 zld6mCmEGAbF`nBUSREHZ&U4R)PVrnJ%V+s{NB)L%k|~}m77mgdAnudoO;uynk){v% z_x(&K&RML-A&WK5lkRA}IoO$loevDQ$|wJ$fA+8bkXv6h<1IVT;KXQmr{RJPO^o(n z2ZEJG2=Nozy%LR(yFXywIs0g7P&{zB3;Z>N3GJah*dgf;YFvzpj>vqrf*$NZaD5=v zKLkN*iC`!Fm=Nrsw!VVN7fF=3TJ|KdzIp>d+IvK5RwOZn6LqG%CbOw7iGkK4iSvc+ z&yw-(++*#c~Y1!JoV$7m0NZ7f-Qwvd}1Wr20GhfOg-4y z7Gvtc&bAa&zn{-<=(Co=AoiKc0b>@w;^#hFmlAH{D!CB_yvB53!V%U(x3F@U-XU-^ZH-E@pP0=PoGsZo{sYAnc9|& z=Ms5Vmdp@$mRX|ZRW0>Dv)hB6TPYzu)VUQC(u18_F(Hj=-qo#BF(F+6Mw~{`>6{{A zuKgMZ81;2d1qEm=``7B6s%Eo(u%J}~z*U$CS4wvMVQXW1b(QylPKFs(c}`R%Omle0 zQKLh)9~JCcqXXuW#phEQc*{HC8c{T^sjM4Myqvat8=#sPyTL;(!GVpNG`Of_!z5>ts&nJi;2w|j70F;55FVTXG|vV`RTsP?glCz5N- zcpj+H4e~%HN{wM6$nY>j%^pDe%UWU|5oEkQ?8%iJB_tan$kQr>szsX#ccz&2%fhgw z8!@3sg|4CzP7#8wzOfFvLqF>l7mWWk8ecZ!_x#)^0pII>sHqG7FO$SZ;^M#Z=MS$2VGh@ExNpO|A4&!5?X zcznqo)Z;5@vv11famYIwWDPMPEV<#u7F1>Z_Wgh*_a}bf+uk`rH6P(piXz2G@uZe`q%zG70%%avAo;DmN`c=T2=MF>prs+v2Xk*u1J93xs(a|T6}i8-dk z_j|Ct%UL4VrHw*P~%3`d|VxL)B;Daw~Q%KHG|7Ql|ATQOLwFzsHB=PMNFG;5CXK?F{$R| zh9LmFW&~5&Ae}*xi6T(-(?j9vYC=9u(JZ>wolH@-v|0NXT{(Cd6FhdFqCD&lEAG+V zNjkd~k9!}+y3R=!cT#-enw1aVz8|=gpZTZX@a6x~D~F|wi*TDiTDQ=#U8mP#f=-L> zr#o2%LCTGomt~4BaSHtv6WrIKv^~TrbSF&^t%ZQYzy;c`wn!o{HZEV`9I3OaAQ}|J zO9XLB=NzfCOb}yZ0fgm>Xe)1<1*o%35Fs>2=#YwK-kJIOJ7Dm%ThuzqBQ&1|0ztETu>oS+JO?zway35vYQD(9+Pgz!)aX^P?l+f^-B-kOM-b zu6jHgf29l35imiNZ`cKiMzF&YEZKQQIs#SD>Z-8W9G036O-G;#g0fAboWo`X7Pl-Y zj(|z-;H;=5vO(>I6i2`$x3KTx2prJgq%K4G3YT2+N+yZ9c&v30=!}tv?a|}iDclxp zfy0e#CFAWupAn(Xz`X2N1Td$cE^Te~=m*k@;^+rZb9a7Gyg`^ZNQQNsC!3SnHPap1 z9hlJL9il%HkA9LH;x0+1(@Bw_ZnTbw{Oe_>)X>I;DrVzW%T}S-)`d@v5p^$&_oyfT zaIXNb#y4soxw+FTiP@on(bbWgJT@}%xb6f5vpprsA3?fTwpS&TR( z`A`1KUECkl*2MQCEDEuBASsF(-f^B%q`BkBzeIB<4483$$z0U^+h$a`Jhk{h_MYo7 zWR~}4c1Rvyp1WzcXm&`Z!_H5EWqr!Ge(_)ay`D`~@6a5Q;wwH;uu(`j>@XclEJXRL zI3y;xuS~5NJlJw0q|C$+KS9b&4AB#$%)}5oLCj3tgq(nCKg82bZC$>pBK%(Mq$(~WD{Dbiqoso&C&2`%Lz56p$YcgTN%o^NVgY?{xDWM8U2%w3OXDMYaSOY<7G((g!Sk;F`Z%Fk#)Q* z86M+{EfW0FB)i>O7+w}(I2xYg3$UT;BubDI0_aRHIY@>F`Qo@cFwrhR-!4Z*c#m2nke>QjMtkBzJwkCA1|2waP%Go z+8yw2R(rkPU7#TD`>)p*>s{KPZ&N&=yj{Nk;bOgy`)@DS$$MDy_s0G6?_cA5k$0=- zzusT06MYi|^8VT1zy*sx2N5%*YXGfRt8U`kjkzD&d-w6}2Ho+3v}Q4O6BOLN``D_& zn4HW_6Xs?<&_-^H9Vj^(Z+h<8XLB2jgRj~3QeU1b*ad0#%MJ9^;OwNchIT;yPupzn zb&k<(tI=Jho5pr!m(4wCY^sNBnx2NbZLV&J8fe7fiAsUQkNCpn3opNL`P>`j_gvN% zqbg~ajk~#^{1Ev8lkW;WyD4|2U#^~T-=*a)Y>X=Rgd2!kC*2k9TH2j>Z@Gb8-`DR7 zz2?91(LcZZA}P{d$kk~1|JXyW9GnfP zIlxKj6m2n9y6sd7zJ{e1P%U39JL9dQ*9>GraY~Ns4q7UD&9&_cu2Y%KZQe`v1_6Gu zfms;t(t(J^CMh47g-X39K*E|qS%!gG>t(k^E8QLI`s$;VPAtr|?W>npI(UPiO0j`i zeZ11~1`Y5^PxJ9|WD)&4cz5armcQoSX#yjr{>#6Za~AXey28fdg~zTJ%(SBY1wL#Z zG{WoO;`X45E#2{`|ph^3@>_GcsHDHgHMMV`Z zyWGn(Z?+=Z$Ke_w{$uNn`bd6`u^NC@Ni+jO5V_aR5IXuFwqiXr=5d}n;7#pZNyXK) znf_}`g_xy`S+;8@;Mz2ZCqd3wI)J0cf^#i=2XnZ%NWF9z$CAQ#$+m@P7#>d|{XqI8 zMepJfW%(HHa;jR4-r1R*qIabO_UuF3?ABOs&c{j7`$+De>7E$9bB05T-qpN?O@v4WempX;F6fGe1c^sBDpL@oijANv8mzd$To&pN z%*WKYDm-*kDslEBzeQnNx9eixLSBJuUk|OnZI5i zoT<0By!4WL>T{TMcVSY|*M9MPZxM5&kKz`E+^&9vHL9LrI@4}uSo67$H<#5O=M~*L!kSkYR!`rT8%G>^ z529#E(;ip!HPSh{ZN#B5nnrw$J!Z?bp`4pW2!gFr9EkmrO=@{X_g--5I`n3_0Fpcl z2JeNxrUZRx(kdxpzmVc6mrW#_E$ZtzxS+VJWDWaZ^V^#v>;NX|M+dL9Lg(%1qqbfR zaDregi}5ditrG5D!6`ghlPz$kCVs2IU@`FU79}`bAU8VCvN_E$QH)3RGc9@c9L4WU}O@P`WgQVwX51yQC0HfIt)_mU?I2RNF`o{Jgt-z$`0+6)4Vlw*xs%drBK|G1AfOyER90qiwm4W*_jDGQtksVA_`CmnWJ10}8^LnZ+cAY;V$kv7H@alc1|;yeIJXr*f(Y$M~}BBjK#yOz2>Tm9=Ausk>?oMo}G?SSHc|x zPaAlI9o>lVuf4TaPZk8pQ|qhh+1yEr*}RAzuVS8)ds@0ec)LAapeb$hDpwo3N&wg*4E@+D#WsDH&16!0VQ%*Vh4X6(s z7>7z#1jAh$K{rezL!R7kh^2}3zF^(eWYM>AzX2;A(ETjM4)B$IE>4mO>v_q|K(8se*g_PjCmvbw`kjp$aOa z3y=&z3usZ>4^5R}f`tKvt#NBCoexbfzy!g1LdXL;&X${lrucnDW)Dmd#RoO7K$Y(_ zgmyt@531mCiRuGaM4P83E?iKu2PO&Z`$nMzNbsu{5G0vBsFEuTZ5E5YfpKqsj#9tK ztwPJoT0Ad89`?-@njXKS%h4iuw#BKzesCEmQy^ib|JoW?#C&?jgNKHeeu$3-lYUS+ zRIo^m{_$~IU*(i~!W0=#F;E&jDJ6EtW!H*;{l&8?-cz|37if0`o&q2lGM=`NmSkP3 zq)ZyXlK?mk+5FS}l@?KUUdC{uT^$ixN13r>QpoDH~5j zzVJbfKAiyI!w#V9IR!a_jz8Suus^yNVSYllB%h;jKxrU!Cz9Qf5xIiyL%z0Qe?~=d ze{P5UgJnql!u)lPdhW*zU8yF_P{+lKU zkZs=|-L7#t#W~d=0KKO;c-_Re8;pKfZ_v7nj!%5M+56@O-vR`M?Dm4+b~ocvo3!%e zMnq;0tI_B*30mPx8#b%l(UXlq5J>`p{q-p zl`lonp18T@`=K(@8o%VyRo`zV&D3ed6 ztd{H&T{|_%gPA22sRp^4;~tk(MGd0r)v3#^`L}%qCwDge(~X=|XkGj-jjNcs98SZv zieu}>M!eTxPOeMlr*W98>I5ZpBk*WIk2F!zi?3EldfTf}NoU#xJ#yd5Gf%N1H z?N?I@JdzYsQ8gpCXihQRPKxRG|GuAm^XGf}p5BZr{SgdZY7B%Hn#?v9ieK3Jo`g^| zcF`Xg=`!CKUMILX{q!-&}@t*MXJuG&TD>`p=``6Ff)w+nW-#5jDiSrq$1 z(^5aE#mZw&qwR5vRCkGAKfVTUZr)45^do8Bo2E-UxQZ0@h~23?EM_99f6WCxQB^bS z`J`7p@#C-but!_A4F^{mxKCgyS?)+=Si?JzawH|nVkiQ$%PF=H1VF9L(#24u4t<5a-nD`;6e%ro$ju*Q zws|^q3`NSJk)i{xtWD;{P^5tBAqab(qo7ek=>|?gUux{MY$i5FZQ?kgs>+=T`@S`E zXvvOZ4r`$ptz&a2a_&$hkZOgjIr_+iWyEabggAosZDJfTo5JaO_Uyo~X_4e?3a8(q zQ{dk}@RP6jhIfx;<-GWJHsIF~o-3NdK|EJ9g@bv%XbQdl)&stZrqIi8J=m*QCG_fB z2YLk<4KnVghFDJodWHcj$jLOcEss9|H$mTV4|eK7a=Apw?4*=nw8Lsj3j?NqVHmDb z9lKoDX&^2Wqf|BJ24Z>GXPP~V^iQ{Dl3P=3CK@JLCLcTY05#1KuCBIG3uItvwv=L! z9ctM0_WaYpG!iCoHq70$8HME5qj`)UR;9i6#aGtOWKDC{+M!$hPmV85Qs5 zrpH<%)ce4-Vba&>i0f%uy<>PM*!3Ic2mj%R{x@%b=|dgq3Sb*3;_&)OVPg6 zK>YwYKsHYkU}X{%1jY9+f@nHnS1Z9r$ldE}s$W4+e1BUJnKA(n?)T`gYy?H&*@|cv zMMe!l!fH|Gsr#Wt;n|9CCA3GNOgAfw=t)KN)L6rKqlfm;;84L&u3 zqVVh-7i-RdBqZq2IY(7^MRK>s3<&<3Be0W2jpD5)3qFFC3 zHE4*ylXb10Mjr@44{=6fMbozJUufSsKX}Ic;C+}HP<~xQ`|4AEY6ft!_MNnR>$0;t z^Uwdrd*Ah*;BLx7t@hpRkx>z)3Z<#ZdRGNe`(lLo)k-zJ-a|??YF`e7zf!3t?K`AY zllC1_s!{u*(o&|pf@!e*)lvJRJc6>b=qkzOWc?@ZrrsZz@gbcm?q-o3(5a*Pk6Ny( zJNclh$Pm)k(tWMxs5Cym-?GM(3}`xE0mGN+<2H8jUca-&>?EX!H zy7notJgE$QMIq9fM+oJMF71+bjULYtLL3vRy12FjqMOzF4A>4>zP*Cq z$~yts1Y5NC#C}*?xszvo@UXTUJh<$7!)UC<_onFW?^SBvzUSXQ8UHs*3>gI^ufsz> zGRc%KK)LlcpA`7I4XH_*hlD^7`*r?*{_skY|ebW}}~ z+L~*i>=<*=n`)tR(t&Dg?og9g^xB@oJDQ_YxmU>*+nKoL0o1UqWKc^NJHYVJ(F;dO zhJrO~(n+gpf@4nnpDiflT9h67HeC|Qz*1m0aUEnVr#>j=nlJ&U5nF9JnAUS7h^%qhs1ZlAPwJq50N4wfZ7AeZA^f z)yc2*eXxJ8eL?;rPi*P671|dWyW5M5HsJ1y%zwPa7kQxBx6?a|Ue46}+39`7D9~MK z7G<++s-I}Trq@qo+v^V;EgEOha@1)86^x7)Wpiq57G57X0W{Q4bm*raU$*`DXqLsB z<@Fcz(w@{TiwlOgs@6}?8k>7((SV9@WT5vI=NoDIH)xhk!(BFf8AJU>w*7!xoNsIv zMic86C*%i&K-yon!~Uy_?K1xU!*)b?e5i1;kt=$x1bO$vtaMz+wHb)zUIko(1rKzW2*|I_m`U{%uVWIFLZ6GaO-Ar zg=^Do2dl2#R5doLg2t<3nD(pW>Vkb;S4>vao-N$~_@Z-+t9Gp|TikUX4g35v7u~zU z7^Qpn2JZ@YnMcDev?Gv@71R1(@FD;8Z+QD?l4}P)N9uNPQrawzr1fj=3Vp=P{~rOA zMA0y1nnHMtV%?f6LJ(+*oWptj>vEFIAex}og=3OdOi3=_O{D4KxL%O0Hwnfh7iKW= z&{8lg^LRXW6_Z@-t1mzf%(xf-#RX%M>wu92lGaD;iIGHfiCi!xxxl%Mb7PVVJt^(4 zNhlK3nmHMPl5kv`pOff=;9xQX$;8=??qK@iT2w7}2Swz)G>5>%Gzd|I0rB>1_vC=& znnw&|YS)bS2d=W#KG2t6NRsWhPjm9Bi)S&L(Y9EWHmNV1j-PsL7O#<^MZzF{75`H) zbKJ_-^C~M_Hr1eP=$s>usQgo0m7o2azE6}uBxXtrc2)2TwvF^$3uh5{N^l33jXTl7 zpJ41z@}I`=Cm2f<$yQhoNcLaCqhS9vT&fOJhh1iMS_PF&6Vd-+%IuUeNiCd5E>Cqh zaJ8=0gHaw|w=Sv4+Wms29X$CRx6&W?YyQulSp6l(zB`vHy*%9_X*mc}s91MP45brY zRK7xHjjGxNeLM{I(AdxgsS8YSi}qRx4R^~0=fOd{xgb@62_n7*JvJrLRRhwX` z5;NEY$fQ}3s@eoA2Rg8KOO1*B(7fAK5F9ds&f5cWr>fcosj5w|WEhatlc{X^$B3#n z$=!aX+8-$iHW8$s9r#}1dLqb{s>u#beC-8F<6%%MQYQV~1{@w~&B@AAREw&nYir6z zJx$SLRWp_kZIJ^Q75V0JcWRNtPo`f(;^`Jm$$2l$Fi*v-L=7pSfCr4w0YC(Lgh+^C ziqKk>D%&0*K_ey&MN~(M&?J2oH6$apus<|njLY2O==RN|etbQ%X3a>22l!r0n@3q+s(uvjaDQy=d}bm@1i1#qrJY4#AY z#*ho`?Mgy<=ppEcAy)`yb|6vDAxaFn_8WvK8uhe2Y#CQTRxgds(7dl%XbU?K%1{ZH zO%APN$b}sE0#U5kT$3ENxim;>)jT*6bLC&03daxTyJVKtpl)v#W=t{R9Ybp&NckHL zt&G)nyQ79T8rmi^*g=m%c_ddJ0x6X!+ioa3hWf-g**7-SIA3H0DsLhU^(mp0xOw5{ z$mQ`+L;|hTP3dDBiv0Uu^Bv#$Gaia4#?#OM1@Q?ezSMsZVItSlg3={xWMIb%#TWhv z$)D&~1r1PF+-<1B)=%t_>QJA&d<8*KVYXsLjV>{RL0|g1qNuPU2+F^LcmjH~=+`YJH(yazSdj!* z25A(z$W)lMoqa5vD~N6*9sY4xih2>Y=j@`_7TgBrmAv;hhU)212WY&Yn8 zZUn{Z+max7)>;vMeg}?}3A&EUohBd`B2ztBi+KxJ=H^S>%l^xnU?Yulq$8a307+M;}+0FNy)gNr-eE0?|>>f z0|kKipj=R1c0n)y#BqNc4C`$KseSFx+v7$nD~ApGO&USoL=%KsBab5E9)!}3pqGD; zUd^$tk$$@`dkgV>uS*0Eox2DTn#!;HR$7h%XdUp z6SV*xv%Y|p5hE;fV6%aXW*rCwHsDL`hCqm5IF!}IEDXp|fXSzYSuYC0t9(^bsV2As zo00TnAW=wqvf7RGKQ^SGV67U?@`Fr(~8loV{9Mc60nz`XKd|%H0uZpIo^3~0?~9lI(#k!KHyzivB=ly1kMs{G5dEv`-fz3q$et_XwHxzlmZ zjtDvWW%dHh>bHOApz5_ikic$-%AHI&i-;Ba@S$=gc@jsAoF}L%Z;ppc)4YJGLDjV7 zTMNdZ>eu|bFMZEvcu=L$S)0<@t(VZH5{IlxtW?6d5>%Bfpk-0Em%I{o$f*$3z9x8B zZjMk`K)@{)rR?M@$_=sPN=+AGhI-q*7E@ZDEm{NSo3b!EYReAoiJi?3X|!9qD+qW|3JUC4_msyGUl*Eo$w+9Lby~lG!f5?;riB zw-V{iXl>~uEDsGM^(W1e+C1Uluzw9=6Z_xTF)%^d+w z=3b&R_7VXpA6|PP<#BZnC{rv!35|Z_W)oP()!`bz`SKo|>R?O_lBO-+8b=06Uw!dC z&%Av!Nb>1e8_+&vU6@oyExuP+U^z8tSLQ&fJ{XFoVvyujdeF_VuNDBhti%v5dP2EW_jeP}iRDIB zD-~qbl&Zp-!n|s{G8{xVVjhVL=zg8vOcg#=!$y@|&#uwkQ)GM>N)N|ibLY_+JCCHd z2w0gdrqn$Jp!mHBI*b)}Qw^u8$TTUi(pp)Q~V3`Mjw2Ic_lP}cvM4>>anfZ zn3_>jR@D=NRF6%t5iqY-R!R;*Mlvo4P6kuq1gdq{$XL|{Z5A=L-eJHFL-p7M7p$?1 zdIXt5w<6V}3qsSQk5W__rXqHNq8^*1PF9A}1BS3(T4+pXPdD=ws5p$vbBUa$gvLoS-OX2f1lMYB!6b_OWWCOGJ74X00C>pl zyxf3*JWI~MNkRJPR<{w&;%_=YQ%%^TYfnJdo0N2vmSN=EJZu_wrn721BRA;@_Q{@% zh`@u>R2Sfv$St>IA36uAfUFzXf}&-Y>_LUSQgU_~=^#1025nfO#W%B8f{lnx4#B<% zfzq-p+kun-D=N4+()ka(chd1fo0`tAG^%la$l5b|J5b!1PA&<)uw#vL5*ful! z<$6gr&wKz4Mm-=SJ;1gJw$Hf!HRwVMHwpym@!XEj54KIPeWv60Wb;hthd?=Aw$t&r z-u(N6Ec7h)Pkdqda+FD>wOs4B)i$vg)S!)h5_>`Q>6`C(JE%XKfqU?fM4&E=^se%P zwrnq0NAa=R${_trn_C4%m+A4Dw&>ej*7Fn z-y@`w$%#Sx1xY8VrcfE}9i!rpFV<}BAnq1Ch{cQ0%0j!wQ>2o~gL&>Gsbq3soZtke zbBt4xI@r&hXjpnq$unFXb99_fzc@MWhZis0-J9y%G}q>t@V@<_?_ZHpxS+4@9(@&- zS12XjiGQe0qnp}dOvfn|r7B>DhvqJc+^pSi)v`Bl`LT4v5B%A$`t#oxg;UL2c)G!| z7@*2MGE{-DjNq#8G^2WJg3fSzKa7VvTM1|s>?eanFx8t2Zr6z5EOUD7 z)3!AmyA+mUrW=NR1tlB12S+f}IwV0C*>_2nmObN(gye#wSn1*0g)uVDzfS6ETz9|D z6De0sf^=Pbl0DEpS-aX_-aS%o)gTn3N$)CwF=rh;X-(dG($-$#OkYS+(35|)e(*ek z6hy=+f)akl6v4@ML{N&JY7wL)RU$CCbnLxw{rbyqy!`6*Be4e>7&UHvtL3%ZPpD3s z2Vc2Po;4a2Q$Z63ueV4Soa^1-TtD(}e8F4>@OTBd~R$Z?VD}v%fQ=ehnidBa})m}INqxuz#Rd1zJI1If* zQLQ=%NYtt?E#n-g?yUMsDNBy)VOwFb>VS`WH5Tj#y{#@a)-9B#oE@{P20lgpbsBmV zfLG2-PxgP(s;f0N@-v#tWVJmP908NsO6$jKDPfP9qFj&`86%L?WxNH?wv63=j>(=Q zqpMc4?s~L;MF4ea#J`yJ8oiC@$6C(P5@miXP2qAF176I!BadFae)Wx4uU~!%C?)w) zbbb*2n$Sr}M!t0BCG}fw4$$x?N(oGT3pG9WC*lFmI&^CBkze-G&rSvF)|U-yJz>^S zR3vLAqk4`~$%dl_>&Fdgbs%^E?w~iB*{nm1GY;q|tceRwrl^Nb83zDqReRSXoAqez zA8{0lS#KTtn8?tdx|sFWvAE7AwBEzTt-&nE=IUDK~v>X8RH0fGK7WxWiPHWHb#qx zDYGjcPRi`+fQ10lVDwwb1PchS%4hHka=jSc6NjTR@l?kzU|hm7Pm<#(yMm>HK*0jZ zcFJV4Or16fjY^qpOg-Wqdv3Ro-QzbzDL2*yFXry)nY(#E(Iu08KZM@j`RJ?u(vOF^ zi(n~|rI;uPPB|gV1_w5W_mn|+5mcctig*%sk@NpBHnbCrn2HbjVIee0;5Ng2`nqC- zyezdY$kWYfeLh@NuW^CuYh<#iSr$qxv|l>OKnO<23qc>cu>)8JcCmbz2zl|T@o9vN zV7GSuYhQ78+}8|?yixNrR>*am!`Bf*b7FT|*bJQ+Ih`gX8zdYEx$E5-$>6vcGkASu zmm<82!F%%!p537rgZJ!Cu$cD~T@2p+7`$))>BHClMBq*J$LIlBk>i~pTa6s=WP7aT zc+noq39%*F83vDJ>nG@2!{C8)^b{*=7(A?aitscH-V)aP1m9`S@dkUv|tYPy;Q>-Vfs@l*G5Q0-gdL{CbYHN-(>3QYIv1bMX zzO}T?$W-lmK#9#{DtQVuWr%k^;ptGX?-L?h&N-9z0Fo-9F58Aq_ZiWdO|d0i*0d>K zPwVDDoQyqACQl#zP4Tl{pHo!8Xu+>a6iF-~q)fTqD~2L32>mcdcq2LB^(^=4=qC zY8}&G-cINgW-T<}8`X3*QKo;(Wuc`1#(*N>+sC`C6WbYMx4fGpqZd;FA}2zJ3&Q?9 z6(~i|q{8@wrfk_QhmGD+gKIs`PC=zo~VM zVYJ+ONvK4bnabwOBrB1ysQjlvWxWLc4>)CDn_Pbbu(3!)?T?zqB{ zOcMhMJ4t{@Sy4=>FL_uUO%nCC`mrYyaLsnLH)>o1b;%uWMs;8AqiBbP?NgyKL#oSo z4a&C8NV4%{`CpuK>>VYCl_$hft&8GfP4Cc}g85Uz2YqNk^@(rS8^_Tajyl_|!Uem@ zC;WK=8%xL9#nwJOTRZP3y4c$LNz{GW_kY??eaBQ~${HO|aN2+>Rg8&xNGuOU*orjM z_Q>vRR;HNbqSXn(T$uvvHTxV{aN1(@U=@r+9qUL-8s*J%7&ydcjIv^ctrX;VC9b$# z+Njw$b%d?FMzoNNAUX|n;HnGGw|8o#I@;?NwzW5IZaM?wfA-gIw`}Se#!wY%`MlT^ z!q>Zb$iz6?xQ&Azb{luR%ET?eQY_($1XGIm5jlg^<;V`y;aOe+D9nQb{$;Zgt&a7g zudZ)kkPK8lo_9t*pLk_)e4lXxm+V;?H(YOKh9TIa5VatMR?jc4Svx;d8R^q7Lz|*S z7@6a(6J9qVz%ST}+CVsm<`WQn6>}1p*IvH<{1;z%={>Om~{ClTaauqk> zWLzxR{aCOc{|6uRw$)U$xL@vT``TeaZfl5H--HxY?m0rRGtyrmyH$UnQE*6 zHB1Mlw*y0lY&DYF#ZanAxL>J>Z|0g|gvhKjUo^IQ&DS-#zRG zqAk~P5o+K6-f)bnj3NN*Q`xyP0=l}6A8 zu3c+IbBo8uHI+@W|qFhB-2EQItNF8`V^_eOp&s zgxUBa@nf$y0JzqlMnXuJJSy=L8$QS|)Jf7nxFn!M*2uBkfmODXq~&!e|>Y+-ilKcMs-xNi_i3YC~(1WV(H?4yzRhakBCaQrrIQ>Ha0@Er7R_T&;{M!PlIB z6A9@pzjJl7hBp!TY-ip?ko22~Z@ZfaC-R$!Z=cFd^rE{~ecc)^Rv*uc2a`RfE*>91 zUcfYa7UV@Ly5Zsw@=_8QLZX&@I@t~v2P1zg(=ObW;^Ixr1O_uMas=u80Lc`lSqM}Y zOX7!?fDlAcx*T|Dg?JVpr(kD$;@zGa7sS4VpoEJmRIusuchs;-r5o2zrrgq91A%h= zvK<$^?wNMiXEN>LdVwf!E-IOJO9E(3OOUT$!m9TDt!h3;(>)Ecq~BKC#9q+&?!o=Z#&QSLQg7h0OMwgkF<7D!x@N&MtU+=phVH~n zJ3uSYEXw0;j-KD70;}I@{tESf>2xUC`VLzA5*=vrrciB_#=o}=9q6C@$p3lqcOxYT za!zPr2axC%>Od=UaS=J$#v|G;D{8smmh>JXxZ7F5Ci3PMmrN)De%3H8_b%HcQwth5lp|RPpD{*S|+HFaoU{s+Z=(D0jCK2z? zLxSpp$;XZWD&DEkn=!;TmH95rVRuOgid<%T*r8le*4&{;WJ7F87rKKdadwln-tgg* z%_CpFKv|w1l*Lrk#7}g|W!_IN^TR*tH-7BoNJfA_SFnGGYFC>9&ou3dVnNs;w!&m~ zNl}70OL9E63#OM=-vxs%6dd5aAQgcN+9)yhUJVI0Y4u$Y+}}R&vQ%HY>_;Tmc6T`(9sw_xP#1ZnkMkh^t7hB}>~Sbdj7x)tMvcHt_e4CInQ0($;PMp|hxtHqA%#yA|6NBBRHiz!eyjY?3l@d!yzHP#&- z);`|(iTyS9#5+A(?e6gKXe3*9nVfuHwoa&CBKagF-;tBSCUSY_n`yh3Cv3o=wBV?&d*}RpFisoCJmsYItC~@T?Y`gpM2p`~kW} zUHK5Cdh``-E+PbxfY%Tv1gRcf5JXGNq5vnc-eOon1uICN)4&S&$vBvg@Ov4J$DF3s zjWsB0E20ZnA%V*^m<{WSlIpV+ck3Oq^vLv}08t_E6;%)INU{pzB#t&0&rdxWZ173f zC;BajlW=upuQ|5By_j>dWK1eKWd#BxP+*S&#IsV8==z|R0}Af0uNs_-0=(cPjH7m# zN$z0sbCs4oBNIAtOlkf6?$`eGJ0{0$gEvR2$s8lydpFgmLx-vQbUVVFioaBRIyCl( z=0I}{bWIg3K3&iQ><0X%*{6%CCA;Nd#q86eq-fp}$^(6iaQ5jyV5jBEBT9^Y=Wp@p zHqhmob;if)K1}D+Nmd?Dn`Uy#_fxoHkqKj^pOUNLKyGGo+^5^GHXMBeN$~IXmnrpE zmsH!!WS4xY`AeTvuIhaC#CQ7F@cwk$sNb*cbv6aO9-Z9O2LP;?_lOI*jqSZ*YzP47;ORVy(hbUfO za#Eo+Z&46Ls(RYQ?a z^L_prd&b^T>Ym1OuX!KCo;>4Yxi74yUIm+^LPY;qfwcr5)?%xarU~;f9CmP?s0p_ue$r<%Rb}qu2v5sONnzU*~;1 z&QDkS)a04BA`pTpy&-WgnNGIoPDdkqcabT*fzJ)b zNqpr3EoV#w5>z)co9s>D`zVeiGfTcK@G+|bvG?wqrqf*2;THw&r2?5tD zxax`dwSbKShn|i{*5w>rIdI@;^-IU2Hdb)e5Rk{q8~bHDTtxv=r%gV-&1C>wCO!9n z%i!Ck_At0iw~2%mv2ACgXY4r$>o!_9qshMz!FYdsv*#lX^5TG8+USiaqufkG?}xt6 zvp$vYtG@bAtgjPhRBwlbHj-;0bcs_l(4gH6^fSfE zT?m_RVR-F6z8KzTcT~c>pNK~6&G3y<;y~X*k@{0VHL>^u!SMdrE1O^Q&cJ1m@v> zZPGJ=l1+`Rhp2HCx29`zQ)jOkYjx?EI~j*Rm0@^xhu)y0at^g<(~_f|1c2V80)6>j zSu@%A-+t1UeC00+ER|~gfrk&zUSaQTw6mE91Ay8V5_y=nEspyEO=_J7OGV>?n6_;w zfh}l`JHg`N@A01m7ofH31&fET9lVGc)@yd~0;Uw#SUmjAhJ(^j0mcM^T7Xx4yk8@t z8Y`?qyQ63t`aKrUP*yqCj8Y1z|_xWq=8GA>ml!qHpcNY);ygZ`h zqf;JE0-(l1pd(pQi*S01k(QGjq^IR(Xe;K@{Asu^WoQrE#PVax@Z-PzfB)9hETjuP zL(0%t3dVI@R)$D4+^plWGPINfIhWSZvsEFLbVnPKyx)M8z6xe#XxSj3;TK+SvL6Jt zqNF?E??V&+hx9@3zGaw%9QAAWWWbsC6!Sf8>w+@`wPnEyq($-Zh7QK2k2C4-XyCn#S=Sx7q?26L`=Jr;vgW!6ti3WKSpIJNwuCJ4SIjlw`|Y+0@lrTAUu2Y_obmBV|NWac+62ToMC#2uwj#iOWt0o&jLs)hy_4i$dpNTr5~@#6RZJ5tp1 z1gD`OlR`lX{HS3!*l={KE@``;?@L9_TYgMM{;|LC3BUCZP0d5W^iI+;AsdfbnSJg& z8V(VwXlEi3dB|XQDRHVu&+l)MT9?j5fcuZz7cDpW->te@sAD+s#~_C)PO=Su5=Gfj#+%>Jq?dh*e> zZ2X%pJjT7Da$bG0t#Z_@0fO#*_peT;m+*4Z<(NEhnRS+2;sJ3im|Bt82;$W#;#dIm zvcu10U4br40Q5j@y2@>!1Pqo+O3xH4+hG;%xEDmf9(Tjv@pkaYwxbHbA$D zW2qgV7C>-rC~nW)guxrLNdLwk`;7nN?a#hgN8u>s`5y6*7o#%om zF_3~3=&1bytla#WLy#)Z1u>?6DRdlQH8f~(2uj(;2>4L6Vc8ceu2!abA=Myfl%Mi8^=*i1~pCPjPsFp{aX6=8-*@BzOE z^EG=x%~YD?algihYD%KeqwalNq?T>`Ds=!1CD+V9mXiyqg|jrJgwg@)R9 zkWjcZ!Slh+=7!s$y~U?bn|x@Si+^D=w7w<3(WWp*%{FKde>ZG=^{;;E_CBU(T~-MhG8W z9&OuYI-m*eFr8Ng;SP6#{E=M{EO;DzfcDVk!aUnpF(*4PA%PsJIQ7W5-|=J=Iu`r< zNltY%R2fcv?2{rn(~;UkK^NG4n39b0xxhepL03}q#fK@$s4aM36u$~+SN}zpWE22x z7&^q%4WJULB-5HX8HEUinL@Ul?uU{k8Fe`FXXKhki)GT9`R6&}N0DR{B&`OJB+KuE z43k7$;H@9@(3WHr3a@tdqmR8zYvx}FRUw^SeLRp%b2JEv2+!tXt+U98xmr(xe+*A6%vK_}usw!>D z*V7CVYAe)^Pb(R9BN>FmBg$m~E{Vitk(ecdSE8bihwY5CgpFY17ix558fn65=&kxC zI=Y+a=okM-|H8L^dL%{F#d6Qc94-I@HzdJAEQ8s5YS3+07ahUqD3*OJ=qb^WQgvZP zp_Qv)RE>_v8^L&M=@uY@YJC?R4+@r+#3Y`%<#CVhO09w)7?TBxxZE4t_WAWn~a zW;#$koU>PWf7TTKu#eOfdys6?02$TCR!|?sm`-4s;C>Zi3dUB&n2LXvjG1gF>jll8 zjVVI0vqn6F4YZE9Mp>yCQwS7eEZcE@$(pClYE1EMU4}rv{Km_#UOy5GtxQ*MiBzL0 znu{?_O~ND=8)pcclMOy+2=upo_$NL0wE+v%yssNm%L1ng<90Z+j1Q^{V!SegIn)CW zg3E`ouw9mFbit@FV%Aq*7Naq3f~~>`#9dzxq%n2D9meg7=>tHgn*~5cYS z@!SVr1WT3*qxmmbbI%sKZcU~c{OtHR+B!FS_WbK0(ynAhF`!J9oVv zDaYz{U|+1nLr&Ktd#f&1I=cb%^O99Y#-o~H#Kdsh5*nn7X(dGxcC!!S$(dAA#1gdT z6V?>52K^}}mKZsopFVLuUVP-1l;yy)f+m6CJ_pSrUQT=*O$d8Tje2ByB`yDVzcQ1f=h4$~itv%>ko71pqq240*MkWP%}Kfg@X0B)f^xo1J9N0UwrV zdr`@Q1!U>$RL=f^P7))Ca(2lR%m^JtpqXQ`G7t*iU1#}$P()Nn;HuBP&sO-VAIFPz zx-W98qC#CWH^tkC$;l6>hd}LTu@H4IU{cK^oyfX~LjaSklQTFNr7irpDELtI@s6TN z>5s&IT_~nHJ?T(EV`d%NWnLM3JyoTB;Rv*c>%MHjyyeGizzg5~JwNv0pQ1Bai6R7CG<$<4kXXE0it&wTWu}X z*hGs`frctBGkEkk_w~o^fy!9FQRwYAi=)DGe*G&NtuJ=qJScjXl2kM4&i_M(G6(oe z^!B$85DTP)He!LaclTfhG@Jgs-}~pP{Y$a!=?zvk^)&Gl^``SuY`dFc+q?hvH@x^A zJwu(70jO64G5|~ghJ_6!m-3Lywn`_}SpQXoO!1BvkpIP=c&F>nr8~G(+MbC@o87__YWmhmw0X<-R)9&g z-}uSj_l>EC$`*oRDtVg5u?Z@G9#WXoG$%odp}~-z_qHQ+UD;e=JvAqH<}X&^|rf3&BE3@SKVF$m!}uF%=?LYlXVGP?j~^gJ zU-dInC_&)rl*|KTBdjiE;bxyVJ(Uj4dDGo`$vIr;ssZp2Rt=$0tXT4LC?Ub5BEgCS z)Z+-joR-_}G1bCSk^|DAoHqp(qR#z9MsffrEO}FKeMoSH`p^IynUX4)q5+%053}8) zCt1vCTw}?bqQgw}rDC2!h-ArPck<49UF=S?xJSZO~H zyLB1kNwXgjQ84H>%dckqtg@9Ht9E=0rYO6+|23;`ZvxS;=STU<-n`yn52hliI1wdL zv~nwdvHB#nEr3ZPCrUFM1Wq9xPFj7j>svGPlB`URv;Y@?ifF(EZKUwcOYV|U;sx9I z!fRp)>9Y9*(7WyBpzC2{IrS}6x+{pOPIn>dF&N@khSxV}Acfv=&MwT=m?gQwi_E zi2@r3jFKQqXs~UUxd2UYd00sq@3;cdlUVi;q^f9w8yH|&akU`MrmRR8zy%xiANuZg z{fg8VE(mNRi?Ps7m}B1y(gkoqaEzcUkRN8ZV0&OWHC+G~JfIpYRly^`k_f4bAYA|# z3{GZXeEL(13*eI5^^(2bI5#L>kW5HR&N9MctA=rR`HR~-HI)4z`}S84yB`g~g7{Mg z@P&c2gC7rq??;atR^-w35J~bFe;i^|V%@QKQ^we_pfZPTd1bYDe6z^D41NP2|(CK8&nEy!j5s^lOXY0TCnE)&v$n z3&^Vl9u;O^l4QD}uMCQYvmX}pKF`dyK7FTRcYmkyPi?)CI~AwC?Vb9f zn}wm@h&GNoAN0a^)**DeuG6mXWev`n7QrD$X z2m<{92tpe|cYU~8XUd87_G(=kg&sP77MmM*zT!!7hKIrIbPBlXy4T2t= z^VZza^EKB^%o0h^PP?vU1(Cd@UVzY;R(F03f|2NR4Y z%n_Pb3D;b(HDQj>yh^lYg3*Mz!N=)NEx}q_(VH+qIoE#}iPcOnHDN9ZEn`fnyBK}a z)ATPAF{R=OaAxVPERysgo8)GRP?An4cwSHTR$_XCf~SvLq*nT~(=qootjLWQ^gs-W;;Bd{H9E z3En2bb*Iabc+ul5!*%#M6UM z%=?LGqRTAZr}Pu?yl>^&$*=gQU-YeC6RpSkWAwfYhkdK3@zi-Cwo6Qb6Uh1UXk(LH zWoX-(Z6T<9OYuU4N5aBYZ|S8L;GUDV9>XJnY8`ka#dpCp8Tdnh@9!}yxnDE;F5BZq z8=nt5a7h%&N$)}J@E92sZN3`!n*r*&f7Er*g~$5A!U>3XDm~^r=qZL3o?BdM8$t@`Ux;?$#K)C4$Q#g*oF&UlEWptyY5oZ_qm|ab=SPm65gtBU0B0G|nx_ zG?xtQ`vT^v``iWE7Ce18w*Q7SOX7@vji?Vqd;`h+^8`^JDyFq6*!FURB0Mr zAJw(!Q~hh7&C@lqrcT0RkT^X6p`N|T**Fge{WbJ>yz_nj8hggxQ7UEiF1lF|>Gww2 z^N?H?w*k1@Lv?P1HaDv`Z}~pDp!WUokNMt@|3#sF72(jMihwI178lOf5*}Lza1h^1 zu^sG~By<%u%7ZYt7u15dUQJNQyC8^hf<3fDvPLclxQO)X9Du~_ksXpWGC>=_$MUE& z9+gu|&d68PX-90v#Mjn+7|H7SifxwZVr^e3h=zvU;|pF{UBC zf$9)6f4N9yOmj)iY*7;Js73P5^q*=d^-@K-xX$5gr)@-b2tazXX$ssaP5G;Sh_m$n zq;!(z=@(1Vdu&#yd&p3wl)u7MigU&|ApcW1!rD#sK@bSU zA|2mBE|4!PV=V5YjG+lDLH?$v7|35Ka(FsxhPGl3%3)OZr3@Xw)$R%581;hwsS?N6 z{gRLQ(&dygJgMWVGCZN<($|PU^@NTq%J7ux%F3`YGos~TfUzse5aa9xi@9BHe289C zT}2rJVX0`ih0m!TSB6ID|9^I!UF|KPv*=;%-zcO>ym>y$5W%u?Ny2RZA` z=FP#OBqLN2%(}B_y2Eb6s!0({-#3Et6JYYJJ5Peiv+g_zCeOO_PO#*vRytUUJlncw zgnTxh{MpJv*Lo`XL^sbPF)PQ0#Y3r-80n!D+mIY0cyvp$wXnVKYW?7OIAo>uY2fkk z1_~uWhb==;sl*BdRnm3&e3JEP5YB-T6w=syy}TLn@!U@5$Mu#1Djd>t97xUY#N`O! z)C-Ug@ny9Vqd0KMutEu?z3AVroA>O`J*C#Mp?@{S z6;jjk6t|c50ueRBHZQi(N?|rI4k}}}a1XnIh{QIUU?8I20<`o2h!Wsv2MQ|CsQ^SB zI#7_u75+^&K-Arp9|I6IMs;6)o(6~-Bia|=#jexbCfK+!s(W!>{1Y`m)cI8REyx&k zHRO*y0+xsPKNXl2?x^T92#0c?#9uG0m(MdyDd{lat%^4z+sT_5I5&_EZ$x-4pBlnx zh6f|4Sku818L6@S`Okjj-~Rf~nMTEKl$hfzRIFCL0tb?H2N`uivjrQl-hqR#YQwBL z!Uo(GDt5nQ+MIY(rlCmhLlaQ+nZecls~b})7}Ix zNEfHdrV8C>yTgUf_ybt6Ut-4#boJ#|-gTvog>Pl(>L2_)U;E+T6}^U9>G#;t>i(qX zVu6J74LAvt*3NcW+l>pxAOtWGAOTwhB`s!1otSU18A6X}zMv;8AN~^C&Ay zqO7eb7$r^%I~qe~TqAu-UvZBEq%&{_Je4{aAt>IZtq3t48?XcEm|DaT%q5^+(H%}yYZ^ffI`>d$MmDEFnZU>?&_3r1 z-}-6hTy=;|q>PE{!5x?iKV93ubiG8BgGA|DXFllMD9IkKTSQ48{l~xRkA7z;F>Um9 zl#ybl`x-+D*R!28XFX4ct8c}Wj>i&RIhAE5;3MB zJ4NOC%U`?QvZ>2I)tbDkMSq2Q>}u>Yie3BYSJ}%X^>(bfnyd;x;pY2XJ}Fk;ZgSzNb>$u7frfHTarZ6fY0;OFbhAf zNs(U$e;`hd(c3$uqRZzNYwu=mhyBVjiV$6WR$uc2N0XXbeI-p1@@`30+&dcyc3jZz6@=^E5uFPilE`v6(qqN1n|!F@TJ_B>21KjvtD^hbW>KYM2%D|^CE{a1{z zX%l`hFj@;?w#yQJF1SZoNz@~h<3KQCW#ZAcdr^;wfUUR{D@Lr$cyyaP4I*f?M+nYr@CFf;G@3$>)uFyn3U~Z(Rd}h^;46Fs;{_bRN6`Sn)qQ33)pc4 zGn}FQTL6^?k}h%RjK0Z`e$6zg}^9+Oc$HWijf!P`!VII zE8ZuOR$t7SBlX%i*7-{B0f}@`pAp)dWX@n#( z7_!x=rb0I&e_(D$O+{e#X;Z$QNGRU2^V$^IeW~Ah%a8eaKl|Jd{gbblM2@W&oE{IH z*8tC{B(kojL3X3j?EwU>y`Ij`_a2iEup-niswfpk)bT0q@z~3$G1;(RQNm!;SLTaC z3xSokhi^$j(F8I2iGVPwt0kMh8H$=}Q4(4IF#9#sspy~$+P*u%j2r`>A}0h8i?*^! zjA_#JgVfuDWFGCDsyT>JjplxNAmPE4Ex;UcdSVSE2|qVgHM zk5mbjEdPVNa;Nw#MqP^)`cYTkuzvVl25f5tI>hYjOvGWs8C-X_Pc)p^wGl44`5t0TR zwhMt#PCS8H2H-82u^lMDYW7D-5`D$(0@jO22{3n^94)=uugNV94tm69YVP9HIq`%j zm@zBK>d~+*b}^Q91@p2nI02|#3k=OKStvwrcSNn+=0>Qkh!Rj z+ZpZNEeNwb_HNo#U#gsUNPqzHsUK!Cuu#olxZ0+W_(t}iCo$ev zI9jIzD3<@};ogR5TUPYblN#v4HnddOEh?LNRlXmSiN8@|7%>3U(%`h0y#1S>x1U$R z8|3XToheVTGi6o{_YyC>@cHPJdGOlx=fC)#7_ar(%h-P5rT08~@2g+Z}18 zigB4#CEKSzc6Veg1CJTn!nKx> zB-5RKWpfko^x!$_?{pz~vjeYS_F1PD$pMwN#4|35&#vs;m{P}Zc z8-333cYn_KHa%yY3heNf?m2^`6>-(wuFn|`EYBIY@B2A>@x}*DhV^7BY0jp_%@+kt znT4AQni$B+Qe7h=!{i{|@aX{R)Or9GY&{elUWqbOMj=fM)5SQW{Bio(x|~Q`m~98MaW|Y`o30CzQAS#dXqKu8x-)r$-0aoq$K{AUrxMn2TW~FvrWx>`QUoD zO_WzZ5Utjcw~2SU^UZF_xiFod$M!3bjIb6;3+nom9Zt6z*lIU(D5g=m@k^d)eiGv7 zxeB)%qe%!z6~i%Zl4uItNKX7jmpsw@pJ+MjC(=C8i$C(q{^n2g4GrEotEJ0xn*R?% z>@}nL-#Jkba9aJuPFCv5OST_aWV%>e2U!TxL2TEE;U~(~1)B#tcDI17QNQV8gIJ*uvOI4W@W_w@nN#Y13eY{(V)kmlr>)%f<@!O zAh00~lB>nw`zac4kJ%)umzPIoK+6}DkTeLKk86JCSQFQaEOwR5zs_!P&>SRYm{?`o zuX9q1wm8G{Z!P=gIqtE}G}V+IyfE`-e|cs`j-1k_9>4o5w5d#JimZxoMpK9`8plIEM38iFh`CQQW#=EU=o=9*}<(ENYPI_cLFaSY~{ z-yT+z5-gWE=9L%T_ueZHLa-5~(pkmSJC9>bu}m1e-XdM_v3G-yefjIY;bVViz`OLv zxY??YaFb=b(X2YS$(D+-a=USpRY#?-H~*tn9rIYheOzr;j$@81;>1{Kz^KGtFkAI7 z|04lUw(4R2j|;TK)KeF$-poJB*}Y(~>S6zp>Fj-!V%6bNu&oHT8Z#A7TXmGz1n&#q z3l31dOvw(}Pk`QacxAFC%WJ)4cDh1xvuDdp^%U~&gRHSfg>=6<$@~LtQEb<<0}Ii6 zQ_`^G*LlEy(yVku)pGFJ^G^h9AU+7cCAgD=v*+I^Jeu9oMk@K8c_$u?dIwz}=n1DL z(ysDOCC6Gpbz!d{hVZo$W|Donmy(3XLq~UV{M9GyB-<~( zL~?dyLRs=%bWB(}0L}()^%zmcd#oOii%6j7$HdxnJkp${COE<8kDA^p7eQBWFN(7z zMZbK0-b;~XMO_KbQ93_rjMyL7uZ5==(I(q*ycYbMv!h>6b9S(aie=QbX2f=k2R#y+ zu%vH7=(u`u^Zp-?WSN2x-N+au@y(=}J2|V8I?S&zvnUO4&NMPEc#@Bf8q5WcmwCLQ ze{QD*U61)|tQYTmpTEYQv3Hb8SY}sr{(8xkJSW8Jc*XH+|qp}#GqC7xv<=Q-0 z(SR5uigdkV(x|LRi|RFMbU-NG5$2DRJDkQ#VO_|+QQ^5DO75I}4k#QZdh}3EDm)j& z3@5gy5w*5OD@Z*x6Ax8q#$O7g>^O=!qR7`w~n9RsI7RLx^42 zu+~wQEVX%{NVQ>p3%)1#wN)}<>tO5G5Fj!DbI|+C)VtZPlYR1vS=(%k)ib_JDaZOp zx1Kj#Cl0M&=A;j*s?m@Ey3rLT)KQ%DK&jhQ)N^bdXmqT%O%H$*YH!Y^cXGAsuVYF# z{$}d8FHt1*dto6t&tIOyLZYxL;q6QhHk^C$wHwV(QT?9={AWG2UJTQ$LZws+qu+x!vLlJBXgGI{^rmI2IcVu=T z=oWAXyQMZLR*b|Be7Ox6a%ccyh6hB4k=LOh^KG>Jpw?1L87oHOjn}wP>crhSS| z#PPV^4AF+U0_j%%y!0UR@#0ao<7;w8zZ}n|yyNXsDDyk*A-3>cx;c9YVepaNHrF!6 zP&ml7Tv~Mnm{=9>rKwuB8!V-eiS}uqlFV5RRfQPDyhJqoObAI@%!r2hl0u({B z6@t`bzT$4VMC&Gt5xX^eILkHWoF4}B5M2SmEf5(+aF!v|AZ$oTmdw{WAmncE!ulk+ zb1M$Mn7?+5%BJu0*D;y+8>L_hdlQ&~y$f$ZDNRh^K*ahb)6Uky;2DD_0dto^J?{3~ z`Js}yxow;q>e=BFdUjw6XDm{mCje6Pltg?s0p|DQhVp9c4n5^=eUZM0l)Uol2Y zfM|GX?eT8>jdZbHFvuu@TGb9t+hvF~7Ys5=Fhi<~9fG2QoRqPVQ3Bc4pITIq38ECt zeilv{+{irLOtUL0$X5gn3p5LSzays)i>_)PMpTdsuC@m7Vn0tONO!7z7?g}9yLEv2 zL#B}0udOKenoG9!a8Nh8o(xI3&+Ozt^RjBoroh$UE3agfs?CWbT3CO0I(z>pDc($!VKV$5~y2Sow z`k8@=aFfuR3Y23y?A(Qn5>2`#V3O@*6>7vJo$6sESlpqL^OVRaXBz^2fNx<4KKn<$ z?eG6wK$kV~<%Yns^D%CAsvOfuJ+>HFArHH)VTQn0+;5!J1HBq>2=S$cphVDCLI_e1 zU{m^@O6nP35j@C7>M>H_k(k<7Y@{Bj2%Rp+IH|{EImSsnaEWUE;=`!ZVdoA~j~V3{ zZPq{qhcpl63lc-%AH0!z;4U4|64Y``BlQ6H$e_eis%4GT19hYN9ZjlY)m~085UnDr z@H(I~HL7ScJt~8oxl50^xf;`C3Vp{t_l^v-uWMa+Ov zuX&`?DJm`y^m)yMwe}cQdI5gw<6%$ced%-}XK*<+y#N;k(UDGFA+LUq&ZQUNg1Zf} z;IiUKrxQIy7d$T6WQ2$e(Vnqw+Ae%*`if0(u~QlZbY+oszg(ku0WKLsrI+|%y(GiI zCfTM+E0IuLlb{Tjj2X}ftm`*)w-#FswI$Kcvf3U!`D@tGc<1~4HTJ|i-{-HfC*G;! zFb>ikG1C_s;PX0~6uZ-kOj-l;vXT(}vgUbikYxAr#Y{Jb#s`;o33Y@~hWA7=i8q1!Y14-$Ly+XS}qxjUW5&I9BE>v}0d+`TD(B?uFOH++r(r zhRMFxG*))qH3R2w|I*L<4ZmUq_lVRHg5q<)whtYbz1vZ0g&L3S@mGwmneV_=dXJW*g9z*S4Ij;0)|m;toX zr!#7>4jiWPFCnMiXGkh`68T-}at3zta7*l@+ROV5*40_q_LDK6GIY|PX%E_c8>!Mu zuzrWZI)(wHCmvWye7k$Nt4ow~cKEUZo!sBTN0=8UUPAf#$b~!aTAue4T|)W!KhZht zOMm~Tf7kyuqrYOVk8sNLXCDE{_nR$x@e$TbG%HIyy&{J%LR!0F5KkwKfodgl0`w7Z zK%cF?_y~)4;){E#K7vHoYQ#H`sd^7jQeidU;IUDgT%3d!TazrL06@{7dro^9xJ7C| z?lU;$HGyu@gMI0*=;K?uHE)T*e-{_w`tu&WX=#Md`7i(GuYMfhFa0t4n*Y9)@-c3< zk5o$wCW-+X%kR*|*M-U1McA!ZNHfs#?_|JmvHaOZu&K1bbgkEea5}pPm|CTp6Tr^d_48D)`#@(QYPn&G%lEH=IFWreUmM9wv@v~ZRIj8yk zQpb$~<69;GGaVJCuT)3fK=u~w0=K#wxYh6Z+RZn8XEXqg2Vgr)Ge^~xqt-C#t$6O* z;O@aqP}`snrLR-g0L-av&<6rk?~MW2VTt)Ha%xnF`_yal)(}*z(51)dVuR9fca15P zLk2UjKZ_v8%GA&%2Vjl15Z*#Z1fy^(|I`@et|8D4bdi1ay3}!xV9}QT7q|mNaHX(n zRtO{pu~{`AlZGK_YD{k)N38pE1Z00PyEuFN%p4m$MZQkuRADX%R3|!B81ZaG)s>)ABQl{;l8qAwpt}%YB*VZa}E|qQQ z^LW><9DByzP1}Jkm8GevQ);!#Ju!Dx2v?@a^0y?%4?WKM*Eu206Z79nt}UG(38-R+ zq+c-EG1(3~B0cD_saB^!W3dVM<9s_{55u{3;L^eJ^g3AP{Y00L>V9A^Uvqr%E2e8& z4e<(|tv+1IRg*xxqQ26HD>bBYGz**u%`lqF2Gc0H7K5Dznq|E<7P1g5A=TdTsT+74 zC0glfS`D6xnpUmseD-JMkgB0mcMICb9RJjiD!{353HlXlNQH^r;^JTuk5LN5rztfp z@H1=$zzbh^@No(>wv8tPUxPph-JVgQv#!K5AOB^5cYF z8MC=6gqoJU=>f4|2+%9soy#Q}XEVF*HLU*iQqy{#eg*yMplRlFo$b0j=Mm72WKL6j zm&#Pa6Ph0Bw|Y(MAN=57{*&L;HxD|TKogCKc~H?-7Di->RHNE13k91X=uF_mGgy|< zB5;>NkUxnF;^8OkeT`B*GCZQzLXba}3+_?Wl9+L~0s~4X$lu8YF)c*Lq#-!5Y*wro zH7#Tn0Ey7z|LSOc4k1X@>nq}RLQ6hkm)#09mEEbMc@PI+LJ7Ve;11Sgm8Oo46-D*> zl6x>|i9!XHj8W($$!H$rMUWVVYl5p~ux2z5@~&T~lHM;}_4=B(QmCrFP6SOkNKxUO zB@;E4MM7zNgro=gR7lzKVIQ_}GkZ$Ea%j!5ca%PzDZ4Zeo)_VrY#v10KzhibI`QpB z{a;!OZ$Iqprfx8=cMS4qm-y-F#ZRVjCPLgx{B%F@)9e4=yT1BAm@G-P*$5*Aq#Qqi zjBSDDH6r+sz7um6@qzeN78V(cGi($9dVQrS$4_8^)7&0YjD5K$Ia|*e#T*fQ>`185 zPAN&9s$J#y>16GyU;-WRF|1w9GNaAzwKrp$c(-}AYlmo&*X zs57A|q6~*^&aY+Tx;hh_Y}F!U3+w9>)Jt|4^wtVvjo~{VSWqdY1kLNXpk*ysod9cY zCrG{If|z8Z74Rdb#q_7nRSe`bP>x}#9Ie8t>EgrWI+M*TCQa|r*%~ndev9$+lj=rw zh|NslLpo47{G%$5b*Wawv)vBKDnk!>7Txpkluh{sXm>BDLb7C)9O zLy&651wm3o&LFJV;I<)1_ooShTS!u#fCL*GxC`FFv##1;nixAj=uK%%D1>hJsL~jd zQAKi+!3X!G6YcG<@{k3Nh)9_|D$VRcbS?)Bcmdaog{|@hs3IOpav;Q@r_)KUIv+2d zaXdt7+9qf#96~RNI|+?o)jUuAg3TJ?|&#HRA>E zc{g~^&;RxR@MjXq!_%qhY&Bh8(Ku0OXEfphiZHNAe7U=*JT#AoO6c zo>x|k40$^>yj`To)n3J^BSQ{6Ai{d_O^=$UE-OZc9D<7tTiM_iuL&isr>4^JPrY5E z)~M(nVOuXNMur?KE?0{8jPAj>#*`uFr`afVE?QJbh?|z2Z7bFKjFbqQ{ZfhQ8|&Y4 z_$}h{X9s)Q#*?L|=NMwWm~jK@hSOhB54_+#p9Q?9-O}fp(z$W?yybf{KnM9Z{QEC` z#J459rx1>NkqAlnj|`!wPTr6S^IBD@t!7mTr7cQ;V0j%fMl(oT=Aa%i4ed@4HgqX2EU^u-r6Su zqC~$W)J_MVJ^w&Ro-P$WtB{&x$z{XR?pQOM5d94x+*s7-4VXsIg!w{>Cdy&CZf!TD&t;mUsEh3*hrf0G}vl zCJlgcCb|mf{1^)w;GpnM&X2h4Hb2))Tq3Tg7jez|iF#9eiMZ}2;`-t5d+B%mOi-Le zq>y1Rfk{H2y;@lnT$G3l4`{IV_P)?u_F+U(yjEF0voYwnI57|l) zmn7E==7c$6>i5Nx;;z_c!Z~d=HmoE`<{u|;PQZiqU!}M!z9xDP)&GJX-3`v3e;y!a z$>@sO@MdLY>2&t|`@r!5xC)Z{l@rt^$@~NDFu7M8Ffi1kz(Z%rCC_p~)Q%fwYNW|f z_glZo^{lw_MlU3y(l)kgT=rguRhiGR9PvH69zdAK)3wzBIU1V&O7$25CHE`aQ4D@! zax{T`MlP7dDVD7K3GvxE*S##`nes~kK%FJ58h+2Mth_PqJg`=M!8c=@PO={uv~2qr<<%{rHoY@G*f*uf|dwv z$?bx(1^KZDo#2ydP*vMlF5*!TS8gI^U835{>vo?5gE zqvsi5j0{$TVY_b30AsvCpV%GG0G}~3*lbmtIwu$po&la58SEKgBC!}!#Xwr^u=7t1 z_?cyZ!ARMEn3VrPSU65Cj9H+;CU3_ z7)-4P?GrWZLf;_HP07Vt6<{nWX2RFpBT&Oin_&rstB>oZXUmu_3z0k zC`JF?JSeRaVZbmLy~X|rut>ELMgIo91@fv@a=u;@b@Dh;|KXV`w_sC#+z~so9vg^+ z*tw{K&_(giPwcO;XY3uN62@Rehh0)$cbM{mhndVb^8EOA*OBLk#RdV_`51#+YPd^6 z?2-_B`IUFI5v*_BX{?|2&A;xa-X94uErbnF-58y!9X=S@q0Egc$dHJZ*0z8$6qZ_Y z`EN<*lu*kGiEM%(-iLcm!pfyJ62^*A!$x^^1*o(%7h%#Xl1*hrTH3av7nqR3F|N#5 zk(Sm4L54+GBHEQS+9)eVtr4dNEsDfWhYf32`P7qUQLUjtuR&<<)=?~tZWuK0+Fl<& z`<+W(^B%EQSi>-Tlwr<`})MunF#h<$={`{x^$=~_T?~ABXe~jMN zoit&^)}1n8j4IvWv{cjq3CX@O=~lMxqzTKm4vkA%(}6v>UQL}3(IF^Zs3X7R@*(Qu#?07tM8AgU~OHsR@(z|*1NCTlJa@CrIf zh6TRn9w-w@J_0B>Xa-Aox+gQ<5q5^*7Mq7QOeGPQGdND6!raly{(LXhT2SZ z!lw5vzL$Yve5x}JPI5;L2iJ47&^t{zIzic;AHmwKf3fQCef109P}-;q!i?i&eiL(ywp$q^s@!*jsh4 z)#*nU5Id|oit-I5j|W}B4Ymd>W>|GR*$pKR=1wi@g zpJeXDkQ}KePB3>OwgyWNDpueVvwB&aI;=Xb5llh?72hw9gWiCQl1JW`z))2G*qAK2 z;#e_Rb$mYnoh$nM=zKj%GBbDD$OGck_XFBcY3j2B(XhQIfe0j#X3(ZSUvqY#o$PVs zpgqu*owS^40mtSYjM&v|az}QjGrrm2%L{qug}l>E9>e)JUcG+#B_uDe--Tya44zCz zYi99{*T#l&i!?lV%;DiNH+UT5$G#;7dYjU@;e$KB>cx)Vj~)M-Kl}&(@u&87ypPUz zt0l4gWUrdaM5C3727fH_4LeTGq%~S0G!xA(^%ACr9WN230AGq=&O~E^4;r}{BBP7H zPlD&@`~VLFcASEop;S*Db{wbP?8t#4L?nYGc-V2UhG34ZG%y^OXz}P)Om>`~X2@E^kT+C;!Bsq!)J4zoa*W2gq|M2 zRxXL|OQQQ1Mp5_ge$+?4^xp^Htb!msBz80-lF`hiVtmM5@n8dI1bat7?8wAbno>XY z7Q;@(@S)G{Bz$!Xn5!5*RFhBgV8fcBSr8uvX>Q|iv6ES%+fRMK=t0GBB7NUuO*4EI zU^^R8fwqYO)Rc*CUlM~hNM(lbb-5<-Sn8^r3}2h=DsR*(MPXRC@4Q`FO)i30p4>K` zjM6JCFqcdngCiy53w-Jh;ZqL@ka|naX8r45{o~*G9X&9`b(m+4jCvLyd?d$|(|Q=6 zinO2P|Mr8aI1vu8($wb7_~bIrMJZ>tpZa)!%AvB`F9A{MQf3hjAPKia(Mr?<(tC>4 zj};>vUU zg)=S3C+3Js@@oW`Q%&7sYebiE>gt6%Z3y=Ft#hK~{oEvk6tpHHfC0BjX(GdZhAC(_ zL-nk0o|D2E27P2%S8ve}EvXeUmTN>5AExT+Q`wrLt52qDs;)knugSXlM7{

d(vpsam}+T}?%*XTYG*wsGyPz^ZzuXONnTzD|MV z_3o93EVI6jIBx76B~xbTqN^W*PY;}S_oS=QSeINhG}gfq9+2j60=>FP#SVfPct&`D zm%9fuG%q7O_k*52#s29Z@~0mESfr73xRO_uZPXH6YCg2ADsvo}lud0H)G$?t3j)Dy z^e?M5Mys)68-mmvE@%`&D6ejhY^g1RRO~JY#e!T9OS`0j=>+M7H$kvSXbpISTvjK@ zU)Tk=M}fL=#e=mi*)B_kH$iX+X|Wi*2k=DGHO}@gy2hh)TyljaV-3#=Rkmj|+J zCsR@?P=;LJ-DKG}K=#E;z=m<;# zhoJ_|Du?H^6N3)1bAA z1SaKUi$xE~1u4Mrxv4LE$!J=}RctX`LnYTpC+0OLEjlfp8tBfNbB7ql@`f96NXA&} zB2FLZa~zxODs7S}X?U9hIN~-WWu;#f?nA(259`<#X z4$zY`jk1b7G1F*LMXHLraqx!jK5OKV9)db|Qja&|VvCBjja+H*z(;o7Tg~L6BJWT| zBGO3@Izk(KyIUwItC^tkx56`ec9G>=@xp+76)=pv-J*8 zBuQb|E-R9_AlRIh?)tceztsuG_X;${=7>?VP{{|3{OP&fLV==LZMDSoG@g35U-zdD z1&V9rI5-hp?*~M6p+K?X0;H#sv zsgsY1iwwzyrQhOB$B#`7(Lk8Z6d0X_R@V>k*Pu+*f0*%l4fHs_NMv{7`?;ZBgDHeP ze&dFE4eC$zGVjJltKmD{3>aoo-p#hB1XH-Mns?M>^n@O^$b$B#dDc8GhD)CYRb0ZB zI}BSMGB9~I>os$eK=wFJI1IftViM?Az4E1B@)>XYpbtWsia7{fsMn;(hG=gDAX3I& z8493OzW4Y~0*NE3uWP+FYf{5+0ouJ-GxJ{5S<$2`q?8#*Y!_(x=yXrkq_`mF@*%hc z9cCw3H0g550S~NGOCqM6x@gklijf-xw>`XVQbq9*R?YATG}d`*DO;f)9zU8vVQotd z^Usf%f%X#(BZ|vuB5d_H(e><`2$Fp$%0IdJ!u?`c z<+wv}zWcn1UcB)x;((qST^=VII0xb2FYNY{mGqlLbh}1$TcYa~AJY__uUA*=+%XH( zGto&A4nv?nAcyno%@xy4s4(*3eenExdBs~l9WMd$V!4KK*sLBr!~x~_-4*n0I(~h% zF6}pKs{DMx+>ZErjqA-b)z{mrbxB7+pdQcdI38bE-t5|U^xKN){&2MhBz%pomUj}! zalBfie(alT>;*aay;ev}5bCX1)gL*|R zhwj3u#icMoRK66@M!wWuP+STV46C)n+>LHUF_|U^RGZ=mUMQQ2WtvVlH&0B*%faHNiGJ9e zUVlBLiY^`3($tRr?8bqk%a6rpfBm^ne*KT9_)MO=UYWKg_rrS0R8G+r`Ef-tn}a~9 zb$3?R_Q$nXrW>tVfrgn5ZdtJ^Q(Td(2n3Lp)MLf0OrgG^bQlC2ISQe!n3XB&g#1zBBOrUO_odAf_90Fr?|9iMujfXdoz?ICnS2xewX>=Fk3&i2odVq4A$?Av*{BulNmk!wMN}o$a#t&jt4YT&v&) z@p31~_|FBm0#b%egs}&abX}1q(FI#J8&GXy(TP*jBDx?@Gt5S!G=N^Ienl!W7laMg zt}Dc<0~8=NRuj}gOk5G??yix_$^~K875ofbq4{673tx}8EH1fUu+qkh1l4B`RZOrL ztc{waI5&868hQSy7a3#O=>*d$#|BW`EDgi2C)vN=E<&#ohTq=gzUx?N+VEeZz-J)}v|IOF z(>^x}oVR>$mY7oetv~S1-}N2AOsorel}@)B?2Y+XiHDF0wOvJ}0v?5Fvv_DFQL8wo zBp50cf-AOsLbn_MV^DNLtQaa4xWEGndLjs&sui9P43(Ned{9A9spT3&rDj14?db(W zr9yCtbf1>Zk#oK$M6Arh=w6@wOR^!<~Q zJcMS^6}@~jic1LLc;`CQUt>?a)1}9egC4T@D?*nGFY$TEL&*_D=pt4%3If=?6)&+p zb@!{mQdwYj9_w>I`>X%&kLjJlfd*@1N>Db1FH;^-My~epkj(HgC3~;_hQ28p%*T{K zl`VVikTuxx2(Dw%iF-8Tcc;!83@C2Es+go%pmnbkEE=qVs#%%n1hWPsJWaGLs^rL} z)lZ!@7?d&QmO&k3hS=c46b%-$RMuN2@XHsOoI=FjZJ5dkqFe4!|IYR1q%n{Wme-uE z6;-DMs#>>~=2wjYt3~4R%;b-&Gk*d&M!^k{uSHO@eEwma^cGI0B>-YYsz*OHpk#y@F#)i%v~&oH zdTf%7pcY1ykFVo3#1+ zfAvTIj@PGL0Mw8<(hx2Hvc0|4EJg-?zobQAhb`IbMn)_Bw#6+-0Bqsu&OGoLm&{1lS%Y#L zrdZ!o6J2rue#Y!akE;PS?(J2!zS|a8Pt1O7>|U5?JQyaE1MrBe@vZcy&er$X!s@ZP zFM0N3gw z`jVr(!yKiN;n>}YAi9#_ben8Jy$v^xEq|_EpAob(%=2e!V);HIWX1YN{+-YHCEpm) zjJDW$y~i5v@Js{iYeknqn>_WY3xbhf2fiG4fDcPO!*-#xO?}z~_iL^wjLKFv4namU zF4#Ks(fdz!K~zXWkowdG&tOz$Ai=8o6r(brKT^h-WK_n?P06LAE@Y*qxJHR){37=l zN+wzo4I9RIlO&_D7xkXin(ZP)4zpxmKer?_eNFV05TQjzW%S7u$=Pv_MlMnBN!rxX zl-H52RN=d2R95YaE)HsVJ;8W`heu!jDpz{r|0tD^ydM6f{NzQK-k~nF4*m1%J$5fo z=&wuI^JZdomB4-CC+bbiCFyuKNyl&fhoAOEzbL{UeO`uU+UEQmm)nk8znk*|Tm}pl ztS@X}Z(ORGM(s-k@!AV!GmX{&PDp@J#h^-sWrShNX8H)W8>x+gac96h!=$5|wU|W& z!Z`(Z#fl7jTyTrDAFdJkPV`%7*b{;bdzv6VtO#QKGzU-@SE86{W4$2t1STK!lG#k} z+SnqLGV4{|+W4yZ3$TT5D;iBKo!dUXcBW75=oq?IS3!rRy*an&mrpu!;_f;qaoX@+ z@{M<&Z%l>3^b|`>gLWe?49*BDq!MV)&4k7u808tuOUyEF`QD%~X8D;P-TaO({;UtXy&tlVjeYi(=3-8vaDl8w9G>(lzK_d z0ksMY`px&VDlkCN4r)3%2h=Jszn~Rb219CL%hq7I;s8X6d(MfAwCy>^0LmOYmZDs=lONr zcG^oHleE~x=y5!AV6?NqGvY4oAwYskb;-RpukeOm3`&Z50O%Efis&xpjf%z@ZSIM? zMH{#kHFI@X00OHI$--J}l4QE4uh7$ILq#zux__LcJ=L!hAW2pG#j7o;#Thmu9XU8Vh7^b7afh&85|&_%QZ9)2L^A!@uXDHA zYya|gzoBoF&OXn^gSe-^ts6Ix+&7q=Z|fWRfjUDR_b86&>G1fcU=$kO*0_?} z-qt7Bg8F7yZEnrYu++M_nY8a-1*Yb#?$sl^RcrU^NqQaWM-9w3*>N&k%?gw;g}fF5 z<$`599WULwaemPI(D#n+-wC!JnWdeU>&bhBj3+Ng&pds9^YK#SY1iM87b$T?qoM%!5Dspe*t-dm!H3wpac*=Xt~N>6J$Q(%CJgc*Zn`ix zbu7=;QDQ(;hKL2|H2n%GX zJ8OX0pQqiMN0GS$!HlCmK?jppO@C6ebG`g;Oqb{Ve1BV+IF75F1aTg&vi5*;Sd=Ht zZ+iBSn_lLJ9n^5;S(n^(mCw2c{>f4r-lW6g!Sir1Cz#FU_Gr&KiODlF89XCU(8_2lU?O)N` z0Mk@Vg`_mIsp;HW+7h(oHP%g7QrN0|Kv8J{9ER-HgadMx_;yz|J;KP1CYY$_mun_p7;7)M?|=I!?$>9T^Bqkp4c0&y>R{d%Wu5=>h&Y}yB_fFIQHG; z*jHY@elM1@cn@3oC*nR2@#?PEu0Q|9_r!6py^QS_UV2X)ESLB|#e9hoDP9Z%xzG8@ zU;76?Cx{F6$LIq&(Ci_RP2;&@eytj&9LS}JZ)k6FAQwH78)S{U6>}hGxE@A)%Yk4v zo|wCe^fVDO7;ugik+QKn3)rJV_rkf3?s_{Vt2jH^`O*|GsCQz zT5kwgntGQdXNKX^Y*^4mZWf@^dTUHN4r<2DX+R9E+jm7U)ZCKC#w@5l$~ehv@hEKL zp3z9IMf?>W#f3+mJcY?4LQ7+b)@3^Yzd%60GJ{%A2#-SYn8~9^k3BpJe7oQQZ@hwa zla!1H-1q0w4BAt8gH4Du-kW2+XoJLLsr?c|*6z0n>&0WmWa+VD$l9?o#XYRo#lfJP z_3HJjZ@hZ_@=Nd)R_~vjWH&K>|jL4#t0Kab}#^{A{7DMhK)`3 z3Qwds80b4Cz%l^Z-7nE{NgJ96Sv{F!J>CP-skD z(1>P{{9CTj=EwNocHz{F)?IMF023_@)!2j;`tnT>a}9(l-~d{Kenr6n8!i7HW?qN8 zAhhlUsUv;GEqZUnMnVg5rd_#48dDbp-j9REfFQsS0gbF}7e0&_Q>_BObp8$<#D>M}SlkBz(fLub-P4yS`Pogw&-;m(1HYu<7nWad-pWXVof&}n zY54E|e}3q%J&pz+jqcpAd!{$C>uB5Qv&SV3-xvsyneGL1lpIO(op9`zqZlsmgrUQa;a7a!bSSfa&V%>^VwqX?TEy zhtz(E_PijFQs&?_=nL&74c}7c0KX3a#U%|NDRYn;^_N-G@GWId^2JgFn2gcGYIo`K z*b$w&;sJ1n{~Ox&_u{*4*?1kl1b>wi-}paD4f}^Kc0K%x`>^Y& ze<(fD$eb4@!EUoaO(mt=v|`SF`?Ghh3ov7O+N7Dw_vVWg(4YUwPk!gGo34P)By`(v zprs^}xnVbEEtO`~dst%>qX*Pi~W>x`E) zUB+Kkc3eB_MUIV3yHQ2U4z7I?J3PpfO5QWaU%WAMIMXLK!MJi(ViQ22j6X(rhE!xa zArxmlq1;IkzhN|5201aFLjoY09khKQ&32QAI*yk_Zqn08g%*$(+Fk1T#m#EROWrv0 zyb3pt#HzHyBt4xTYpHOee3~nqX>*1mWs=e#s&n=9Q-b605-$Z!>&k0sj#9~WtJ~YU z3m3!Pa4~$*AN{ho{aoZ=$3wOG1f8k{1Glyy?n`yGYaI!?26bs1Rmdfi& z3cB8AXMdrY?A>AriO&84P(@5p)3F%R&li6oc=ASEkP@n$EW4Ia?PT4x_zR~CuleV> zi^8i~=m_j|yXz;S$ranHHhbpC4HbUoDzPI8+rEM4;xIh9!*G7W)?Dk07x3&RZ0G$% z7cXEwY1TOj+dsE?`ycqA@B)<0q-SJ0$%iPFSY_2hF;Iw>SQP|IQO7t$Gh=Ki;59hG zUPwjZf;M`K1cJ;nRJJ2}0WOHatu~{44_??#kY0cbA{qy&J8bq57%ZeM3xASSV(r7A z%?bM{h}1>>Fq5G(t(%*{c&}smlGR(Q38aMo>jKqg@Y*VKV8FhZzjlktrtkCDF`4)q zrBWu-U3Py3^oaem5icqdDaD)Ow&?~p}TX^BrIi&5nfKj--J$r@yz5V*wpDgX!3 z1cid^IrUX_4&&o6V-(%{__B~KNb#{0>Gi&po}#vQ_h4>7Z1?}g6h6DAaNbXJF@^VI z3V-jv_Y;391;koN_S&VDm18K{0dZW9LPrK+TqEoe zh|PAu0!xNA1jQ7ZU_vh~jTk)y#T1(0ZqLbOf?hgUeKiEd6#A*b!X^E;9N?(+!w?ix zXoAL@L_E|Gy_7*opi4d|fCy;h9*QM8&rSdj0Ax)HUqVr~``BVEnk0soBgiIvX*>8h z5)iv2#-j5(C(dMVL>=*Y3MlD-vaQY}#yt4`XB5-pYi^GlZ9T>zRub?vC%phQ-eU=L znp5=;GGkI@)zJMPSO_Kygki1}x#X|#4=w@m-Dl9x4~XsFy?6o7uos|8cj70ycmen0 z1$^$WdDkcWy(t7!V&!Bt+x;4Sxl$Zo@A|7OY4I&MCbilRoK>IZFKO{T3Q*!Gp&&2-Ig~Ow&o8IQIm)*LFdW z!Cw#>nR*@jX#+@uVBRlbhN#NQ|}RGs+tT7OB;S18e1 zR-L>Vlj`hsE$r&kZT#$2_qq5eAyiDN7a7-c`cu?92-PWHkE>1_#^twPKa30h!mXxn z*?JR!!L60&yyeG~=c}Lc-GBGL`o;5^>?eHY##}ez3TLcBFxQQExY=jUsQ&@&ck!7U zbKMA_zWB_}TnEqb(EFI#XAb7NkzR*nP?1@4<~s0mSKR%i`ciE%mS@sE9fj*aHHD%W z?7B&}6m3Nz`-6Luj?a_@W#6qixLr$)IDEJnOOx7#x{mE3Nll+aT_@ypOW4yTC>BW) zEf7=l*x>Mq#&uNY43F$dV3;$Oe?o`v*L?7+f8v`yd{SpQpU1@Xjo<+T61~E#E8Awk z-$rJ-W}OxAw~=`sMQ1fGX@J`LmZqY!8vJdw9>m2(XE|b>34yALD%)nj-$tgy);Ryv znAj5MH~8DwoY)fQ2mB59Xn&a{&JXw-k|qPmY*GUL#8uD1K7rPCI}Hpr}duiytEie&B!oh-e#p^ejic62r3Iz@8u=nCZDF8d0E z@ets39#(@Koq+pY(f~6YMlu|lqD*Ffc6?3Rkb{`9&rM1$!q?oQLWg`BuM-WHw|LHE z7>V58nC@Xu9R6lc7yj1XjX!6Q_2-Ol({qMn{W;^?{G373pEJIFy*)dAA^x1e_zIk-ks&Rd4^l!R2=oVJNDgL9;C4zUTwUP@&L@;0DOyfs zWJN%FFpp!s%g19tNXceFpq^iz`WAz6K1j~ytuaufa1l++2kFM8^y5M>%>&~<(#OI4 zj&eDelYx02>GNYgNP#rzAdUF^vK^ma$8N;&7zeT`*+3cEk(@i(PRAdvHkmR3gE}_l z^KYp8^6{bz+xNGTc_!)nn2%B*O%SNZi-wHjr8T*2>h0wr@k9N#eDfV|-=;?92M@6Y zHSNwNH}PG!O)RxtBI)L&hFomREL{7;$&(dlvJD3rY44=R>e0r7Cg|+5-jIR|&vLU@ zr^7mD^(@EK0T*l1Thj8t(c_OY$;mGICFD^lqq zCp|zqKWYlx2Xrf>$WPtZwM)t$n=z`Us2L(RLvx(5yOIg_Zpx{<@XoP4vls{Q^!|VL z-UaHq^(qU?XhF!Z1w$j%p+kSAQ0eK{vF{g=NPDouGSVtPk*m$|BsqzvNxpM9Cry)H z9BD1 z_kHI-$%1k6?e*`u-nG_VYt8F3pP6*6dVWngY9JGvVp&}|8t*fac6rjKaPYiWR@ySz&h3WUxM@M3^c*$KLz9Y331&=#JB}RBs0&zTJ z6CLg;JRzCdM2EX{8+#!e*Db|yq(bovPz$G{^VzjcoZbp?mYnC77NAPzK)ki!jrP~) z`!mrse^Ozq?)C5?l(wio{nE5GCL8MZT|xGB^|A7geAA|N+ScRHk$582{^l}}(29i% zNR)eVAtijSYOPeD0dTlT52`MU10s~Zs0^b+7t{VoQkIzGM4LLo*TO%F5c#4C{3LTX z0STzbCq15CZs_s!EynEC&2!bd2h`26JWs99ZSM0_t&feVT3_(xfBu(zMof6rBB!JJ zt>j7c1(RV6DOU$gT-jGWuw%D9BELpp2chN_jzIh6D{jHe0-H!+$KLocV?~-T7u;h( zJ@iJRrvinbd*OoHrGzlB@!&Y?&ynth3mz8-0wHjY7?aUMMW}&X5PbsVBqdbD6MOa* zuA0%43u4{|ubNPi{Q!JYfE{2p2Sh|99n%&|7GMW5ggi^4N_buMmlXHdkG?+~6@d>3 zZ}2zcKtAaa+VqNnU`o&!tqIWazWoA|X`lKto+posv|qJLFX7W4j%~e-p>2 z+fh=TCxCnO6>_SR%x1+;gFs2u$#$d)wYJg1PyKm3{6yh5z5H-Y5Zh80uq;eJrQ4Lu zU8Lg9bzJNvx~q8fyyeH@(ZBrdzwg(7Nu=9AK8@@4benD=7m0$5sCOjCNalzvk$q(b z!v&9r4cnZMm&2$-?KTcUY9to~IT-QHOrTRM*A;0nn&1WZK5{{10#VrE zifdG@x)rI8To5DVfv9Gt4=BU_9QhzM!PPKIThrV3L*mHYV zI_A9P$8^k}`K-5o<9~hir33Roq1ZuMysFaZ0Dr#>v;jk6Zi><-hk8 zc|cJe;8K9+SDmx$^XyRP6|DsTjCFC^8qkB;<=L(F)LL|TP;c&5EKccWMG`~`F0C6S zR!ny3DIAaaDdK%Mtaud1xj3Z<%(+o#50|B&j##odrD$(O&L0OyEAGH+uTClMjX1i% zB@a%sIHe$S&5-7Ju@-O_rm+NbLEAL8Q zpSS#&zW$Ei@H2ngkDg8<+_t_>Nd!>vl-IoK>q*6)_4QV?6;uqMd!6E_`r77uAc?RN zM)jgyi@x4(NpCCtCN{4mlxx=4lQyO3>q(c}7bLKM+k_gy+vf$|NSwm{jUHlXCF1LrI%Vk*-UGGvOr+YnezQjucLvzP;Lq;kweK1`$ky1s=x}G^XGgzx zUfTegN)={=l<^UZ^idQ+{GHGI{y+JZfpStB9xp>0DGykrfoG(qL_@Y=^6e|jD!br; zJsf>fzZa1YiQSrOTaenqNO7mV%-R3Wtt87oqy zy5OFwl8458Ld&IuAXRD;1YlM1qZqoVkgX7;N_9c7kSQDrwY$M+M2R3=a<|-Qb_SX4 zeSeaoQeAStgwsLq6ca)Q6lfAbxFmp6s1!ny-9-H_BpDxl2HjW@9V*RlsClJMD)Ve3Y;hUNHu;*YvvoY-7H9{g7e%Vi0hsW!K?V1))vbv zI&{M;&rz-Ur&+~54Z0qiZ)APT7`L(b6&VY1jlFV(LZUNG@VVfgkF`%W?;Mi9d ze7j)df&{{sOaw3wAsG2gw1X`;M3OPv3M#4-jC|%Y+F}ks3-^MN&%`+@xd3wY+Z99Q za_Gos@?wAzV`&Qg0pueof|1XJ;Bu`k`$u+gb%K%4#Ki#GtKlz*OZr2n@*S^cqopAb zvN8Nk$G*&bGA*Z}Ta7E@-z%iGi`@DQpDuMN3ZYxH1%Y|>F&RDD*>JJd)iM`2)J|r@ zMsF({#-2_ax}!9U-?1e`Tvi%qUeGjfgy?{oy?u^| z&reJIAnznS2M4rIYf09=f~qU&L-372gCTv0Tpkot$us8kVaz4~qP<@+XQ%cHB>6-q zqFJyPEa}4?^sovJryZ2^AzC*i--WC8W^rZE#>M3HAud&$#gFJH=nq}fhwH7favnkX zKS-gmNwWQtM@&eRWE(DQAjyi1OYV;gbY?Ogil)Gc4>w8WNFF0Bq_GbB*A0`7-X#gZwh%Aca`415oxza;~ zt^Oebq1TzM{mKH?9wKfkPqP}$?ja%-*j+zF6D(lNP-Wy3{OswB7aa4sqd!a=8s}iG zB2G~xTaI(+Vy3`s096Cj?INfeSkJ`uV>`wA!5axxD=E@7-=cg#ZpR6e^=z9@7_4q3 zFoZzezuI!Lupt%R1{;#qt+XbdU}5V!4JDx(P&xUv+9uMgplEY~1hy8gNvZDyw4h*X zitWKe60*54{0QY|Yi)^2?$%abmje|ipb>k$Y8b#i=kxyhH+^Av7|QwJ(Vugx#7vr% zGv(V?P|}S4TyWoJ(m*q7Boc!1Fd45)%%s_HL>-!65es208B*^{LSr401bQknj7H zFa53md`yAYo6$QM7Ke=+@9bb;s4Pfy;Ps9f?`*-qLCw}!Sa~$lYWCFalJ>XR7P{4r z?2H9n^m=az>k!Nih8j%~#KAk;oiq(0kJ{L}t(QY)hY9u*rG1Xtt$y12%d1&wl^zg%~Uo z(2(4pg}H#tlag=_JZNG9RB&Ozx@|obo!u)RKOTa#r6K4%WiXW*F^3?7K^Mf77}PXj zeSqh%p%)=YTiOI0M;gL^jafsGw$ud^MH-41yDuljks<;b$Vle#EOiI9#MYyj_8KxBQ5O zjPD9Q1G~<1Y9wxp_!++BVTbw*?=;v<1_Uy>vG59Cvnr1!ejt$Q9YlgZJ-Al~csI(B zvg4c+`Y!3{^aB0p7?G)^0MwGYzV8d43S`*xQ-RHXbWXnYBX?oq>v$@#Z2wg7pPap9 z?5RL*|52Zcsg(WPO88i8Ej4A=afB_SI(gK-RVeqBXM|t-RX~;^2a>MLr&Qv z<~7Qc!#cowUV8TW<>%k?{0rC52#qo)eK0F@{T%gb+c++U z!$EG^_3e4rw?Btn-~H_S-isBVeMv%hY(0}a$>*>0ch6tv@3^1OA{p7OeO312MM@qk zj`+g$3-5X1`uVrY^~j}N^Sqj5Tc`-ig~$b*eOKsVw!~@swSD;*r!p?rPI&C6H-G0a*f+Y%y5U`doK_L2d?X0BS&2 zQK?Zwpjdd>PWyK~x-dc)web-AoNM%~OB9FU1o&Be?{qqJup4~tV`~iSkA2Bsecv}n z=2`s;&pex#K@N2#C%|wMORc&CqNVL7*f?o6`vC=aj+PVO%Yq2D62|0cIrv^cVA+Rd zC0cHLFChQ!Bl;XI2i?oUM~ueBq=+yPTrPK$%xaAZYzJzB{v2~eNS$w7l(_2tvU5ZT z$;Jc*%DCaO^D-g29Ga%u1%YyB z{S}7>=}r$lrm1$pgGM$$NTasfpCe7R3u30GaswfoZ-d(GE2Q%oUbrAq^T@9<4p|`5 z5VIPBVya!zrY^$}fVru^?2O}Pl^rgOW_-348-CINs{tIMyVTY#&72w`KW3nfn&Ysi zVJ98jr3WYg>4LGpdvLTH+L4N~#3{*+N#ZrfEp!=^9UH_QC`sRI+|gsR5qDfNbrN6Iz{lxT4id8cGa7%NTiTiI%`$y%Wp# zMuYj3Uv>Cd4?i_vx7s1(v5EBy!BJkZtB{;+D=V@@x1K0GZAJvU$bkhO2(CeitFM0R zQ{;o@EADqh+d_|lylo&zU#AJ~_pIb$#np-}6bNGC(4z3{&=~Y&jp$&5Q@1|^Md6ts z=<&6>1BSH$8=5FQzZmo|N`?mXkpto~QFwmn5?*f~RUT9d)FZNe+u=;)}!)~lyUGT$8<7qweZ(1W9w+k;McX|RC-Gcc{M zc~ad*@RFNRYInP8%#rkv>g3U=#{(n(tD>%GLn~hcVe2yfS7pZ|9X*#vW+3iWuB+m? zDSUOgQb4gt-GC)MMTrqUI(Lumy8q&nV_HK9%Rj@b+M+}Zo!IgnJ;vBPsV6zbj z7DjN?tymlcI70$GL8-?&V`9bXAS}0p6$}2@=2|96>1;IzVGqnM`c;`z0+Vica7kX; zj_{oJ$4r*^w; z=xVmGf(rrB_ja>}@ubbPueyF) zQ6O8^O^OB+W)ayp}UXb zOWw_ZHPDf6g5YzOtR+chRBwhLe?}LC7E}}%8uZBfawA3qyhdbz<0$!!HSEWV8Kbci zLP}^F%obhsD^eZ$p)vLpT9ATZvr|PAD^eXc!2?w~1);iBcZDF;p$i7;V~OabyBJZ2 zE{R#p&}~=}j-4fIW66Ti0E?eyH&H-Ck~RN8C)HtSy2nIbBozmRXv%PUnt}=;7O@W@ zE&ssw3;XbL0=@B!^M7NiHvWy0Q_U9-6{Hrm5XGd;#h=93$xM2JS@0!Jn|xIh>f#ZL zKlW&!&`N_?_CO8nQs*ETZuX z9$9`>HMe6Y3fL)VyXe~OmKY<`31(m#MzycuvEk5zmtXnueZ}1#b#Ym7(f8rhk)K=d z_ky;y;*YHX_cJTK?P{^c#X#w;!3Er%V^(_m!-8O1YDcG}i4}{|0|)@gA6zzz{px=v zN&0|(IrzmntRCtSdkiG`$hzbK=0znp#3bb3{G@tR&D3RVR4GMKT(nIn(y>93{5F(Q z+u@WR)5E_K*_zyNJ>$}D5tn8@qCeI25mAeGACdFp9x0b&>pgk;^DBSew|wB2M;ojr zTwQ;9`ZaRns1Eme=bU{-=2y`|hXYvG9}LW{Za%>WD_P!PYM#zb}4t-A9(Q`0{4 zV$rp&;j?L1G~lKTIkswmt_t&+Yp;$&0HY>F-`ZEql(&!JadyhN?9n__w1LJRekk`+ z*&2Lzek$5;)8l<*15IHkL9IWy&*}LFV-djynIx-S?2SwltrPh(5pZDINK{>xS*c@6Cd&qmkj%knXZsWa~Xro4}X_@&9a>?nL)nwYtZA@s3#n9;# z5kjEA0cATPBFvB`7Au%D!B@!=WVGSZq9r(?44qE<%NXgjKZahHK7bQ#ylBORfk^wK zt@sk=Pigc`rvq-z%zUbRoB4A18mG(ItgbeBh6RX1H>E8A0{sp-qTFn*Hc&$C_uDM5 zHnfrL_k%#)pWAW5o88qWqZ>Ett4+Cn2-N+#o%YB1=KH_dUTq4xb3<#NFIY0@4Gt*` zq_Lb3p+J&?D@({#_SZoV**LoZ%fm3Tx%zCgA zEVn+~0a}tBvFA1H7P+%mXO!I#VC^)f+kpwg{Py4c>HplXO(+Y&h4;Ag15gG~rFh(g~_3*(8@tKSFYKBu{Eu@}x~_-df@bRkdHsEb2lT#8Ef$VDMO`nI$($IdJCZ zF*9^qGO;#SobG^~xydd=qD!C=x>BNvP+!;tE&VbSH1!-n|MHKxN%WyK` zjl{Vg8VPSrhS(ag@HDe+MFdrn_XSkZW>AD6&8!PzJUYRDxR{mCybnQ|Sr?p4q348m z>zYOi-TJ^{#gg#et~V%tGBrMuLoZdn;|7ijC3k?C^o`}E6B|8ctVXa73V=j+b411Z z1#tkDuDM~kq25MGIJo3-v1iu`j=llvq?e={ff6CgcG@53i}Y}da5?Ydk_^MJk3I)|__aha-&5oJ8IG6WPV8;E(5llSec~HF z_1C?=FTr}?r^|AH=~BDp4xk&<$i}|1z|RHQM=Q7!q{vxhk=+(O!ZR`s*TN3N^-Qd zb*QZfPh3stW@p1x?W>YSgOk4k+C%F=GMlHYm}3^Cg$UC}!Dx9TsJ;m1m}QSfUmO~O z3&0&Z!5p&y_YWQ;3Sx);VoJ=CBn1vrj$L9FP)M%yEHRR}|H)Gp4Ka&%6M!ez>VEMH zr7RkvwFT`vf-r#7m<&xvKWXS&@k%3?%x@2#*lgbQ^B8O+SI1Ne1c-h0OTQSW0+H_q ztoNipyeXfPS09tnqm4&6z2S$!pZkHIgnnRqyl11Hh}dr?TR*4n0y_0G>MoGFEQxk$ zlsNNz+z!vjFZ$`%|NXcAW3PD)8vP>BbEEDt=PMa$PX*99(mqTD&^gk^U|>{`1gheW zher8cEbMT?)3%AAkL`H-mTfyZrd^z>i@7QG=^CZ5-w z^sm>4E9H=`c8fh9Sgd)#5U&2{>CwJw%}ZmrE`>1LwSx)3JX@sW`Xrk|m|YUjD}E(3 z#iQ8@4=kbo`W5_ig)q0@69c2<(H;S`DTLW1?R5c0@_0bE|K%?M0KJ}%f5u+|{9ATj zvFYwBk;n4H;@|8g7~qe)2mI`p088eVfSc(hP?WK(Z71+}FToVbSlFghNl#G6sU$ck zNeT=(?SRHfFh>N+6-B{PLzL}OL&P(i_6JlHWuDmou2IT3-wX?01EpM0x@*RzsRk?C zasH^emXaz!))}-55G_#0`EvO7rsWid9M=y}G*G)~2MF{#+%Ex;~DFfx5hcywU z46_vX53u8U{(~PZUyeRr0sNI;t8L<2K%gB+_mGHepUyvPkS|J9x2?KP@O&7LJ zUuxuAYU2R#DN@=`qd2(@_0+);z}PZO>FNoebr2klZUc zT#I$RU;PcA@*6)ADMaP%a3G$scqH~ApqP-)q77+WL3GSq5Ct$os6bN$&!-ops&0ZC zLaZn_AlIqvL&0aX>_;Ri5YJacKN-f6aK*Kg>%}=zQMn*cg$fe@Lf*)CLy$gM2p*Mw z5YC%5OobrrrVDP-^MdP=55vnYD|*(_JD$38Jf`aVeE%4d1eITuA9d(H$W*`@S)Mh znZSG%9-~B356lygwspZEs&jn8a3d)_&HEwKRl$DVje0V6r zV2g)ecfj|pEvQGiT|6yCm^I>rIW46)T+)1)k1vl+93M&6>~Ii1UmOnc$nyTx;gCQp zE=PYJH|-Cx2?$#ac*s&QiiD5A-H`>OOPK(KLR!XMXQGz7y;!y2I>NkGUO zJKQ{6j&n?SA4sCUBh?%D90cK(C6k%v^&2rKCLayE(V|BI*RxqE1Q^5t!)~;2qVN+n zX3ml6vZZS-m^s&Ii!0}O()2Te)hIav^(bZd5a|<9dIZF2S7&m`JT5Idw+}BT=#Tmp z&gL@Yav5(Io8xtMErcWT(GjoP3wgrI%#OhMR_2Z{LW>i(Xm$k7zeSfg0)PH{f6WI@ zcjLgm!N@{A>_MegD)1dk4GQ#P-;wVgaLUjTa9|7c3|VS~&c?#gzzNV1Xo77zDrVx; z;=g9?U9e3@#VK?IFdDDBU`$6{t&aW0BqRkA=rrq6C0>x_v0yIf2>6PaQ%E9jB7cbd zsDcF}1n-9(mB?cPt~-E+1V{@YUCeEl{QeQ?{R+7_X^PbVp3a-A?R@jN}wXYR&%Bl7yUJ{{Fd@x}&g5B{T046xl#X#CkIpRe(6 z-6)@Ti)N#I{w=!1D1YjA|2J2k645#0QBVMQ5?#00oo{sJ2c(@9MQUGJblwElTg<0X zQ0zdEM%e`sM=J9;7~za+AXcPNc0nUAAbJ)f>%`!NAfs~^JmSa#2ZX&NX$c`+iCw}tq`N)i;8zXVLlJ}gt;C2vqGLDUX;`?s*p?K zCYIBmCo0Us5_5Tl*`D9oI6k=*=JRgRY#i^#IKJx-e%62Xp)ii>skm`8zXdr@&dxX| zVpX>IaRSy7vFqy_f;nOZ&J5*r#-UhSE6gESBG&z3!|A?6?g0DW$F@0QrPE-n|LoQ6qTtfi zvf3TBs|C{$w8HF1KWUoPt`1|{h&vmQ-@95I#9w<+AHgo`u`__b!uLxD%i}@!yZ9Er z9{=yn3&giGz0U2^>!kQLy~>DhrA1U8Y$``)3Xc1=rqS$Ah326zTUG)Z%J4ubgrUa zqx0t3_XSDygNe?YSCDC8uA=5!bbln|KlxvN*MI%RpBPLFGC$4XR5nHFsTc;wQA=VS zV!#r$kP8}bH;4r{E7tyCMnX_xQk~BRIMrTBWcL6eE2b#~#gTPEP#G$76PT$LfE0of zlbRq(nXquonr@Ic?G7z5XOaqbVwGAasYf4P&rwLdzVp5t4jQ{M{%y$Q^ z`dSP}pl@AYY0Ywbj#y0A1T$@aA0-*;=V|3|WFxnrfb5T6Zjjc6d4u+gy5?hg4w@~F zpjrHd>_Di%J+n8dlLHoSKNI^0uRHIDb?1Ffx^uVGSY`F%98XIlRrm|qHKBUQE z7&Jzql?dsh)L6yms_szI&X3y|)w7ACG3p{Vahz|?^E0R|X?Gc@mCv8=eadt5?M|EW z^F-QPcmghWG3-s4gXAYTNLE#O;ug(8^4$c<-|*gFwf_~tDzEQL?_!j}w7nI}Mr?ar zlTU_o|1in2GdRVDH5cR72GcI+)QFu&8oE1lb}9)?iu3~=I%8|#-HxOq7>}Go7gIWxif0W$hHQT5 zZDYJeuME^=Y3<~_alv4`#q?kWy2Of+bX<~T%ouOiqIk=S)Th2;Fy5}HSnHvwPhD`k z0EMiS5%(BA(+P?`bxB03%83SwdxP=DNs2yo$zZ45fv2OadA9CTE!Xv-Q2n)-MkQ)R zQ2#CKKKmDoH8@hZMj=4Ij_E%a^1qKNqlcfw?_`BH)6icQh>6Kffec^Mr0^t6yIkSf zb9_Q04ZyAED!lWS@11Zeyr;kBt3UL*Q@9D}xtphChy$s#ThMb8!!N{vG{H$q&Qxq= zdW_%&ViJZ-o00;t6(@-{#ep=zNuEt{ApIO4gJ!d-6?t3T*V2uZst4V$Mt4^VZNJbC z`KytyMNrpzrG2Z#Ri4-lFBdh7lmQWio-znMW&yyxLIopDh*iF%7<%p-Xu6W|5U!WJ zKOdL$W_Kwb)_5+dset-snJ1(JhjWk4!2Lw>R_#0v}ll_)sT_aOGq3OtrDIWg^hEjfb|cpnv4&H&V*|3Uy;< zRuFH13!nAl5Tr8o711cnE?g3UvMOE$sZ3q)u;Q#C3IfrsRr6R;JV!h9ZoNW^hB^i9 zNveH9P>NzsoVi%AaSD0mC8MHxXepQZ#o(_fe2~do^)^#I86ARyOxFPbz5DjTgehMZIPX93fd~-qGyO zqp4}#%hBR-h-*wR%BR84-Klh<6Xcb@NCTTy%4&E`~Cbp^pX_X$Rze?^V|d#5#^o zT?_M{s_T4IvaXg#r{VWN9S+-)_D3407^eZTeHSard)<~pPgsf7WD<9Z)zZt2;4FR1 zSgmZHp?4)#vq$|>wLZsc_ZO?3cZ+({I5Uji4#VgdJ@vw`xhRi0n88x=m^us{?jd3} z4VWwxx5ABW0ZN7hrBrX*Ov4bQ4st=G+hWYJu`%NzNM+-KK=4f0!JNmm$ul@K$icL5vd{i(&G6kdBbO0upgTea?22H=r1}_2E@2~Od&;P{ldehGj zgQoym&ow)o7TvuJv=HO%IPH_ENBhbQo(mqF;{$UQEbAJAGJ^<8g=+x3NM*!j`rI{W zscVv%$67FbouQ19IObRRKRptvjP~L2S+w%pU)&#Db2}|cbh0%L^=qwfxF>YHlk$u&bBzHRisI}ZToFrIkUOGU5 z1w=$h0!oM#rEXzmv@NC$L1D?)ULYg)A%Pk|c_t7)c0Kx!sUYvI>@xL<_^{Wnts%NWX`6;M(nzl+2!f?!z}W;oD4HhJFGeTJMYlB9+-ZfeT~7abIk9?_ff;Y z>sjYG-%KpKSzc|5>xbdx<$`6q{9yTV6y4Q2-1XP;O-v(C3KHYTfj8KMCxuODjBuo)>e0U{0r zlZ%90NdOTI1|9B9@{s5nHX!2QVzSo{k{fNA--2;w%In9Jc1_O!Ha+lqir3%9r2t+y zge)fih-h~mtO6)tHxs=2u)v&?$Cfe2DIg*U4HTe+d)u#*~kCn)4w9Rr1fU>nL?w0qt5{Q zSPVmLUVw#RN>TE9gXCKF8McQ5sp2Szd0*X%*=N{eu$oy!GWBJfq+GOa=8oQ5w#9U^ z-XLb50l7}*bZBESBfMKN`wVD$v;F}X<33fAaw;6Pig{^V5rD)#Q&M~ev^ngN(UClj zAxzvBpKW1VVsGLDQ)ew77r7B+Mv{dbn=>k3cmJb?7xvUXM2$o3?)}Ucm7eQ}(Y3`o z#n=O$+rEh!8l(W@@3@H?xwHbzheL4Dv=tP5YS$T(G?z3cXl^us{gMLkDE=XSEwqTu z_Vk78XT;oS3gM#ykvQAaSF*GE&wbu^{*51u&gxV4bh8Goq8halgRjtLCc*k{Wdo|+ zUMS6yZK0M&Xd|O~v;+4>E!$JDzH&4-1h-2YT^=je7<>U5CKxp|7#plV1hYMbO(TU0 zswr!<0(2LX?P)XRyTulCZ}qCPJq=TiBlZ_l?CEj4q&=nOT@Cz=QhCGoOD!a#0@t5q zt|OsA-2DTLY#$sw-<@y}fIJzjncbc65CAEu6%u3s^8E=9VY}k|nI|U(SL?s+t^aMJ zDco)Gznvq}ZBi;x-Fp1sf9DwdjYzJ4Of&rD`#c|9}=#{G(-)?E_xR7(GWz|)jq99z`7OQMLybS! zxv2FfsRUk>T$=SsYv#LSR9}#U^-&d^B&pVY%>(+iwMPzScW`v7b(ci?S%Dvib-(7x zz>j)PRG(_#u2W58unr~X3Y33Upw-7c2FxNMcBwY+RB}4aF-)AE3MkC&L&o35N<5pS z*Dbe-60lI7awOQCTfKd~et9ft&-MvzIzYeOSk02?i=AMa+Z!#7MPaNaea9-dsc!o* z+nsh6Kyl8k-VKHMU--j+>FG1-u`*ucbZ#}utf;g0L1PX!A{GR$vkzc$tP!!`S{STS ztWk5Tc+)Kw9Km&t$AU17eMB@G3xW`9kk%cK1xM1lH^hR#z}3hJF;=d}Ml1;MUVoN1 z#DXKa;zA4)vEWFuxYlDQv&H%TL@c-g#m^iI=FunNF36|ZXW+2Tg5tUdVwlT)21_B$ zK11pryBR_BicD5J?-tEI!`=7{ANtYH{)yjsGSL9ox+HNCau0&|9LkmvY<+-QB3Tq} zQ4~c+0$kMnkdhL}w)rR{BiNWfZV~U)2sZi*O>inBkrNG*8Hti;n94|$M8j?}C6OEa zrc)BR!EZVxk?&3<8pcu*`Syh5SV|(_pXroDxj~PalF%655MK?ppF#T{TSnu^oCqo^poFc0RP`>1@v1~sgRuww@fyY3SNM6v8*$0jNWWjguj`hS3`Ubw z2M}u_6*Q2f-Ec|Z2ROYIlHfhp>Wm-#WZ^+9{EOXaH8uO*dunVzQ9xK5O3a(^UPR%6 z-;TuV{Ad5K`&u^j@b`k_?(y5H)&MT-+)T##&F#MQJ8GK_0HTu68;4^EmDdW<9;3H)uq4T>f^EX=0P99PIH>+RC*q`aZdO(8}K2l z-fX__5(Y320KCk!Yr!f^Ghfv-mrL>qv+?~XgoK;AIpH78#`kWF@9TflH@x+Q-uU*z zw!j|49wLMqXxBx^TZYv#X{`4U9UxBNe%u1|+zE#9Wvj@Vkt~_siBhX8hVkY2t^TFTVluv%`n%$MFOdY(Q!g3D7iTF^2`v9%!?9%fEKeF=wWKH7ol_Kh znnYe#9P7!FZ`kW>A2Mpr4Y2_sOxm%M)sS2H>5q z)`30Ln!7W4y!8C_JKpl_Ti^Lii>!sK{v3utnp4->CvMSfdC$K^%d>9LazR3rXJ7xT zzvhQdH)9FU9{_j&y7%3?w7dq0pk=YiZ0HNtq^o^}L@q6F6Ks>&K=;@4%hB?>U`%Ek zLF&=+x?m8ZU}%dP)HpOPuL}kt%6e_6{Sc((b-_KLZ<>`xKUjY;w7f0|F{LEIKp6TP z1tchz*Ck^z8&LcG4_N?sF_~>llId$-GbXd4#Y)3?`-;i)e0SPpHguOE>Cl~LzCAIS z4bw^oS5)p#o6NS|cdg>L;~}Woov4#{wp!$04U^eCf$o22(EjZuHjJt2g`pK_<$LIW(Qz$8;x+JE_=U!Sh+3J#xKmrC? ziEu&QjX}Ls9U(}~;etVfzC*3E6Qts3f{g}!c^otwQL(!qy0AF=5e1ebxJbJdd52xl zz)ui7EHPKIo+A}|6Kpi-8}QP0f>i7-7&PeM0q$26#qN?|#DW<^pmc;995ikfl$6&c zkDJYIuqG9|uZhWCMuUzP-ocs$CDmxqnI!ElNUBY~W>A)^l`QvB3QKSbI&@4)@?}W04|8%jYs^8?_Q@3i@2GiVm8+ zn%%Gu(Zt&sm~;YTgdaCcKX#tiErmy&z75MUQ=#7?6*|ez`C?pb4D4aP)ELZQsTnNw z{JWo%F>ls}M~A_h^V(ZpNWX^NeB42X#Oc1$D%kb~Bj@6*>*tsyh>xNT`e|t6Q)#$ta{1RMy>*5 z35sSGIKfcGo+T6y?JJM(wHAqOMLI=h{#%3 z+-%tfo&-;ZnKUA5Fox5%#JFg9_@oyr!w&L-5><#BI{yoUH&68}lRRWfRz@pJsy`q> zoSGGbAtY&^5JKoMqVvZj3yv{y`SSYG}5mtPL4IjX$J zsPe2^G}kciriS^`zx!;D!CXoTsDU%5&aGvB}ysR`Psr-fg#)`FuiJZA$p?1stU_4f-VYZ=f07U`p#GG1MGuJTN(6`;TyqXY)qlv*ulE20Aw36aa26ND!gO(LMx~c1yCbaI5O)8pt zYuE3k?KzLz^}A)EFtzuf>z8gU829K)K6qMaMDqE|%N`zrywb7B7dLqbGKnmC24Sp?$2Mca0N={@J5H>jOA&;&Ro7Xb_ARJp55!7`n4a|W^SsR-^VP_ zZEVLw84ptc`*=XAUgqZ8tQ>$7*HA0P%~!CAg%MD@3*a}H)dRs{A2{Tg1)Y*4oy5&< z8a+ah9JB0JdrUmBD}tIvOY0#>HRFO?j4IbT z9H{H}-QZL+E(pY%a+~AOgC_5>^HB`>l?ON7AJ~bW|B&ur>>%PN0v_6xnkv+0CkH(mr z*37r(h?}BtK0w*k8%>hs{s84K_5x6sK_|S-#PFj7Cuc{8dheeN@rzv22=#F7paM|8 zH61mgzmL3CiO7AU%>VtcD>v z+}+N|-xsaH{raXxca_fm@u-bZv2jqp9%u}Ip?i%FT+zM8a2R2G#y*YPBcyhmZnt+? z$tphG5#BS)ATx9kvkc&BxrH7s=|!X9F+Ua_M)Gm@%#tP_7Xrmcm+eSXGd}Q>`Q{P^Uzp$tOgvl6Kq&ZV|f0?rLZc%U5=TT<2Gs^5Y ze%{yqdq3w?rfdfjp+3TDHDJ-rnX(vGx;YxwGx9q*6_X+NgW+frJnM*fm^|cv#7^PR zJ>))T$`Ba>YDj~&+#A+24xJ+Er?zNGkdO`oI|pM$N~R1*4ij7tS{I}QVCUH8qynFb z&h#z=CDj3wY=csHw$o^TU^>J%LGwPQwRUUfOj!&{#U3@Mc9tb?mR9X#m~i<*4Wt#f zbmLO^ByFYcdBz-43g`cBGQOu|Vn0fz(9un_|3$fLW~({no5(vJ`73#oW0UV|m}>IT z(5bzYSI*wnOHK9N=cxYB@iia+kyKX~dU)saa&UAb8ovZLZ`2u%c(xps($7ByJT#_P zA?pR^kV)J;=0ZW?u>ikZp&gp4B36_fm9Mw}x}7TWu;D0`DkwQB7X({}qOv#Z0n%G? zR4xdvbkWY3cVc6b^Q+;qwa{y}p;`oQ0LT+AB zjurV?zqquBse6qBEc7gpM)pJ5KfL{s%9?W;`EJw50kv2so&4Y-wxE$4)S_;l5obOQ z)M9p|?$41j1$M&MogJyWaispO*FOB=#O$VCtvga4v0GJoeAp{rS{K|;jaH$hcEOY- z0d1OCEBgx7eHv;P-0eAB4QB1I!K9c@u%uG}b`v0_fAfHVN?bh6?wOOn$m zyCoP6L=YgMKBJM-DHtAZQ(*z5R3u{@x~5Z>D|lKswlUw8pp4DY4%$FLO!Sg3^N{fx8jY|s@|d5jzn;Lax3kB*6|vd^NXFs2$UN(y7T(;}xZ5OGg*TI9QP1dpq@61=kM6o%%k5b&d7 zR|Edb?7o`v%j(DKCTu3dca;IjtD9^42j6KtkauDKdy{4N@A-F3CjN~Q(|*8Q%6Jk= z8Rvy}13%!50H22GF`6CT^R?gqdrvoLqcjVLoekOy26C&i4wUd72INS{tjSX4fkTQ? zPjhW{0JkG>uoOht(04^hb?;CGs&r&|hMX z1XpC%xf7Jg#|4pgl-w*jxs4RX`z+2JcvB217s??MqVFuJRe0spFd>Cx=}5vOrS>0iE|3V;umIe!7#iQ|06HQONs?X^#DI zzQ_b1Z;r5Z{osv&QDcx{-k%ma?=KcR_7@0NYzh$7rz<_gk2N=F-w-qni7==(g-8m& zseC*xVqf7Sxqj~1mjs(w2}zzbu98PA+G}s56Zk9Z0ht(~A2D12>>#Coyw!4AT(POJga>0k*i){Y*sIs9_TBie*vGq z!3h-m-Hr~{Tp70L4+>g)DUUq9myHU7;W zW&B(F8j+{t}YmhR@StAaM|d*R@eh}ECarP4dFD47 zpJ-~reTG0ezHGa|r{(zGrk`Vt^Ua-ItV)lmp6kobYCKwaGKr*T<_(xqb-L{kfg?$NBEk@4s1IZAvTLhKZ|m z!Ll9u;|I&j>EqSh_qF_5Z4=*a(Eez2OAqnw#%HCHeQA?y_k)MnYQj6+bYX7txPfcm zlmnG)!P}mH``d+4q4~Z+sX#Bey*y3ON&8O8wFSpKaV~xR94)>4zY@hh7#!oKU7H*4 zj=b^-wnKLQ`xIa7v+sNFm0!}xZtbh*uVW^RoT$Ja;)pL?zwn+HuAhIaT#sDZ^>Zjf z@RDu>NGvoSA<=D}Fw=gBwtoED%;cPNSH|Vqf@41|ci~yvJ<(fq-d*9YM%c@HqLJ3C z{;rVc<7a&JpZ=Qb)I%XAz4!N9&-zJj!bW1aS`OTv;_q)Z9CZ%=XvFDG?hz^ulBi;i zY)E35bVgzh!N8Q>lS$6eQy`799NBtFykBvSl5fOzdX74W2>=UK@0=&s=qZdxU`#?m zff1Ayi@%R??O>+CWuv!y=x$|yAI_ALJTdE74}Q`$qW>#iw!1`dh?*O%U$~2Jec$N+ z&MvmfjMo0udmqdBcTRXaU|#r9Wn#b1w>NJHcCDr0=9>Q#QS-MzsNIdPECSnuC zl-z8Y!Y);tKW+?~fi|l!ex~F5HVbWLq3tWlv}T1mi+i&%enm)uJzi@kKYEL3?OqA8 z%4DteBNXZQnGSD}T4=HK`Z4eOgz)RX|C7J=SN-VEXo)s$ws*9hwcewYF9UTzu&~|_ z$YSW6yC6XMG#6xI*jP8VeTC?phSvpK3S+kk`jB&Fjv5veT9pm;dQ~?Vx?$1!0iX5J7Rd7 zq_TjKBj+$UNvX=XBuE%nf(b<41}MUMIg&SOl6yEYH0A_m^w%S83of}`pkiQT}O_zkqR!xmxID&HS8c9O1)l1FQ+Pm=it?eS>H743zis5^STLuk8K zm?OLtYuQL^)>~wpLttDoKxC54_XrQL@$Mjj**_kI`36kU>KuUwfLZ18AW7cH4uNdx z9)Ji0>w5qr>Y68=BXwY6)@?XTo&XJOq{`mdW3OLNVF0SN`M={qT34iZi1M1eFEEQwgMK?#yLj&Ps=u9)XSS)9WS9L_Z&L z(SpT5PkLQ3$C<%Uxmuycz6$0z(}*Vpv_TfLa*jF9Jgl}#O@>x90Qah3jx&#-lf*en zs&=qqjx*6#Z;)|>gnfux;!K3fB-BMjx?a+PmXj27=f5PH9BsJy>IhbYPLlb;CW(ku zll7|wup?RWq|yz|=anZ<%rB!xbT>U^5jw`FUMa^n@#!3yDxJ7YM#9pW%jEXCOgx(m z^8WNLgPK<=AFf-^P;N}>`GbB!xluGLxBCJf@VIlxdRA7Uw?FrFi+Eg1Fzd%0c9!7! z)fuw>@lW{^zvu_UhO4jPxnkW~f(CR6t{YlhWH7a+YhNLPiI8=PI`Tmw)1dI=Xjj;% z5R8}zRE3KTtHj6^FV}|T2*C)6aA>4VwUiFFFU^^TU_?YX^wjLQ2#9cK@LEgg1k1}X zr<`NNLny)>VQIyNqnxkCF%BK!5DvZBnY{(*pug%Avf^qchsQBjY)8O#AL$Lz+M|!7 zJIfTZE;;mlhwGsvVCcPMxjRz0`H9u(A&?C(!sgw)`9!U3z>x-H6Etni9WXK}SxbGrQ5SM2D7o z`iXfrIJ}{4#daxAC^ZtBd(*8K#Tn{InU>bnH*F5%@ z{6^a3D;s5AU|~@0f7FmDRTYaS_V8vl>*u#N-Fo+#DY6ru*KF3$zeSgHpS|^W{|_Jh z_*30ylcRP-4LC38RGXT-?=I-$VPb+qw5ido+ZNiNR-{%RAGJdr(FAv3#Zy6_0Us;U ztT(}_4ltT^7o2R?qFHZ(H}`5GZ%Y`DOHNPPp;>pyiAg&rO>i@vYA45|?Ix6MpW3+5 z+HV%)XS1}!$u^U@%rNHhsb4w18T&-(gzp@&ymJls7HhzWuG0&S=o-In%3Q)rftA19 zF56>%Lg^3e@)^-Q4TZl&CzkJR3R9Jz{+s^XU-@v1zER^e2y;LahVl!-9Hj52!W`=i zB`%Mpof<-vFb7Z050x-y@7$+HWO4_RIn0TuP2#+K z@RRyb)nHV8TrG-vp+0FJRH;4Tco;p&@j%3jvAO~vOx@9R`pgC+MCvu54u;M0n&Z4Lz;whcSh~a@DjW3e&>A|u5Ha3 zh23g^#F>0xCLeh2UC+KBxbrdX`xXD-1OMVrh4xh@9tVOMFAvepY*Ivf&yLM%#KzcH zmQ{B_qJ`n}L2v`GQ76dP+Xan*1sEE@nBeo36{VWyRPul~3$*O8#-~n@+SgY!uo?(z z78}7X9sDbtBeky!qK{3B@*F%*_)Zq2_HBaeBWH^ti3;`FUktUc3xddPhs-|(m&~e{ zixKVXk|0Di%C{X7nY|?4O_$s+7bvoGO$6ctNvVw6FNEnLI=k-HZuS%~&` zQbwTpnnp#;P6;KJ>+cJDoh{ z2EhNq4e!$Xnyyd%WrBvQ>Gjgkw?sbwqI|rR!J{6)d^{tvbEo5?-4Bz_(*AIKFX|7J z`a{|u8T`^8x8lp5FIZf!)?X;)j;IId&l3%0G8gS~H{71_6N!OGcEitGe#{X3$hZEw zcm3wbJ1FkP^A3)}!LMn^r|pKY&w}g`Rih9q3df#VVDp~VpRq1U85Q(*?3aG;ANc7W^5M>@W*p$%>=#T( zqE!H70_uI)R|WC`zyc5&;+*a_hd~Dbb&{`$-_JS#FltEsk64j9$pv?tl~Qexl0zM; z5Ts6W!R-#ccl3Q=Ff1z(f{_bFJNk}O>L`f5hkEEsRSk&<h*Kw=HquO59gT|cb$&|UJv(j`0-LuL>!gpk!mjP)%aiBXzFYGNw1Ir(z#AZ7TNfz z__ZTXytu-RW@iLq24MdO-4?8y`I4CXq!X=ntc!_D8cXI5jw?9KfZ$+FoCP7RaEOy6 z9rv~-8V$6A17mpyeHpZ-F4+LJ$e!5f2Ve8Tr$Xo{B{9r2wX52EjZA2xiq!AC_st*@vg{NpT=14|RodayxZo8@IFi$jalwUD z;smM2fJFl&ALaUmH6oud6@Pg7AciQUA|%x)L5uQAAU21(*sL%dLQ=)ec;g4l%P9k1 z1P$_Q`6hZYl8wMpNY(A|&M(o21fB_OBHn46SPFC>5pX<2d<4Fa^beB??0)e4N z)2mI;sTu;XROHTHomT#w)w3L{qW{#7{nqR6Jk_rV)l8BgwjqMDvQxcDpJIlhcNeaX zgYLrO31BV`3fk|_k;27*%LtPh#h+4 z$(l#h`PC1&3w=**@27DN@lHj2%i4EzVtn%fh z?v2XoYFTuIAPMvSRY#DgGAA|&v@D4YNrvV9`CNpKLLz3FbtK;VWl3y6peSY8j{T)@ z)HIg0S`@-!| zTYm4i{emz3nkckrmDOWeuepL+j{zmi+@yu18L_V{mUY2Yz4j<01jVJX+U$M<y$g^h%aXKN;Dnr5&P*G_qS--J!Sly4RWY4i$c- z8Xj(p|IHIXFg=&K$vgq_Yj#f*Cq#M@lM^A^;RHyqkTy$X@(>;fYA+glkRMN}7xkG1 z1T%fRtK@1E9)dmc;r^fJA)MiW&}8`=bz=G6x-qtR&jfu{TgbPg>7InRo^DbTmmWe^dRL4H? z9HtVj59f^9ZMKzp>$tvd(mI|~Kv2?9!6*S0ora?-upuY7WM3 zCm8#R|K-QO|E`T<-^s z@lia(p;rqct&ud}0wB>{Occ)`xPYlw!S!@6IU+`Q8O)1OvN)KwHBCQs**U* zUNVYjyg3`g+yOsWfAf=uT~p^3 z3qP^3lxhaSBXduoHKU3Ub*llTeKp9L0uCanP(-V}U{1=R^hU4;v#=m2lOQox%sEpu z$PoMtLBQVoJYUY40t`>PMx(m2Hq2Nt=S&Uy3`7cMlW4&)1ar<54BmjYV8tyH4&6DH zoaq7tHzLR=xf3ioQ;b4Yf;S|ghxtvS((F_K!4wj69DGcYX4)kWhqW@TZ$U(&@pfEK z$(imB?3&jLGK@=-%(n-gjyfLrg-|N5NpfZJu5uFrh=o=^V8|vT zUryL_-EWM)Tn)Gf{&A2;XXebO!Ovr^Mf@8jhM>-zVePAyf+tVNj=t)sSj2#GFqnnc znDE~MbPOoPZS%oAF8&;4)jS^sq?icC^ZD})&ly&EA!d6_)LM%L zJDYrF!3HRV_aHwdH9|6An)>%cPgX{YgE3VYEe?kBc8}FXvx6~R7R}@{;IlcHDVW|}?>}Ac9&H$~WT4>7} zIHoGT=-SHoh5x5}4s!p^8L$rllMS;RpH#?UwL?&CgVa7gp!Q~<)tvpm&Fud}xtml!me|fu z62)en2zo^2SKI93*d+zK)1REzy`h>+2S2GMRYwgItD?oI4ciBieA4GW=}C?!RXw@t ze`lVXH=;up4Z}G=VkRI5NRkzFZ%_F~y9H`t!YLl@Aeh^12ZY@3@kGxF?*^1eX8MIu9-|0NwRa4%PBnC=zwP; z8`)%}od;`{yAxF77xQR0d#zTzG?PZ=lTsHNa}SIBukLN6sv_~a}4-}ojzjTvdT#`QECZ0@+E8GMj66&8zhK*^G(1KxJlbY{`ENyGCz$JwaA z`#Gb{vhmAwUu61bbNio=d5%Bu1Ap4)AiZ1g?sbS6FToX*Bu`exa!3Wc9xZrM2 zoDKzd-kEM+!Lrh*yI^3L!55`@x)5YE-2@wkS%+hkL(`}?!G>YRC<`sw#fmiQE|@UP z0xBvi(x|&2F2OL&J2Y@~S52etf`MV)gRY?yEEwh%Bcr4W6jJ>m#i;w5J0Q8lJv!q5 z`k!2kx=RLz8N7>UVwew0M*Zc=1*zg|;`VVah``7O@NKD-x@5qqkR7c*(#fcw)>iE6 zq@$o;j~4awhG$Wno#vXyPMI@DlmqP)>ZdLDQ;VKjPM|MM$;JS zxm0@lrBZuRrTgSIYNNK^i6Hcm6Qk!XKb9E%y`TS8&%7M*nI>>um3zG>fnLC0D>}fw z>Oe1eoo#%<8l{p>FxRBOmuuq-z`|Xz5=sE>hu*gF1?X5)RS}0y32cl<-H^Hwr5R*e zd+bN9Nf}8ws$7U{`W16cs!cE09xw&4yO>;)YQqcA=s&;&70?Urlg>#*aot)+;%aJ5 z3Im4qayHQMP2e8-ys6E`whf|6(BD7$;gh{vwf-7o3o?$mmNLt z7WI~6&W_$rcJ%-H!k_=y|8me^Mv;uQ3*AD*pa?`#&TY+B+E-?MU2u;?A+mou#$Ihk z2u604D=z@|gsnsai5aXAjIt!KCh+O8B8QKIaz?CB2u5}km6RQlnOqTOA8crh5<)Pt zqoAAvYn}>%7O$S;NxRkzm)e*vM<^z!iMU;B{}2``e>L*82o@`58|vfB1}Vit?i%iY zJ9=I{jyd*;l9M3FnP}#=h-N|LLEr$-Vx4TJu(E;D}=HS zsWmc`9oY#KGAOuSlZO}T!VU(Nmc4pl6E@Oh4%7qiR8=s0^}r9PUO`RQnA>B;?A5p2 z11E9xkw^CG!Lm*?90H0h`nb!X&(c(?C^`g}suE+#(2bEKMW-#YN;#>pbkP9|;w=KU zJR8~n1tqNw8cxsOZ9tsd8H$N3`P z6&H;939M$E|A6LP5Q=gB(&rGH^7A-57Es%%4FahM{JN{8v;Ynx`P`NWo8?CVGse&T z>;K~iUy4+OYCl(6rVD-KGJPCedgo|FF*18in>|!W^+>WaWW{H=F6)IQBkf?&McEkw z&EHN+rn}YNa;71eoguhs;CH2a1(=xX%Mi@Y&~dxg;Sd~`ra9mcj8buO*ml@O)nEul zsW>}qOO(pHt4C!0BB|lG_mxc=Uq45i%tYw%ahjHD#qVLx zkqohHiLC(#A(wP_s1oa;fy0_C={D3E>adG}B)vXAOOU3q4gd-%fWZ2nyesLlhnJE- zbgSu))0Eznw&va?!NNE=I(;LT1RhW62c^=JEpMS2B_wqN%PzxZdTZWbMR;@vDZ0EHt-$?l5q z6q*iiIS`)qm8Fec5UnXpbP!CxpfW0gq79_;T}Ys99@7$xL7ku|0~bWbNYciK{eiXE zvLYiTKXd~AvL0LoMOpibn=RRqpo78u*9!{%#su5UPh1RI_3DbEtW9vY2iXxQjAOD>kgCm}C^VSoK)EB?kMS9=Ih64@vy!F1gxm7wa9VK<9vlHga>?Ul6qiAr(( z#=oIs^1k~Cy>!>d~$i$k>pRse<<#5Hi)VFm0fGrTTnNF*9wR*-Jy}9 z#5u0nz)5?G9-jVUB1H*7RCLVn`V7YSc~XiJC%Il)!zuEu{nextB|MYXoU=wi(p^sJ zWl@dUEjx^_dkO|5W_pJDiGF*r5bH==RBzWbT4g{qpjVJ=B-_0B6KhG)k@sPb}Zt zFV?hw=pX;k7yY|I&lWl(V`Yqk3*{kR|c0{lLh%>q zPtv_8Bq40n)5MUez9g4)FOEJnw<_sg{^~alt^yT&zCWnq>(TcZVLmu|xj_h>#X_Ne z*IoO?`kZ=F_24jsQ++*B|2J*zX(ZS2*CYB{Mb8W5RMyL0VNtGkW-?y1HidT5FYe#o z$CMG($tQ}YT$ZVKyppW|hWSzZq}EkyCoL(+oUA2#wT$@>mo)l6wptbXNP*N7)7>jZhWa0>EqszEIfOEvAIjUJq@6Y=ZkW zW=@MBCQXT{jTLF@n&9!EQ4U584~S4{>RfP=*pm7g;0Qei1#2^F6CXVKnK0Dy)sxsYpSzP(xZCM#S9U&`q$4Lp+fP29}(HLx4clwzvrcAuU~%tJz{fzv(?(oFZ5B#OS z``sUmEUdmS4it6sG7q;L%&g4Tcap+YG6DWWZl^e0B@^J1K znB)`KBU6V_i`r16Sz0?aq)H{5?or2PfeIQ0*DKPZmWHAn8KFF+9ryrq9JEptE2cXI z?-+BWnD@nWT~_hRiX}3d;ylQa(In?V(alqw2Sqo7<62R7z}r|$LR?MJ%|_wC$Y{Im zV@%1?+Kxm$O>h+CxD~TNZsI6F?ls?@osN4ZIRi#^c0Z*S^x5g%mP9NR zdDH7i#HP(N)Gk%Er$#Q->T}-p{_?J-r%v3W-ZIX4*W1av{_PKc&zJu|-y+!KZ;<=c zIay=u?9n0!B+3*!JI$9S*$G*}L<~Xnj10((8Y5vfOW<7z{n_mY6D6r^d_~YC04YMj zkpY=e1ID3O8*(}TSFlCVv|vke4VciM16?IzPge$|wS&P`qIcnkMr{>99ty5EXj9B+ z6&s%Il9OEXsRq1!YLaX|)qp8E!8V_2z(5{71~oi`95vk0f34e5g-u?Zb8#tDaKs&tJdy$~pMKI~yeZ zXN@pl`lNGTVwA>zxnR!Vn|{gf_=J}upQ|^cx9Yc#s%>QQV;IQh)*R#SaRg2{x&;7BZacap`b2lM+59fQ4O!0rg5 z+%s7MF9m2~ZTPXn!TIQ{`p%|vOXjBjL?pe&gZ^y#??OK3E@62 zrS|=8ANrO*@i&4iD&E_8!2y@uFQ5SkMa2Y)o`57`Drgx*HquOphT#EYT*OpJtON@7 zh-t+YHX7QO2^mMhq8*?|!G`w5idYg65NKC6Yj)oiAZo-^JoH*KoQTnkCR?w10c{o9 z7gr7Nj1;u?E1$R=f}wpOxFDS?54~C#Iwk}wt`=5D@|#eU159tyzSP&4V$3ynYXr3A za!zJKn@&=lb50giCA0Eti-Znxt>k~>oA~74`QP{^KIy@3jTGCIUq+qIv@4gPX2nRS z+$Uevl>3xcher*4ZbBcpRvLspXXHz%$;_oWwfvaU`&+;6NB`ts>y_TX_av=35KeLGVqJ@!(qlt>$+mZ-tY#e~wsq4%4eW}LQL)cvbq zQhK-=d@s!eCtc3Q&Ib>gJfi8~CzYNmm0<_9s2%;XbNu8R*Hio(8Y=BH#y2I)T|4aF zwk}`+%?BMha2&mJ^W3fL|IXmOCj`7_?$e1(!eeT@Q@NxujrWaz^^d&vzY2|~b&Q(} z^PA;>ZZnsDXG&0N<%9`1TRX+8?Ngl}Nj06VKt@?@RAJo#C+LVLhKDh?!@$u1i)HTr zVVseQvPi-%!SfNEns`}ItcbQs$f<8ns1x>4|3e8{7ijt^8r*A(B z7(u`6xBs!X{ZN$qlxxa$mTuwEVXcj!=>f5+0(I;wM03`3_*Hn zF1TIp*wF~TZ@D6e3|{jPq?hJ`=p@t;^P~{#SEQHL1aW549De}KO}`>T(ApA+PdM@Dj5vY4?i5{^ zbtj+7tUG%GdkzlKR9-6_?62cF)v{7qZpaUzDD|mPNG;NuDBSmV@LW0 zr_eeXj@tn_cBEgRN;k`+a5?N3`vHS@$vJNp7|6_QF0#)(jYOnhaA;U|BT3*aXIf0Z zn3Hx;ZBRe>OaJx4)CWyX{x6JBe0nlW-s0+*qXi7YLY^%}3w*$`#e;=oMi2Z1NH0Bj zBMe|6ZIK#el`qjn-yMN)rmzDHMl|zrNr}~t4uR3mB~wn&(qAW=!NOlM@mb;H0dbLE zCm=4Muz~Y769%+?IA&^O2CsHgNNb$?T@T*1d@>N9Q;tfdg=Nd zZ+U0zH-d~{_nyHle2EdMN0^v=X|8-Wc(-Hl{_Q{f*`M^*fViml(MPhF^9A$802Rdn zt@xRn(nYd16q7vMLQPhp#2m@)g|->t*M7gTCYD$+N3z@HmcyE%7*~sanHB>T6nq5h7Wn1p2cS;Hpa=R(p$Nkrn7qvqZ9p^?4f^$)bi^ zj((X1SNm$wYy_*y{VHExp9*HhSnE1tWsI=p@u^sK+qmP=XRc8FFRB@HAbX3@RWu={ zmmO(B{JJ|!BJKns1csx_t-ie)mxj6LR(H=^z7Np|Y1#kXzx#goL5YZ<{6`|dVszgJOH^8=_$p}!PCR?tJGA#tfUp%L+k=iy^Tq^q} zTd(`}K5E-0hyzN%0+`OSKSyd?7mNmR?9mIV7ilLM4dR$))c-!BZGFuaRv~9=@t1u? z4W2UDEPF!$I&=$~?!nP>B?$n5HE9g}=%}effb2Oq@!HXOwq91Pd!ng; zsyZoN&nXg67+O519Fs7#w5jX4K^*-#Z{CpPtY?Iqi8|+I!B<1fWt!)Nf$S~V9CO`H z%=M{%>*xM!e=U-X>Q3Aob8UyUzFa*7;Tj8WEHiR!YKOU=buPYdQB385e2FXtRBarl;2381ukiHwGtaLSy&}=P<+@P8N#y4xgLy? zlCE-J6HN_DmW!tZIO%T9a*MV$z6H5VgFV|K{FU-O!VgbEb>8*iuOtIV{piSga)1lY z6R<(`s$xwUHtLcfVc;YahWYSO%XwbxD}~R~HD6BnN$LZ65%xMeOX`C8S9i>?r{^(7 zi>s%BQBPgud|fDWd5M#3J8Vv19>>$sWQ#5NXdw+x`DoJ1?(z4S|yImhDJD zui!it)^XH0O9B}Jb$^KpTE=+2Bq%eT@ZoA*67CQv`^$D5e~t6aH1F%h6?A1v*h8T1 zFL6OTK7KH`^s!0R+kncS`}8{L><16AgzkD~8!?medfdQPijPSbqkIQ9Ac~)&Ec5ZJ@>Gtdrc3qbiDICb zqRZ8zJ@qG|o&f}UM!(!2`sKV^GzX@4Gezp3cM`(R_oY^6+x_yNe=^eS?t6`FfO=UzJcU;j-!!?bI2{R2PIyLennQ2H)&O8Y- zO{a5e=1IOe(=$)<-I<0&o`fHTE1H~n5{?ukA7kbTExB6in-k;u zeN6Gy?NjATl|ro7I}7@S_g{%qVgGcK?0CDq?DY6!PrPvHe^FEGfVCVB#b@!j5_k5& z(*xI_3j~*7kh{c|Yv7oC0g1En0r?1JUx3@m7wO|lw0vF^SK@s0G%e7cBF@amr#qgH z&+RzAq%>kve;)TmP{2$tKPmzUVyW1C>`AwYka9*bWmfMQ#dQ5bkl7?sb%AyXj!N9m zz;Y!S;ZGMtmT;sunQ`*;k7nZa?eF{gzx-3DP)sRNF0IQYZJM$(OqQZlEs1ha9F!cR zIxe1!JR8Nd->=!ajC>kWyIP5k{ANkmViXt-&2;gGL_H;4oHOcBF`C&uBD>w^Yje65 z$GaI9Mcvgd2CRfQM`l)sxTum*-+`qXEL&0P&iChGe-zy8{Ulc`Ic|Xu6drGbY(_ ziO*Ea*@BEat9Q&$#*_);(k!r$JZ6d$A4OE7B}upLk}|eMGbOa*N)} zLpU?kf)~T8?Y+4)lXCX}tDp6iU;WLAK11u=URrg$4bI-E)&Z^5l&gJ(z?7=S1%qlG zy^0l>Qvp^7Os_PxeXuslSW(w-OAqY$iflD5aTL*V7Kp#?%T>w_d1t=dp zlHCSp^@E?K3`JQ`JG2j?Rjxw~>h_()ZK}Y=Iw)__VOhhD$;7{z#)FYyp$)cE{vsQz ze`orPCxkx3p4Pk4c;_wO=RFB2{oCL1L2-Re5FuZwcpuTxcuU6zi!o6?#+%a^Lh zxwLkFrM2^JQEy^q%;)W3KL5fyf8x`=Dlnf~JMzH93t=`T78NrfIQo9^T)aW+0ei7o zTH6@rGpbRgcBAQvHI0nH`a+Oq+7G?ovdxSuZU9;mS3U%3rd^O-;sTOHw#Y)A5Tu!Q z!RTpU30+^Qn9)qTAm&GC2Ri6c4WJn-rtCUKXn{YK_I$qv?{jyKwY0WbHi}Y!V;Pvl zl7-B4yO0oOi=Q0Dt6s7zt$F`DT0eTpe0Pou5D@Y_cgW!l&NJVhJzzw_s z<$HwF!zEL4xfQ%?{PGt&Gju%EcL|J8@5_i!q7KO8X1{dl?aK)iqzfbRvk&LFI4TTm zrhSOLIuEMbv{=xcoBl7VOH!*#r8Ftc4E{$EEg=>>HO)Dr%m~JqIM?;P=DbbVhf1ZR=evq(d#4n z7CDhOqe=0>8M!PLw_NXB>KUD}JWchP%lB5Df#c_W#s~iPpAJl>MxbtyEFJ}8^+e}j zO_l8c24}W=WGW$5URx1;afo)97GI!(QFBHmRR-n~XeSPzANKi`R9Tw?JJRNtQ)QD~ zekD~l)#O)FWorb?)OK5B0Q;O;O_i-B#H8dfP1mK$7T|W{np-!A_S+$9Exm}_h1hKN z4fe^zle)GAb*iIMFL%(dX&d#$LVyP3SFvMeMSG>40nm_z~ zpY})pX4rKt)wx~wf_E^wte40P(+b;Ho)AVvuy`|kD=javyKqQi%GbTE*ce@Qy8#V} zB!qp%#^^FaVk!w?6Aa|SMp*94IZ8s<1sifZm+flU4VSSHfm;CiiaI`NO?syOQwF2U-e*Q9NqSu_8Mp;RUG+Ae z^Z?WX8z$(JP^G+b5mZS?>Cfvc%;+*({rj`}cFRvVX0z45 zo7Rp0i{%fPyY!6>bAdU9?I=0!0`N9u_A4kTS{4Y&u?2EHP?7r|JL zVD*5$Nf@;v82L-~56UDO2<|v%stQK>66bhW0e6BqZV6VDHE0|=!5p^) zD+-qGSIlusV?`lExH{!J`O3ZbU`1h3r#p0xTY?n@)FAzeC2nb~D4WAz#S*s!E6Qet zIbHRKlzgP0Wnx7cPJSpD?qEehF1Y`NDifu%qTna8%99`nKlrWR`j5Omy64nG>|;l;l5vPJkijd|I=gTy&@YIMR);MFk&#*e zQ_~5S*ilL0;36X;1gNA$dNp?3DE4J+dVP)^5AbC)fLo)-p<6M>jxelnj~M9z%+y~@ zi5)R&6ix-BQAzKXbIh^hezgHGMq)gIPinRH?mP zkigU>5%9UGc_c-udhTYnkrY4ONO7im*dwNKipgHTj-}^rOGdA$rukf(x+~*;BR zB-~;-?IDt&j|7u>V$*yd_&qQFf3HjM1ZkIYXVY7v4qy_3j|3$N9Jl(A_LajEtjp@S z2R{%64@b1WiVg@t$s?H{{3DhX$S}Jh>=2qN1SQR9f=KkBLylSn&<9m%At+fS7X(^g zUBitq=9U!&o?wFLJ!4sconSj6=8K@@klH!!nZe?r*F=LS!N>IChH57(hfaCqulTw9 zI<0jt@I6;8BaYiVVXYA43eF%GP-uaZpH?{@#g-X! zK`^2y#>Am%8(k2d`^^j+w2dx^AjjGUMi@3|8(pwr_c=$XzZlVTPIJ+)``ER=7>P7| z$r#Xsj;evAV6L3CqYdam9HZ?|wg}5rOvJP`TSEZqMT0dN2fE~;H3R@-I9M~^9`tcp zL%?on5W{rze1D=L07*D4D7xfH2STHc3p>fh7s&oX=PT%(VGeDeJV2!e!+zWZH1FpcgH@O!8 zIqt0)K-`P;a>Kny-(v1t-8|R5ut)ub?Hafj|37@ zeeLu85kassM3F)3nQ>B(%;C<=y>J8b&T;PTbP%exDcT7U(bkVdYSn5iwqlH?MJwtA z?O3(e7=)UBsEA-J7?T=lO0oU@*2jOX_22t__I}QJ&$;Igd&uQ|_Sx(C@4cVB_xk*; z-9C_#4#cXyYNn@r-ID*Snmjn`cgETAydU}u+qG#(%?zbl-w-`mC6y6`ZB#=%U zQ0{92i9Vn#YRldM~Tf?Sh=9^8G&heVRd=tv? ziFL2N+Y`%=>E1W~+%Nf}*F*QJjp$u!Yt4XP3kO(%Htsil5);B5w@jHq_j1Y?R8{fj zi%YGw4U$LzywnL6m-=1^;X0SP#S*06>`zmY_ja#PD4`9(1)+0xuNW-9jguO+CAw5w zK3zYos$tLIKjTSI+5K);(fQ%ANr}rnu}l8`9`pA$;MgC-z8wa=GWRj>*p{DAk58<9 z=Pf^`eGgyukKgz+k?ap=F~AGR!_OWlfuZT*&|WoALKT)CdIeuPJYV>Xa8%(d0!O`Z zd}j!T=ZkaHk%(X|7#aT%o-Z5;@aL#f-Jp~xx-wP_&sPE|ZC^l5US^_dI$rTrv-ap=VO#MSbDv1jZPC6g{4$ssKK z0{4h4F@6Dm_4gaY?NDDbiO{idJrC4Fn5hfsEF zU?4Y~9}7#0YI(I2d3YmDuF&Eu(OhqOB0rLB)N#EXaJXe7e#YQq$x0nDwn0k+t|Q+4 zu583XFiW5mtRBA53uYsJI&IbH!H@!sbhXhFVJgA9r;u$-bMyO({&#HU_%})=Mt;wiM*`-2lZUp#%UG9eia01K{epmPoyW=|T~nZk z63|t7tQT43J~}~DAv1l*wlRbD;O){9l)o?ak@$X})t6rQ{Cz$L`TN{X$H)2RVM6Rt zEW?D*%wNARdG2<639H+d{y5P(F9m#mc4bl%Ujv&Z1Ysnqp0wfbF2s7y;(XQXuZIwg`aXKP(<>N45ynj@!AA+q8Oho04C*~wO#P} zsaISOSps^=5X2aC_0U6*Pm2o@Bq^lXN6>KeD^jmC!Q=5DaS7_9ob?JHIacKJ(*)ND zrKo^F074q>BFG1&37(I{KS2Y{_DH)bxIH^7g@y*&?x=dSI&<6z!~yl=npo*qTT>bQ341~L^R(mB!ys4H^B-oq(xMjaP7OZ zRH6!(Tw@H5DOXaYm+O%TG?c4AW3c8{6nmA-w+Dp;49uazZbQY=6^Y+!M?Y+cHW5ki zG4)5!H)y}#98?lmmj0G2QH8C^`HE5`fD^>WE&ZO8MnLuX5c#K0Vh1J(SJlXYujYa1 zHMt(fv-hII?VpTJ;<05o+MypGUcEmX;WokDUEhX{SY{2r5VHpL5s%D7>9~LD{Dr-w z6U&d;f#3E;f8~dMU)TYyl6wBaYNn{kVYCCaHm<@PKHCM)kXEt@?lwA5YYc-T;f#Ti z5xL%tt(I(;qn0uL0onsK%Molf)r=n+YDR}z4SB?su&4=M8cHaMEf zz@dyJ85UsQb+bAoUE0j1Ji-0 zP1^OS!)`~%lq)i!Anp>Fe0QGt_F(K3Na}c=C&mq(WWGNQ0|T&(A#l=pmK%hT9h!nd zsd2ETgjD{+>lhUL@VhrC|}{9-oMdr(Fr{F^aR_Y%-Co9fnEz!E}l+p?)fXDg69G?%-radx|D(=v=- zbqm492IUr0?R@}a7|UX+FM=$qslJ4=ET;NtV3rz3bkmE}>|Rx|Xa+q(6gTVsH}=FQ zJ^Y&iy+l~tdS4eyeUFy<#cD5@k#v(Xw!QKbZo*iobNgK%{M~8(tHw;OKP`enRt#K` zlI&XM#3ANm|5%EL0VGGezh;}3IdRvtaH;-W=ERl6jNk$zgFhgY`DB_C_r%1O^Kv_b zD#H>NJ-@hU-Yx2F($cPVKkZuI@L50k&wkNov^h%ZAh=!k2w~~D9^_+7T=drOxqkfU z){~fzP##i)`{=fPdc285rtci-zQ!`1*yP7c9oX3KC@x>Hc40qqB$w@ee9&&S2&>|g z2Ved-_Kba^)Fr&Hp*h@HKJEeNmb^TQfPJ%~u-i9DB=F(?qL2!R%pjMYGV?bE^kH6L zGf0n1ZN9CEo6?$;zQuBmxJ^)*R2-Qr$?A z+BbX5yb??ysSj#?{N3-8;*TF4MOUKVIi!6{-r`b}fOFEa^EW_KviXyZm4M9mk}Tx< zn$~>9gy`BjhT=SB_`FLtQnCXn^~#!8`LPl1nT#>9Vfio-RyGX)NXyVE7uQ!`u%$SyZy~JxA*S0H#;Dl z)A>^c<_qR_93MYe-c$z3*7&5qmT$h}?Yoo}eee)l4Y34F5;pN$dlSJ!EVVnPvdTj& zw#!{ql)$O^>fE0;OM2x4uf6)JfJ$#)8E~61kZ3PZ6ZE-Efj%9VYYPqsL*d3_X_+O( zkGgSQJs2G0uCK}{DgP=*Og;GE%OB0-q+b5$qmO*(Yu-?*;)|oE_&vWQEYg3i?J5JR zc%l+jwTtA|8y~uT?fr5+a%mi!C6{z({I$A~3y}+$eODMe%(*M$a_xl2ep-IThJEp# z=q;LeS14_>zVxfSvbX$QVav<*!@vFaej;EIikTj^yvXDh=$qqt&`u%`Z6$#`JwTilFmfasy5%UJgb}45nI?mKU&0o$;IF=m3z^7M@9WO5OEggNS(I zx^rX6Kl}UGnnOBgs&n1lxA(u@?zDV%ncQKL1K%EQHH@(>bG3X$|2wvF{2Qe%a$GEs zh-WY%EOiT}R~Zm9aZKKzE){0bx>~DxgIV!JRhBV@jTl-nLz^zf=P*hyfGiQ~}lj z6-dRKhhT~m(A;F82tcd`xrdCNKv%3D8nFB)VKPkS=(&+P(K4xlMayF~cyT(n*yo1M z|AuiJ`(y?WfgAq!UWDL^DDb}z9xkL#1wk#TwiM;cc0{@K@?uL|xrjTliLnaL4gWX8 zKvF09dlq_WmiA>V@U>s}ZGUt+7C;OD&B5HmhM1<}73WysyxKEy2^Sxr;>uoOv`y~n zHX4x4+9pVbL!KN6Yv*9v2+bl;TIJ9+7U29{jRoLuSHThstl6T$i{V7xPO!uRtxtZB zp>LgFi3JXzk=0nhX8^~=lvn`DO}R?Y0d6CWlO(fe9*BUN8)$2Z1%%zMTz82D(4<08 z2V(&=J(bgZ>%;=jM>U#?TtHWm^du~yAi20N|`iTFcqay+v<@Sl~Z-`|o-9Ez{XOBea>!?lnV= zE~!3yRkC}H&}MzI@jGGKibeO4*;pCvmDNyJi#|KMCuA+O=o8t!WYI5Y_Zn3TrnL>I zTJY#JJKd;S&IciZtakbii@xIkzbeT}kK2BXrL*Zs;K->-$i#EPodfTn)!YbcdND4= zOgFa7&1MiLXEWW{GRMN?Y^DJxf@8qIdn8QGfp?H#ZqXXm8NeKP2M6ZnL}vGLF*)!C zuJ44r1ThdK53YhG@J32g`=ZaFf379PNt4=Q(+0sy^Q1J5cezleG7WR&h$Bq}&PC08 zF3|tpl?n9y{5vKS|3-;RFuR9HGgNwX=}<~|5#j1cu_F1?Gol97O$en{O#{UFW<(Xad<(!yaB}JVWjh7O6|bW|PXx!{DMst!^~}JU zGh0k)!oMulF9jP3B1f!YtM?5P3p9rYg3*sqjh{>r?|1y+&-jD?c9>FSuJY=av%{S+ z793a+Q1@+o0ErW3b<}xNIG?NFXA=khl!wn zvw!dco5OeGAh-K@1-5KRXB$(0t@>iz!cqN9II6EVruG!yq5mAGu*9WrVq7}!7SWO| zP!i7xH0wWt;~_R_PU>L2VnO)t{_KC_OW*wyTf>>&j3+myo1M~}qc_Iz9Cmv5^PG+8MXQ^Q=|!tsjp;?R%k;6G^Gg@Z zF1m*#@NmE6g!o!aIa+PBCnzqw^56nGBluak5jHq9NoHTi2EuU|^MTzO#gC98pr5C) zT0#;dF{*1a+dU8)-bdSN*oV+mvFzDcM}!+je{^cI9%kG_(4!erHT;Ta0o=LZGxYP# zgu^_?(|kN@JX%KEARxagfYsR!5kMoLzN$JrXi3^!$9jt{55Q~E@zL>95(wG`vJ%Y5 zZUcC!yz#9=N&4+lJT5;^1mI}7_ofqJ%}p;imP&icnp-w!0CDIu_}jX;7cXwCZi;H+ z7A@|@d=Ky%_u|L>7ysznZX;ILGEv`q64~sLqH|4q`xKRtqH{@mU+NSoX>T3;FKEV!hK=h6nK0>Ix_E_nCVyXC z)AoHLzH%2Y;IG&D2r{HK&YwO)>0+H2|EC^kJ01Ob!bZz*!0Y4srQUq|fk$1p=aM8} zlH`IYWL*ELKm6PN^7qHs1Z}_YxZe9TIG`3;U{t9#o2`b9(Wg;bM94Rxg6A{L1>(UF zq~Y}yHM3df4;ZP%w!TSNdFW$qhX5&(Ml+@3VNzG9=eMrPZF9K@k%cwG>owaz&k za<%+lw`jLX$;)-&)lCl4v`m~W9`hF20CmikFkqK0q+}k#LiAN-Slkj63Li^8 zUKbQTWmTDz!!m6J4fr(%R}(&QIr8g-!4q?Qy}`4GcOr~g64~>^n0p6U&AUZQq&fc< zT@z{k%`fWKuD=%T^17AQ`L>jfEUy47ie6+k2~AWzB$ zFXk$2_5(JkV(>tJC0&+i^t5?3b}%H{v%!n03IK0aTl>NqJhxwF_`JUBi~(Z9%=FEx z?}pVggV*I@Gp2YkcyC#QHzRXP4eYPwggxz*H9hM;fBZGy{rPWe6|*VoWwaJ(^R*Fc z;@y;#mJi&*Y+Ar6>2RFbdH~Nl1SO^AGp7!OrvNMhM5B?}g`lLge8n|L*QDWbjp@7H zijvau6;~V19w(9$^d_C4q_j*BF1^mDWxUV}N=nNQz1nkR4!ph7+Q{+Z90kc~f`{WC zib#+z7&wMQ=MiU`(sIew$y+G5m|<3bA5CewOHP858|4T~6%+3XUBkY`n0G2RvNRGqKONVxZTo3>S$brQYhAnsk_xh4`o`ueLjJ9NS|?>C;N!`j3Xzk_^vp*B;tI{1DZ<9{OturjXN0!pilMnwinoE5VYS-#D~cy+E5d-OlLoe*j+3Gi z^I_~Ehai!>qHlH8kkt>3o*?7cz=YNQ9L37|p;w&Ufff1qE0EdNpofuLcd-tC9~>JE z%e9O;X_K0Nc7G*L(f#UT%^lX7jmTYwWR6Uj9ZC<&ojDXA2(pFC+zDnC)+j@v>pDP0Rbiw=k|xAG;%ux) zcUcc+SvOZx6crXNmAgH8)zW3pS78Vy$7c&r z8Fn4U>keEvE8sf?I zNpcbA?T#7T^rITm)?bfkeckfbc9O{!-(V&>Q?tx4kXE;oOiwb7eq!Va_xRXTyW;5S zQNB4KjMQ`u9=sk{q;aoZ(FueS`s8i4NCnj=Pv9ngMZ{adCgK_0oJnh2o>3u6Ym!II zkXI1WX+Wtf5R@j_Y&^)X5KE`ubN)k$$jvEhEBAkUNG1OBP%b~D2+YuO>wEG;Ivx(r zdARONX7G@{&!5UZPXa`02i#GxZt~g(z?*(R0FXK*%#sj2g$c49agh!bh`0!`Kk&2p zfL)k?FO;~TpEn?3TmHT{?+MMz?KnQ>0|0%Tj*nOY`%{=mcP^bjzL3A)b>}k5EcE+` z8sW;O<-|6}=^$!^cNoWK*j&P_i7<29#g&L^!M~pm*vIAEj_V)H8<4OqeSd1+0M56B z*_by_!h8%6D8HX<$MNxl<VlQIg<8}u+Kg4y|i&+J2lMyqOA9^h$ z>KklkrwHL}+AKax3)S8-G2IV--mm_qKM;d$6@Bcu8^a=_Mdb`ebi{P1^?@jrYS(sI zb-)E}E)4_``}O^tG$T#WM?E6tX(*XkQL-uCEusz)5AAT85R|lm2}VB+@HuK0Lr`9P z6O4YE?RIc6@`Rh<@rY&~8XL@}k)(gVYEcEgBCbJPEKK8puT_5@g;$tl1HA6{JF9iX zNs5)XO;E-|RxBMLvT75PWbUUqZ%74Cn|S8=c1P#4>TB-LTWvWytk>wK?IiQX#Eo_v0(nWKw)H9{J zlK0ctRvwpA@})IfT51eWmnrQmXbxU`=VJ~j(egANo6wr@Xv2ej8!A;f%xO z$bJN};!2~v2QH%b4vHJGU9t3y0_*{`SP89T#o|S*4m-tHYypezJd^C@?ZGF4>H^#i zKs@?G7ccL00!BmxHwNv9Lsu_v#j%I<@{l3x4xPO`3>{!bfqoW>*_~kZ@{q+akL-LH zNHVCgQ+Wxg2_q|k@77Q9QCWeyYxQ%XbIe-ADLt^vE_G=#!lFNso*Q zP93Ap8;czmJTd*I=hfQiLiuM_ukAyC!aFsZv^8|8N*_X6mwyHja?538PfWU`BDB_~ zs1(``Fx`FE6ENl1VAA^J$<P4agm0wZPjuCXpS;}wd7OK_>t*6^#;GKcu>s?3v>a_vrn3C*Q9+QcGqx9vDnWbv_ zB2-Q7Nxjy6T;_?TQJbA20Tk3*99Vvtrqj@a38-fgDT=29)VT6Vch+q;Y zmy4k-b-}|LqkBYf1nDofv`Oxe+ZD+HnA}U!4rO1X981ab9zjQU@Cs!I5e9-2*0qjw zbjoQKC_7MLq(p`!vg-YBnW5M(;U{9KN6DR0I>m3KC22cP_{XICLZxR9YUcjvrVs$!$SjjzgO?A2(`=+cJ5m8C)`ug0qJ;aNP z@!}Fd&AUa5i}7sQa=+p5q5t4}!^O~)s{;ge3m65!_!Q%eIxg%TU>~;2!u2K?!-Uoh zg^M6v3>R#aj3?ZF1tGVzd>vm}Q!*d=aFJ(FBQ>7C|Iw`>Pfg!zDpYZ5Zb*s@L7Ir5@fS(MTkT z-}6?g+~wfnVz}gSwbShD8L(-^P1`Qq9C0yR5(O~g#eq4(FEo(Mw+C5TW-1_wUatOE z7Z;4YE0*G|5X1+s6Wi#mm$+0WSw}|7Q;56|(nS!qO zQcQ>+9Z773g`PA?lYY-x4vzX6ktNpcwYfESxH;-nINFVXO#7GYN`#By9Z%uCfE!y6 zbgj>$-|{Mc9AfS`TmAk?eW%XZ8K#f>Z0-Vu6X;uVmM%l#Iw%)5fsDJ}*|BGRaduu@ zXJ_6mTAZC{#vZ9P7u4R#27Cx=P4^6LV zzZ&8Wb8Q0fJj1eJfJcATr8aTcthI!DSOZZb*@rmE0{%Or`9mBi^mz|{pi&lwhht3} zs5JLCN1PqM^22%uJ_0xqL|kgND;+)G9u(_YjE5vrY4toOT?4h}xfL4u4>g{5`v$t- z=4muAy!UHFl0rVw_~!q*-C^39`_R*QmNjI@-FCcB84u|qPc`IJ zazxQM?foPu8h_p&`m-PZ$M>h0+mr*uG5xEb-~5XjJS%3XJa9r$J8opCd#MFy#T?@h zkU8(6FzF7y1QJAT$whwJ=%zwFWyO5lfTx1m>99t-Z>L4GVm=@0{}>u6?01xAgeGy z*Gm-Y%09*=?RS=Dk6%;YGe@MiaY+No*~(t^_ua8z)7wcoj|PFdkcWi?5ZS+YI@@5~ zvjf6xDEN8ISj>@$?@>B6>$&>(zZj&nB)ac0(fy9MZ_>*TJ&#{E@i8E!!3t8NUzmZU ze6i6&U;p{P;2*pv(vSMmdWAFIZn&D_!~)t_9kgy%-17+QKJS|9LYQ0hbj&ywST6OwIw`CO1;HNC zSrq?8q*C$7FG7`y;)ers0jrebr3+XkBr5amIRKhv9{CU`RTMv>6;@4YcGfT6cpG)c zjkrivyC?E&>-o3i^p1Pd(}HJTi_Pv}=oSij-kl4EO6$(GT~^<8LClunpjWbi?yW^O z1Zlxs@OS{wi2)`Rwc%G=-J}=EV+drCx{! zm!4)+2PDUyY00s6;)n_3lP*2h2pBT)Nu>>RWgD5I(Lq;wYDe>p;`?1JYMxAPW9hn5 zv@V%akV5O3Q;=O73C(cfpg>NqHsp#!8=$A2(&O3zdy>XWDa30jJ!Du=`aXI|3Z;ca zllg)r!f59&CGzlK^yg_QNNhe)v}rHhgvFzQ&wYDyT3=`-U4=|6-y2jR^!xNzzVA;5 zq2GA4d68J5#4`Y-Xi{*5SRscqrMA-N+j6wIKWP@%bioGfB1^3a|GMN0W zJ(zq(LQZtplLZCTVPCiX`tN?@nPkiciSw+(%(v3g^d%j3IBdyU4~GdjJavQYV&Sf3 z9R^@LlKM>6ZHK9~tiuif#ES!n8CREEo86&><{2=JBXY zKqGI_k=#p`QpsTl#&3~i;hB~*t(ggIEQsKm=uPM)vxNa)lhM)ufH|PL$+yQ4n)uZL zvm30LZ4B^)Kt00sMMpWf%DeN-H^_hxlmrJ~k(|`u>fKq1#Hr#&O5@_(H65?t%ATtI zi^JfuyQ<3n;!H{v@syh!%l>KDTM%w$eBz1a|64V3t*pk!-&MPMW(SHxOie`v|4nIMSvXsuz_S6k2rYV3SKQjh6I z$)~yCiDa%2#6=O;P|i`@kM=_%g{NHrh^ZB^AD1l!JC_8~g{_!a6KK17miK0?)pqJs z4>5ojY@^7IlAtuBY#&}vD22QrKi_3$v)q_W{2L`N8|7tS^mVW~1@lA-iLYs^dObuP z7a6qc3t9FYPb77oJZGe@-o)}_IinAJ_7{H5FN}Cgb6b4@q4Z#@`yH8Qdi1vS(&5Mn zT`V9x_a1C0Mr&_Iw~_`ZagI4XeLSOP!3Y7Z)udWy4p1A4p~rFo0V5UJeZ#uIhMh-6 zP!yi^A%hRw4!uWdzY|o2XOaygfOyBqoZ{H3@Jtd}OOlYX3wlreT@GFf2krtVZsvDJb@EzaP(3@IBhiEI&J%rP?L(esX*1H9i^Y@&&Y-i zRI&=>m}wxwBbXlcQN{bLkoCZ0$l_43@kynT;I|JwmlYEHdaz?YMtr|h)}AEuzetWP z5QTe$C=k1c9_=Sk*7^=kXw!kRUZSMu7bTg7o47^2!CQ!11W+={t#i$=7rw+L%iGDj zhb45SV2%{}F-b|av}WsM%s>4VbLzWPs*XX;y9Eu0&w2Sj=H-7t5d|$&hlnXE zWJSHWVLYNHN1&o~1T^RETc}#%R;W}7Y!stXqg=9vv=(vSHKguo>2`xC%+mX1{BDapTR{|FkOjW# z`9Bx&eC3nUA59ZsQwh~fT{&wI3`(6 zuOQ{0o^TrxZolCyCcfYz)6y)wj1fiql!GJ)Aq458Um^2_?T*kb2l|qRXq`c zl<(`@`uP_q=pg9i8|ycTLnsZh3YrChT49@|3*ZO;?|EXdLY_LJ0pbf!2tms>vap7P zruaRhDsr;_-k$4_cy2q_b!B{5R+RP#%W&_Shmm-xT@{)>Hjn#A2+s@rH8M^?)LA<_ z$^|kierculCn#}9>jF@i>~BZ305-|inSeKfr0sj&x==c+x`Ws#-e0Bb#oVEMcO|OkTZP1$ci~JjQpllNr(bw@ zD-PxC443-OudgcMTbv1YTgANpTilJ6|FQBpxp@!8j~Qop?`f02>A;Wn{KFPFJor)j z71vVP=q64Q2f_t*VO-vbFog#1Xoynm=K(YUvK(g;={iDzwe)CjZkItNK%_Q>7Mm_E z7?f|E2-7gkVj2l<5O9wH;3+t;Oq52eM@-FK({jj28}N9xIeTDS4~G#@k>183K5s=Q zxxw7rLJ)VAiV`?SB3MjM&xw=MB#knhi19UeQ5`BEePp#F`0@$}-cJCee{u(M;k6@AQ(!N(pMC=v z*4#@M;YskSQD^|>L;*e<5whRUKgTO&SZ=ahij<$lTF;Awzp@RW&XF-SJip`b5y!TuR6V0eE}518TF&IYryZ!2!}CJW}{qD zoai;b>i2QAE&D9WX?M{2REa8yOT1U6D4(IuBrRq?8E;atu-U}~V9U3{+L3@egs z*F%ufyW^lmj|9q{#fI@wJSrVHcf%A7z?E`Jsx`~%oF{)KAE~EmO>>u{qRTI(tQzb>^5=cm=j6r5 zQ6OfN`q;l=bKc)9hq7Y6-H6Zg(A)2%4|b(iBHHYtk?YSMXVI%l=1_hy-(C|VHTdk` z7vLES%dQq8E?m+U4g(b=H5zncRABA;`S1iQ?L<@iQyoU|Y0O^&+{z7D0H{gmS|D*Q z1!R|ztM$B_PYUcm@Qw??_8wy;=P3N1&D;{cX%|8NJ7D}bfdr4f*qE=7JK}W_&e`>M zw(<$`*_-kPY?KdGPmgS49QF(T|wOvqGhIS0`&ETPoRAp z33@imAB!926PvWkjH~2~xliMYw=PbvL*;_o{CI!v!kpkRH8+@!&NWz|wZs-YqX0iH z?G~`El`Ky|@1X7yJ6l27MRE&=>*DyJe&?YfG%k~RqnU1ls2eou1U7rC8e@oixcA|; z{4*{iF5TAOTL@5jdemT@dB+KGyydyCGZk8z#WayXu?aIB1F%lE(@hDDGj3>PXx+mG zZ0OIu`7-|!ZytL8GhY;^aQV9V5xAAp-7uFul;Xj36n=B?tK2N_i!(ccB+`CALE_dQ znjC|Fz3|QN{8H{{&zE17LfUefhH8KIw@-X1(uFKYTwwg3sscy=$zn8<7!yD!g)zYU zh6SIDpkxtp*iDn?QHUfIL)YQJGAT>uKt;3g1rD!kzv~(G0kb=OGWgLuh_glNuXPo};VC1fjjWm^z zb87DPz%gp+9zU$y|1>NJL4ctUph*A<_9!xZA5bHUi7j`!a#o{tA2=;m3n6DvBvWTV zpH6!NI3}s@G1bIoT~y0yF}4Amzvm>>@#h0rm7YI# z7D>QW-eWy}f3Vh5pxHS(B@j4^Vv3f7zyoaZ-m~6#h5{hE)hs<}_> zIoCI`rv5$mrIGJt(I#;j9WRUTDN0RU06I*IBkx-yp>D(E*kXH3(RKwVB(b5H^~riL z!oW}L*1rHEDh~Wn21n@Lql2Qes=Bh&F*c5lGec0Qta!-mAH-GQ+a&tHeZA;e$Us$i??%Bl9we| zAO2gr@BPi^JOF{^-5T(| zrr`SA?R!N3R}fn#o|VwxMS$QJ2#$AfM%iyFoPiI}Y47M23I`k*0W<)jvPKll+KSul zp_meEMswiBh*K~Jc3o#wTFsNrTQ-7E12~s_Um$U}lwg)=sWJiuW-w0kvmu=m8&7r^ zmdTdGmk^sgu$W#r(ZkDI)Zxt}GqRx(KC6Bt)6m<1XOx~@9*n(@{WnD5l6G>a~K**)aiVHATqKg7T+c5lG7kZ;ATwY;{L$~7MRK%>MQ__6+2=HqlE@yKopt| zL*HCyvimflMDbC;#{*myPZXL<+Rhwq27DfLf`{rD^-R}Uwt<*z<2Ks65|W3(cMZC5 z@ewr`9QI)5dnH}j8GunCS-HKaj;x?8IFe^1kDjZYd*Roq|Im)nj57T=OpP=clBf8Z zVNagqQAI_~jfcZDl`nF3j*Ts=sXNd7Yh`4Yrk?=svb{u0>gOkYgIf2sj#7|(JW&z} z0f&B#TIsWh{As5@mu^OWjz05Jm-bR-5vY?Re_m#+?s=9y0b+Q%GTua;sG7GP`S*`icWP}opo!2*@8?#eb}jCX3cvxJLB&=-A}@V3z9b+M7VhweTb{)jt0}i7w*j#Ev^=f z)A8o-zuIG2&azZ~4->faoyDMs-%n=7iJ3!f`Rs3YiSL>C_h#PFT;_bHDfZCh`sATY zZ3s(uOJ3wwJwI?j9eKnAq?Ou2(Da(T-9czQKH<=`h0xk-cb`Vzv5ic!{i$^Z{PbEO z=8aND9j51;3+$yi+w}ArF)rh+PK1S6zisqxsIF%M8U>yt^7V&T4!ezkzX|dv_|J%>yWw*=&QclYeeqc=M~;~ZE!caGQuJ&bOwb49zfQEn zrgJf{Xwek3Tl^@S8Sq2nWO#~L%}FHN{fk3WDHaH#%(NbO|9kKCn5JvAlgK{?lSsxK zk1dY{!g)UW_dQpyul*B8W3vZqWL(m7?emgNvbJCUWs`aWI#*;eJ9NnCOii7^Ip8p- z_)a9;S68C3XL^a=F^#XA~g7buZ)<0UA+nZm;f~z!$$gb5SFpYHk zSt3mbhKLO2h8Pq@9pzl-$;GK}cqltVh)twr>z^K$>~I>3FkwZSYAx0NFr zR{%z$zO8Mbeb;cfB2fb!7C6@+L?toKDq53+v}yxKh8Rd;3OkIJr>Vp+m|(4vN%A%N z*v$pUx#A^sMq@IhW~me|;a3ES0g%ZKHo=Q>qv}!;RW#`tT$T=}v`4f&I&B$SzYQ__ zU-@^ld1O^S9@FlM^Hn8!KXdmSbDP=y&AZXgvX8PjUDO{J64>7}VXeibY&*9_t<^QV zWQ5~@2WeDK>vnOFn^UXs1ZDL|UFG8#J#VgElZJC{07Uk7jaerZnZ)d3g94h~2_;x3 z9wOj7<$4+hr|>&(Hje0$?C^-Hq}1aU>!6PNsS9A<$u@b~z~-i#aovkN>0Hb>06Y{A zQofP9+wk|uEBB|5nb7NgluWLay2s;Lo!efw$W|Dtxa4g%(^l_0-v)Zs=iJKviB&@1 zR%U`Vu|=K|OihnO5TMb)-oK)%S9X-Ihcx5eQs$V=hv!>wW8?eS{p|I5{UFXS;Mzf^ zD!E(d6uF6)*)@|^TcbN2y^J*iqePTIz)9~FT)w1cgJ4ZS1!lXyjKu>CQ4sZbHgvH+ z{TI6ck17hK2GoCuZ%=8&MJ%qLjyNbQAN3nqimGbH}D3^g11W82c2h-Qrr+Ad*GB#sm%alVXu7;lG1(rZ`2da{O{VZTkm2x>hZrd zLD&E)ouAD%;2TG>Ix>iG$}>#&S#$yhwkg5&wWA1djJ_=Z3!V3@I3 zEH{W0N?*H{p$aFRo%%Pvo!jH+ZX#H4+7Ea8Pjrr7rz@dbd?TZ)%Jgxym%gJh2tQ)w z(z-&g{%6DMwQj&Z5==V{IkX7ROV*&{k1D&DfWYxoXhL#G)N1388oQUR+-PBODq#M$ z-4+_mysPYaFrXF?nPMOre4mwNa9fhUjA7H8|4x0}V3`8}lGO^Fmis;ag zIB%SjsFM4nUs5Bfz5~iT(jhjQ2NAbIcchT;@AlSmtz+J)&jm)0UWo5 z#Kr!_E#M?)t5biA9dCO_~`*t9#j4BJ5vEd z?|#p@@1$<}H*Fol)Uqqh=Y;+S6&WxUY-TAYO6eeVu?qt+9g>uZV=f>$Wd(aZ#W%S% z)Q#qUNqSItG)s_~z4pRx#ah;O?R4J+E))-4a+VUF<(X z0Xw4I-T9x1M>yo_+cfm);FEC9mk{5#wSE1lW9!+gks1LXV(G?_Th6VubN$FPZ2R3* zy#^oX>Er3$^&|6d&rj#obPY?OK%P(TroGpvfx9w(ZA$2ze&t`I8@dG~_A}n^@H8$_^_->xcFcBAr#ZMNghS&dDc%ru3y@xY~yvT5_u_x@V zmMsHzWY|bo(Yy`@>34g+H?V7u0Qq&^pHbg2?|rubrp)Z3I(s%sfbFx@-&FfCnuU!j zU1g2+Edo0IWIOie??p&=B2<5S9{KEiP9GZ|M;?a@8>HKq>D6}Euds(ern{)7CnsCU z_U$eMzuC^c1C1@71+C`4+0N?jxL5zry>oOR{xdh)djSuUq*3_5*X_b#U|s!Z`k8tv zZ!)}uuX|-=lP?m}$9p>2qwgM)zMt6;0T3Q49#M*f60^jyJ#!E8;Xwp}frR#8jqdf1 zvwK9S^8F7nu%iXLv1n!>^m$Q#mS8wr1_>2p0*wfmU0i9Bd7-3DfAUx(kF`mJRXa{N zn-0=Q7#$r+KWtet&L(SZITPo{daGqlawz8FTE^|kQDW!!ck3pvwpd{-<5JXRJMT_O z+u%1Pv1P~Jz!o*eMhMS!$%$n;D=tX1a%exQwi{QU&szZkUKBuWW;66s*TRDdVv{o6OH!m;BJW;AT56t(6Hb7JKWn{z1 zt8&;Uey*uxw!2C_U*4kYCVoTjYc^BEiHb}H8~zMgcHsrQe20>Q-M?bnG?E|JqMP3V zhLI=RL|T*V2WX_gwfOm-o3lK>&Yj@Z*tgDq)U3Ne+T9;VddAz%yC@*O`>~%Bu0PS9 zjmsunHny5?0iFKs=9-xFEdA0ctefYNDakuswP9$wTzE#CU}C(RmUWbIPO$;tE)Qsq zn%1m17~?PwDBd?@DB7@I>1scKhK(u2+_bYW@0tNO@a+gdV=HD3JE?){+R-$X9F%DW z0Vsy#>CnmKM>oTuS7V7uq~wd_EN(`+%MKZ;H{Z@1S(TL2WxyZk;0-+tvZ6VRn2cA_ z;y1hr-@GQ`H@X`fMpx{kHc58gj915K=z(XgVJ-HISC!x|e>eCaK8bVhzWh5@NIdi( zp<8;8&#y^~YYf|tcFxuv=kS@L&DqbaFj=l-6#&C1JgoiuF4Sa}5!rs_0qM*o=u`mn zD}Ibc7B8qT(JMD$#{)LQD%gN5T4D@D*zLgW2IOf5gg8kT*W+6;WLx z5qv6kb^o3@s`dz67v-1;{3@%RiH3gzy7aN*8pYuR2>s|dCt)gwACdGLhB}CithQ^O zpqN`*L$;rNQZ~0qfj^5BTg1{GlaMU2S6HA2?e7UWH$ziJ0(x%k4=t`!W;-#&n*bn~wz z7r~35)+zUzy>FFZR~7>S2r(L9LBESU834V$F8*aMLX3JC^{w&&?tDVg$<+TU@LroR z3X}omzQOVwAqFE%IelH^NtpgCdx1ujdKkE9$O|BDF$TbhfDWS}CIp>v1QaEVzx2$= z`7dCw)GEoCr@(FQP zN=`57nc^rT+L`t8sjw`i-byZ*ez4!E`QG?`us#28V~3pYj8dh9P@eD6SJ0)d2uD9F z$+&_enyVZ5sjUbnmmS0Y9PNqB)DWu!za;;6bF<+pgA6gly7)1@5`AuOKpmOBgEQ4q zC25rOH!=V*6A@@aqWUIGk=7JTl_l7fFrsXQDiz#N#s3AppYcL_>XGy!bDHHJ!G_}q zX;?u=q$H(y8^mTK+{-Zjb2M2Z6pWTrpwRtLKUo7APr4pc=Gg(Bix#OXfV;DmDLE8Q zWJZ=3R3P1RoT(CDS-NZ33d7p`7v3t5SVg^eGjr7+2K6&<_+FvZ#0|a6aNG9s=iYkq z|L@n*@!G8LUY#C$6C!w#heJRKoLQJg@tAbjPngn}dh>zKbT^`;1NAaF=uEwTr9JWA zqJO`_e=W<~@`54Og&YaAz-}@oFOEFLW+V&qFSgpR`9cXfnap*o*jeVcjES6|7FKNW#n&MLH8q$cL>HrYD zE~%0*#a)HHCj30Cdar-gsO?lkTICl0^24h5p(IWHlgcj0h^U8d*W;=z)Au#@a^go+ zk)#dS!4y*R$_h$zBhFvd;vqWrj5S>#EoTa8p1S-k2Bg|bES$F~ygKmLqbeRc-DtN_ zB5c|!V`Y$QGWM@#V6!#n@7c?4su7aDFk9X-0E5!hrH(ilk#sGN<#1pcmHkKs z6vx+FZaHTca*_3x?)X^cgmxFaYpUGmK>zcG|KzG$?Z&ZUjv=f}1DneR(RkU7AQbon zS8|84O%P%pGGs$wDCm(G1hf>c%2k)K2~4@zD#jmd0mdX%kD-Z6xp=O-fv8OL!x5%> zMun1cIFEkT46r1{j}qLkWzz^ z^n3l}rxZVvX1uj#owtnikoEE1j`p|Ed|fIU3TgQrBPnl2WS}P%QAQ#Zu{jIER5jzN zQ}p(9$8I!1wxFp$3O$SZSSq7O!L1T^B3^JRWp)^_v<2{%=}plbB_>IObIN_cA#e) zi?oa$!xRg)PUk+D9qq4=%hB-vh7s@N`pnY5`%^OEuplrW5{*vRL6YArVNm0s$sCwaj!k~9_Q@qtc2-Z0hkZ}*>y8*=3@THm|HpFREbfpGu$V4bI; zBETmp$Pj8d>t4=4?$q)KVhRuL{yMiHHTw`OBM>}hwj)SO{lkFV-N*T} zV!v*b9k9l@7@~({f)!F%F2!dovJ3}`L&4d0A*5M`hv^Oy7A1tgYjO-$*H7uH=BE0@ z8W7*z*%nfuPktURa!6y`j$CfabU?7bL7m~@+OeCp%+U{b*N}4`%~^}>31>8vux$5} zMvRtnTNQiRXqzyeJ{Zq`o_s&8_@8rf*CuAAzAuZA#XxZt{>l_%D`+xDIrksDODv&i zG>L~)&XuwM!n-64U~DS-S#YtO(WCM=H({Ag%K$g&(rA9`p<6XlU*5vLJdijEd$#JqKHAmM|2COMv+XN5%si8qAkcNI6IiIJM3lOuXa(e`aGE7%J7e_Z2jflA!~zH zC)^9mwH443$YHV2dxM40y>m4Yf%PtMs9n?RfonrE;Y#=}zNc8lT zuVPI-Ufym=2J_|sBX=PsOFK7O1BHBg5~+jns+(KfrAorRV4L#P{6Omn06M zj)tM)Ke?6)2$lT?bcj($0^=H;hI>XGSr}9=2Zo>4CQcYa5vZeJOx7|F$Uk!dAOj2G zIIS_zSa7H21vmWIv3b`3rOrfVv7>)(K{ta_V%TEn)Jls@zb-5Vc?QFJ^e92jrlo6Z z(Y`%D-%!czA`sQ{YdvkjR9VC^GG9ZWX1OM=_h9V9Ox^)F;FuwAH zDJ&LAmOaUmfM!##a1;i@NazYgI~mA;mLfomh%2CS$IRCJpP z7VG9JIxQxCZQR|6^kT32D5Pj%o4i6>d(lPe_&X3jx-($}q~wu>&d53VyQ7t6K%3l7 z)$B}#7}5`?Scbo;#|cRAFZew-X1@FSv0L>yX11UkbtTBJJ}i8Y#SAu}0uQTJMOCIk z?f6BEEfnolCPMAD0EM(2gA%A)P%KA1f&Hf-ji?e6xM#<547^ZwvElTA6JV0e`^HB& zk*FL%&Ey-XDD&M0^+5Td{yU2d%syjApmMVCj%)g^c96_hkqW&vq2L?K4x@CK9vDfR z&eM{-RT0+KYKx2JhPuwzX*}v@-|SANUJIxEqGR}*%)I$`DYrI0mv%Q- z(*MDZlTtqKz7At|AIkZk{^}#mO;M1GZ6n5u5YzGjoRX-G#gcFdKA!pgo*r3xEL!`t30eh^m3Z?M+0V{UckQt+5zs@LAgly?RnG>E@2&IIkX zlspjn54lc=_fgR4bRgL(z2wXa=q%Mz*)%c@P7LNP7k--k}YKBPCj0`?w0-~h6* z8`LdkGvs#LnD*V$f6wNAU2mc=j71UH=Gosehx%LE05}@lI-rxZg21q8QJii7u$_UD z8kh&jt%5DcZyhxhfWXkX4Rx7IO6Nt2`s2WPRnu5QA~YJW>S`(if$7&H_7MgzLQC}- z1Vl5z4no62)rSj9|5q`>W|aY#aKK{dC~+R$Hso=w=(m8u(6t+e!uFGO;zFt|9?4kp zyIvpJR=k`(*TvVBw^ZE-L6fn%{%t3xUyE6&<`F0B5jq#nugMz(Gk>AZ)K_BiUe&nfdAJj8n|myqiqXW4huMRqp1wjRa3qkP0R z=9J(q8Pxb&iGYC-rJBldNELga^r*c?iZ_n4D%z{KUv*urK>%JC=6XuiE68*#WER(j zZt*3x1D%hv5Hf>+=_so)?^fdldaHKd#wDaGMMHL!<~86IHL%_H*bNm8_#=3iLpJiX z4^BM^iBRfJ|Jpoczr(!W%g2+3C@;yL#ruSOw?u%;Y3x2?@U0|E!;e<;)f>zIg6(t6 z5~VP}ng?8J5R{h&dZ=#@q_X{afa2!SjSKSn$!hNI^u?^L zMI0HBMOQOkYFxc5j6KP&w;F=UdK|s_S&cEmG0AKk)LtNq7)hF%+#FI6@=ewbek|bh zFAu7)T^^4-9ps3P&NN;dq=@16a@g|iBqz}h>ffk{c+#bRd!S-#8#K@2OZo4t%uxsj z?vjnt=KtU*8{f4fmTwESz@T*g(LkbffkgDZAYZ_9kxv30J~LJW#t_d`Zm3H?NPawrm+R>|!lC5cjdg{4Ol;IDtMh6{n-6|^bK(3) z1Kem_{Ei~6UHBe5Jenf(kEr`T`+RdaIhdTpYiD*ZHcq6skt!a)mGOngLkdm<)BD#N zbkx@KY;z$?G3#%mM>KhYuKAmw-R-SSH2D!u^Yi-QJA9QJnPlU3i`eD&YV8Wbf?I+= zgcg{u&?NNEN|o82;S=T8t3k!Z5s4!v9*c;lG61a=)#GE0QD3= z*>G6TuHB7Q6uvAqx?D7dl)6Zz-XdvSdi;U)_s2fs64Dd_ZkOCRz{jQ^g&jod#f74{BIw21bm|j{;@_V zMPMI&E^tYvUHn3|q1Yy*fdCTD&1eKipZt)mEf`dm(SLVSQv$gH%c3>1^C1t2x$nvU z(?gb~2+l&h>I>$fd`M}GiT)TUEbD545i|GYgpx;mT?zKCn51Q1-YV$fO$A5T8mb&<7CgxqCmx>o9_t$=$&^>EXW~rd_xh8Gv|) zYZ|U3;M9x9w9oYALhl?yoG17U<2deXjZp_;fa;%uI!-xr{T){sGzf8GctMyl4`XDb zqV9d#_RMj;b8OXSbKW;o1dS+6udrWU_zAAil$ekLl(=={R7uqFImEFNQ-yJs7UUZ` zCP&x1N%gs)|5oqGlfQ10FRNvtT68Q$2JHcm#B;{`8;!@d_Zm=67~o?5tOXYe7hC}7 z^|0X8qrigD#gaph0_$GYJ;FcGfijMJujC$%uXiXqa6#d?yW7XF2r3JF7uYHHdHHkJ zyR9o_vFq8@+vz(0tCq?S{{NdV<2qG_gBMR&Z2NtnIn?86Dk=!pB0hzr+=&x(b#=ix z0wdH*^oLYq1n$JD(O!LKSzY~aXgn+x9IFJcO?((w(KP}}hC+CEMQ6#BJ-NklO#Cjs zVrR+a+Djjq(%FYT=OnwI=it?Hx&*tW$17I~A z4WG@mr10;;NQS>&Illnv{=dn>p_IXSM#E=YwGxqfAcILtCQOZj>NpDBJ!*(0N#Ry( zV9E&6)OiF0!3@PyVYvc!VNJt;iy!Zi<<1EsiBaDxKI2N{oPbjKgk$f0O-k)J^T|Gr z3=iJ)XGAiHL!oj^ySRrk0>=H&1EKH8vL!<1zxV)4w>YwlQr~Xmf)f1>nbayKdYm>q zSM(oGTed6jlURe3#^NK#bXsTlm{5AVXjguTLLKNBU#(-(EL;Cpz&d-_KB03NMKUGv zlK#cIb`?22&x2<8ZN3D`A&4V@SkEU^D!30fIhr9Q+;;DyBlyVg(Urnt2O*8g|BJ_Z z?Y4Ov-0lHlFP^FO{a?pk&&RX_1tkeT9sh3lxG&Xz?qJuOwTIfZ()%T_cH0MJ@{QKx zifxzZ+SA!-HS6CBYjR@k>+J5c?vHM647SL4ChJB=kr&`x7s(0nE9?QFJRSrj2K*9d zT+8CUD1;anTmW?(#KJ@tg8DPH7*`C+1UD$GLDIYcgA6z01I7%s31FOhpH034QYhRa zoay0o%o)cD$qdOmWq{#EJrM>mY-CR(CRM#rn#;guYhTcLgzy{}9xgv}q^jFG(-0ND z=R#Y>88Z=-meyP7W;4jZ`DOT{UTi-~hp70kMRGoH ziC~?E!sfLvfllyVn?oW;TcM8q0&e@=U%lt}sFoG=Q?<5tr$|HLb@AtdyXWw!?2D>k zCJ=dWHUDnNf2Z@Re3V={u-(A;QxI~U;;;2;w!qCGqE6*w*)Kb1N*yNe1q^jf?so|a zdSVk3h$6?D1I{2h^DrayT&BEX5yjC-I0u+{D=<%_ z)u#33`riooFEv+0U0yh)B**5PuA`4ftmd2AkNKN-lU@Ap!j<4{-zF-?WBN%qXd>IW z^)-L)SD#LTx{|4qJWk|?i##CcPf}rShPuQ#I0&J7;dYe%s7nr3HIUO7JO$yai%^>? zh?A=b_t*v^+X84TK}@eUTAvoVxQ$iN{yYnjC4d{tkx`faB!!O-0uIxpQ&0xIz|N37 zbNy72-I(Yf4ji^GlgMZ1ab7?6kOaT@I8$;P;`$LNVGrfz`ZnvD(-RZt@=@i411&{4-LxooB z<6;h{4YY-bKtw&+Mbjh)Vd^`=kuygZmSuZ`gXZc8{*FGrThLz1#kaw1a6t^mhezTV zO(_bTOW&C(F>pgl95wF`prA(2)R`&%xCH5BDqnLTSJ#$V32cT3Y$L8W_Fr-G<)0?& z@@%LgKu@`Kx8m<6rr-IQAUrM^8Y?B3B>J&Om-E?OZQp!7*~a^k+E0T2_0lTT;*lTF zE?yi;=l2*>2o2CnCnZ-64Nx6MQOiS*Z)b6$z44~^>ph|M{~FJn{Oz?Rgz@@DiY91}8j`g4ZTR0s4NuAeA_s%(q96=TG{F%N78s4YD7tRG zA&UAjmtMuiD3I=q(J&kb8lX@SZpgsD1R&Bh0hqp6?0K&>;co~u^$>Mm*n`i|Z;l-q znqca(7Yv<3*i|2F?=N@Rs0RqRBpPkhKd$ODcKA&7Z0emjx-{dLAM&P^CfocBofosz zS9C;}t)0w5z{m1DRJjnaIULJIX6*H!8i#j-wx0#`AD+rQ8f+rK6A&5s;?_#tngzI+ zXpbZoU?xCw5lomsPz`xP{oyMSOc=|VwPAX?w{lAG4FoHY$4cAoj!G{-O{QrgycpC< z-ER>9Q^YZQ5!wWvT!Iy?WNlm)bB-HV9Mz=N9OaQqlK2ZAW7^f+vWSFmbAOd3(4EA0 zhG+AM{w;csmo-!!vCuQW_@dA8*m11(S+Zb7JK31XdT`BsqGZ|U8KbFxzqQ|&Xw@t& zLjQa8K`7OK=JtJ{{tVx z4kCWcx00tYZ?KVLDYGQCf-P-3&lg%sz}2w`#LOGCK1%9sI}7ycG%BNRq1-B`GC zSoXTzSZ+&VfMehW=m6TT`PFb7k;1)R=jzWkmnwHMGp9EoS7!D&#-|+pVgDxhBj!Cy zFz*>J@2nXKzCup=t4}PJX!|qP!-ysAR%M$(o>+W9%tLP8(L#i8R?H`l-c=BP z4pY-u;QlJC?P$j5fAd^^P3^p&vop_cJB){5F%aN_j&>dz{ zxRNFK6yj1e3vtV&l!~mvc-UPDk<%5Z1&^*>Yy*4+mo`l_mrtt^NW?1;lqAKu`JxLw zhCk8F{7IyLnZw*JfYJwPm@}o_vuVSlNHM)ijX6AtwrSCv4nD7Z8+lUsb^?Bzsox8$B1cKGH*!9yxHn3$%NK?AphjX^Gqbh)Ipg5ny)x4|i?>1k!LA7Hg0p6`)>te{~YN zxB(THjn@vq{s{14uXmsdFDDG)Wu0Vn8F$p?7?)}NyW}M=6%JLdkPQ#r7`Bd!EHdRP zoY)1v7hW#-Nw>bBYC9PkZ7@=5jswAlutvkV9NGILUZ@453LVGqBQ<1}#FL|dQEnB2 z`zH_(0GQ|9qLr14P~TC>KSIfqM+Uc|;UcLFs`s=RhW9B$=JKGZh^N?)Hy9q;li&f4 zQcsyg07N3;Zexk3y%U=^Zt?{!XC!+vBE=AoU9#Ymn zc5NZUz$pMG?;ebt*$`tnXa{g(e=GqIsZ*Sc0{`XH*ZjCQ$uRl-gC#M`RJl7^{u47@ zoPi;-7Aj{`9qUG^hN(qI65jgNi1wGioO98E4AUBCQq)93U+|HZ2CyH+_w zH~b7O3$m#~s{FudO)pDhmy1Gx-$ZI^#gAT=epsESfPm0|#BFKB4l&?SKVvU`(JY^T zzl8YibWE}BOYnC+~SR7Tw{=QUa1V= zY_Xrbi9|9ZjSOebWLRTD|bv>lIMd)?pvp{iKvC` ztx3_?auF}9afk)`tLw#sv8mvbxLd>xG|R;~P`Ym*#%u1s>sFt+m*}g$=+u(Lr?yo? z3$Fz4%UI?KHFS<1)H0m~wmHm$#%q|MNSlJnx`+i%XY1Q0PG5-k08*zBwU1uXmz*mQ zkqCp#0jd1`AOpj67C#F74nl44Ht;VN1nJ@=ilw#dIcVNl*nP`xZ%p zt7~i0ZDacj-;DVf71}J-RYQb>>OMcNFeZC_fLWC^DZX>RKQfcc<{qlUeOFtEH(c|B z+h(BA_&V*VoOz5Mh&Vyc#xDw>V>ld3yFcfLvenXVj_pcmr1`0#~yG|r1{fhcU*!*#0ZhGdzE;X(sW>- zQ%3HX1C!Bq7`__JgTp=!7hFVDVxo0Vm!-FyPMjJKR~z|MijKDFgs&)uU$oCeh>B~a zI8z*cte>h>)e>HB$qCO}WB{S*c9>;I3t8hGc%+KR!k5d{K+4UWKXkFubfiD8TKzqK z#^X-fD)0oj{-vYq(7bKiij;gqG8yOf=I7Q)-1jlk45_@Vvcn2t zO0%@$`%zS;Kv(jPC(Ftexeys+j@^6BC%Wm3tg9=}LO+7{ZFTh?b((XR*Q@=jd&wv6 z_vL?U^qEQZJ(hn@k_Q}DG?>-N#o8c#q+~Kd@AnlT?Ry|of!{L_1qbhwMoRa)uik;q zLI~s8|3O|9Cz^@4Nw^G!Bw7&^OA6QvzEMxA8&w}l4-er3-d;UeCW*HQ5MP3fzY}=| zBc4)C9_5rGS_D<+AyO_h?^B^h3P5^(%~z-3tk`=mGDt#Lsv9K{9IEQTp_E6XgicaK zPA6N}QUSDTbO*GMh4j=};Eb5tGJ!}X(d_dzBY=FG=)Pi=OxZk;m{{~5THkh0`3S6& zL8|d%hTC_21B*{U?M3o&)rj~`k=~w=1M6jfyB2Yn9I1Y%KGF`dC&y3$7)NF}mJ*Gx zq1Y`PvGLEeFu+Oe;^MGQSBH^Q6i#~AOmv?(?R}leGzxfRfqgc^PAB_t%e*|lx(oD% zb!Q^piiOnP^OXnw@f_W3&5s6@8c;LJx?m4S^+Fne_5>uW8bPw|34f6MFU_!yo^$_W z9Mbm(If^=Fs7L1nx8VbIYq-O*o4C&csrBVcbVsZe&0;OK%i_2pV63n;w~} z#CsWTYz0v-B%V2lNzF9!g!*!-kTmcQ&)$cWr>anXZhkDB%$f}ou6~kJH>fXzQD9AK zN!3DsoKu&f!x4#{n{VZ#XSVpz$(}asYQ1MbY`ViJb#ePNYzbJZPSqhL9rd7yuqe2f zxKT)yB9n`CBvDOuIBRCSg$8TcIEFBHKyRef>$cO{K3UFX<)Yx*)dJf6M>#vuBbZ{ocXcAK>JFxOYMptaODBI?!Pg6EGPeRmmcq2 z4>A7A$Ns8K@4YvD?@wi++1c^3`U*9gyZY`|bnlTkFiRn1D`AVO1cG9&6-ii1`bCFo z)G#m??7U=dP7&RG5Z-ZzMk^FinVv#KSjw$P4)U^5%H7PSKS6V1t$CrX{pnRo_X}uv z#zWf2RfKSnLZ|4dS|@jt*|NIGeq5mefbZul@ripw%BNpgU)!#mP(?p#lgw^#Kxl6(unE#}7s4Ji*YuB?^sKV^( zNa2^IRU_9GQcgdCvokjx+jNH6zeRwp-2B>w&;+V;!HQR;XZh<@9_1NB9`#4sTY_=@ zqPZt}ujH6!{m6!O=Tizvmj465KtI2snS2${^k37~GT?B5?}Mi#`6_&jvacatZSge@ z$ycK9bB(V7&XwY8ZQ%Qy%JCU$^TlgwEl%V-4WTXMt)b$)J`hs2SkIZm) z1ngt4$;9%#Eu|ee|Kxx21%EE;S<#$NRij(*{Geci{q45i<7TM-4$f5H5%*?Ff9KIl;QlqEZp0nsGtgQBqFrA&5Y; z6Qq`LL6FdcG7Kw{?n-rW9GcI93xY(7sXHVg)<+N)*F)0|xZv@$q9(>Uo{#KtDCa14 zz$Fb_ies;xDlv{NVQ7;S(g3cx11nm;X1O`X0}e{bEm#|h%odOpA2##F??X7tW_%w=xlvB zBe+@$g20mCnR1RP*#ve5r;tOSG|1@EQT8Q+Lk?q+)#S(3lzejQ)!3sDs18h$lsunZ zcw>>7zyhVTX1+ZqcunG(;68SF@7d0QTKXY~M!kb)gmcw{ zdO&4cR`ttnNb^-9f7_gyEZ6PsHYs^|bjw0QbX^#Ck%S07LVDwoH8}`|L6V2zt#u)p7d1@8w_`i17L!v5X?J7 zjc|m}<+0vqxWteH=5h~5aJe#9Xa__3AcB1k{8_UX27(hrg?5hY!#Nn#G**mYA47>Y zE3(I;Scajfnx+iF2=*bkI$%zk2yR!JcMZV^_HmB89eah4(%S669bGRb1^cM?9gn0G z$Fad4tGVu2G6nmT#4uPpI43BSA4mud?2E`7tl84URPK66X-E&wB~y0`xWdbtS6lvO zWQ)nyjmQq@wr5EnBQ?;z7v?tG&W55WS)+s%cEHbUtX~=)vWI~Aosn? z{znd<*7|_4udtY7jK(BkqCgUE%|)|*II;Iv*2ItA{gj1&_=uvkNsjO&=i9@Y5Zn(sDj+rP*DP)q{5M?_ zKi1$p%MC)N#T^x^B&TaHcWAq3&IL!uB-+86`4%DDp`I6blCO1CX!F%4xH~f9uJ)oAh88y_Z%2Mo!fm62%s4ICY@;752{Me^zdf||nU z4bttHWY97JIfxfS9sf_iGd4Iz?c#H;6*!*2{-Ti6AXnR)b+$t~_B|P1sh=mq3#JoH zE)T=Sc{2s_q!j)!Crh@&QuP=w#NC(i=sm^>aPkcA23#8us&v9?3gh^)9mi*@PJx#L zB!xI5LB3e#N*Ao1J^{Is%42NOiw(nzUkd=V1P|wKe+>vPXnW_w!|m(W8s%pX$NI$2 z{ff`|^eecR)`Q7{{4I?l;w8`oarz1#MlilBg2{GSDCdGPco9)z&ub*g(3pkLaYIfw zthhc~jWSkbq~I&I!HXa(GTc@OiZZknWANf}W#Fk06lK^1eemLO%NU8uP`Tv(eF+8)I`R6{ zw!Jvl+M%UQ>G`!O&AUZQ#roM)tiR-ozH0qh5gckVWtiIDa*8ipP7FQnGuAn^4MIQw zxoL4%FuoGfMEWx4PGLng&k6jLG3$q5PMxCp1yL0382XF*`f|>kqN2^2#E82jG0M0r zR?Lah;~CTvDmWZ(m-D7Z2Z^Oo;T z47+#!rQf>wTkrbujYI-r9uiot9(4e(#2pA7bHW{ZAf>e)95TF`S6A0a`FVqDmUw(b}#(Goq9qL^C{PM-?CZF;ye(rYP ztIXK@?M`|B_rb&CP0stAZ%Vm?%04AcP+DB)EDe%8B}pTt$0`rJa%5HdtQ5*arLRw7 z)BOD|E7OBvB`#U(4Z&QQ0h=3IPU!a^P@3s3rc`E-TvGzL^FbO#%4Lgk z_a6vI76XE{Pxkp6F<$__E#-h;48#js&NhnlcyPE1aPw0Hx1G|{%7Z?6H?-ng)`q|{je%1H<{4e{Z(bb_XHa(M|1%YgYAf|ve zBU%Q<)AugK6&nQ++wIq=`%48W1Q`U{7D`Qfq8lLydQqg6h_%u+5LSxs?<-=k3+h~C z)H`6@M->z=-vv)-nNY#qpgUCp029QK*-(OpPB4kXo|i+5PW2Tx8%1F5@elpQ&`kTq zoKaGg&=&)$ty~!wBWBtqF`b44JvcTDLtS#xq&9O`KB!5Ha8|dUE|;Zi%)}*jhEX8jO!hk2XHJPSC^eM(R8DD6RYvM(iT3{&B_YuB6S6htpg})ij z18i{#*C#L)l0!|lR4i|cc=2liVR3!PYY*{aOJ7`DI`0-Ow)EN9(!cekUwrUnMc-o_W7<+LQSUuQy$c%>`|Z$r z=jjz~*7Lr&VZR+)^rXp7yY-~?u6-B10=eI=bPmWqU-yM+D!A*yz3*eOq;EEda*&1fY+lolYqCXCB{?XD=_pGMRO zMn~gKiXTb(+I>wF)!8P&E?6L3`tz)JND?N93emZ#>{7nwWadC!11pB>#`Tz2+J5yN z?xtmfB4Lo;vr-rN#H^LyX+8$RoA zN6UrAG;S(9_`&iFewcIF3<5MNqbArwKJ;{}(u^o+JmkaRWi>t_I3DtWjr?-RM=CmK zA_DDt!5s2It)pfM)q21%6ivv+p-aezkyMh91-0zH7tA5w$xQ`vqc)Q&R!k5>#Lh?? zi}gX-_|TtZ>YkS;j%j1z%3Tjwb#pv^*lo1?4Me?|%0;#AN1s%zO(#Bnbn7+FM;`Uz z-#qSnG>H7Lb0kNLe|6=`kB`T>X;c$Fy7dlnh>qlHA3bg=79T@xhH8vYuCD!W>>2xH zD%J9|Fwok&=6~VUNIuqn#hW&0joAlvYy1a>WO}M^z#2)kC)^cK7!Gc4daNNS43l_T z*C1LhHw|m1RK^Wd59$kCbGrc{bH2ThOb_-A#)*^Tf@_{_;#oS+^km=c(bXw62@p=0 z)lQP>(Z1P(y8>?&c%=cZ>#s-(u>LE7zmc=lAqiA)f1Z-=bx8mvbix4F?5|y#<6IKQ z<{OAt^>7%xfl_{Lk`NUC4?n9-hqqnRpuZ=M7hz697g@)F=*{UzYX zOD_TT`%A!&*#l6gAYKBT(zAF8-goC)@NmFokAi$DLI{mvF32Oxe@a<@b|+p#L4cv& zFs@NG*qm;*rD`BEz|#R`JIVyxE&EX7`)GN=+mOmE5GWOV*-qcb`R1z07JV&+0%FUT zJs+Rj@qPSYd2@TX!RwGt2bWVCTep0B({ekUZ$=4j_cuE%7?2AH^k2va+-+`lxy-aZ z-|R{!Dg^5HxgF=f+uiIkuK>dEb{@wm&oA3W_d$TK$5eU@p4}P$GI{J9E zs*nC!zWI)~?~;~$@DN*gIGdk_P5jnv6HDzfr{+T}wnHr0u+Svo#Hsn}+@Cg!8}@2dRFCH-nah!pK$z#Q)zv4M`&*=ToW6%=NeSg z0k-8dg>VLUK6f+(`9Qc}r1fD8d%Ky8;Y;Y>Ur8ncP5A@YE#2jptmy-`5Pc-QQDrAy-}YP#sB`N%+3lu59ABzH{!zU(dT=E%@=gj=YHTw z{@-1$u%gZQc~Rg692w)UQU4d=bdU5FdV-{c#XCz{d%!<{5<;hNl`dETcwko%9|;aHjEMd`u1FD1r#BtpG=ANrrXdWi9cs9!!n>60 z`o>jEXv2k8XsG~@4-uMpAw`7A|9xNoo?rOwF+p4*iryM!fJKbZHt;%GC}1lJl3H@i zjv#My>rQxAJ3*R!U-5*wMiPdd0JPI~N84qnI2UZ-bS;k4Dr`bmH!#p!>I5C6{lB31A6by{i zchq*_9i<9$K`Ut?bXaq6q$DO3CJR9cV+}NWLUIc4G6dmrYYID7lz7$AwU>r!Q-!rd zA1}<*rV49aqcwXt#Bq2l6`O2641J4@tp|B;J7hJEC6Pl1drKcOB6b-|?z_xW|<&bvju1zWms z@23m*yFc`eKm7+HkkOmbdjS{&hJj_w)gLgm^kRF@iaoO|rfn_L=r8!4UI2I@I(K2* z3)t-k)78ek0QA;s3NH>l?ge0QiY7TjFna-K%$C-u18jDhk_^G@1)LGd$j`GM5tKm2 zzK3Fk5!>v6lA7lQ955!fp5=Ut-Ap$>s4>2_5y(vVu>DncII&H*0=N6;GLza9iz9JQ zPembSJ0}?Fj^=#fLXZmYGpIb|=kWyNLloeV?z}#QXpj80mUnL4GjI9cYOq@K?Z5Iz z{?d2EJPTc@*La2>K->c)VIR)qxTk>^_838_sR=u^KJEbUHM-IeJMP=F_bBv4v?^RH$8`kHx0526bR{gORKH+^=Wu`gSj9&7!Br$ z0OTr}9^xCbbg1R)15zbZ^nQa*BaUyujYlQ1x7%8&u%olp)t*kwZSSurJ;ygdN3$mw z!RcYO9b9{QkZ(|QWa&>HyY7jf|JVTt;@QCZ*Z~2OA3JQ`<6}p7$>Vs=xS1Y1oKt!1 zxcxMb-38Q1Urtjd*JK_Ab(G8_a6o171?y)8^)bQQfJhGYu2erm{i~oBq=JX6M{fFf7y=f-wG@yjt|reP$c>I-Sy)O*&hJPrJ{b0 zMA78$(=w#{hcA@xi=Jygr#@cIy->)JZvu6av?5R^_^}163ESRScPPiry zu^0e3=^rj0thgEtOwtB!-GL@)f^RnJGmo&2bV9!{`H4GLk(>_Y}z&RIE*M(M=);C_?;MR{xK zB)!fK3z9m_g3?R5;x+P8RjvC61}pKG#-OU9c*UgqX3?V;Kqa=?wGrWxi+z5%*m<|8 zkE)kk?EU0ozx~I*?Q_2UZH;eNZ$@v?V+7TRq0XR^m@I`*ty-7Lh=?WuMr!Oa9GcZ) z(S2alnXNFzUcLKW*`l|BQSbqfP{@Yu-S4Uvea9wHSXK1v^n%5rw{cPX6MA6tij$_2 zro<8n8UKOMek}o6vT1WdK3nQ>nHBZu@jiufY`Do&q`7@XICsC6vUof<4KzG9>MVOa zND3gv*T-VVgjMoRtYYoj0;6cqLWQ7)=-S@X%O1}S#>B!4W%z?SLJuO6inXta3=9praQmX{I%@{SNA*$vV z$s?pNx}6`YiG_50#NAc52?Xl6yY4nYTA|82AUM9;yaUcRcN;P9P~~m32PEdc$#yuX zl9q{0q@8fJ#b4E5t8C(1BsC73En4G{C}55SZJNHx6bN!Q;5u0H+wNvwS<)BfK$UY_ zV*i^;5_A{r<18UOL7=zDjmVU7f#8;XJrQwk*Ft#0bx3(~Z;aM2+HT`6tZu;u0KKd!BoxdQ7j0j`w$p_z zffjt|UblFE1vEB3;Q`YfjyZ` zV9CYly+w3RD%zVnN~tCf$^D}<4^!7k;tu8_=|>p8q9MfDEY2CW9wf$H`me=*tiF2r z>K$)?7kswoUcQ-p-H(0i+t<5xe4rzV^zA_agfYS5?CiHP%dTpb4s(jC(CdKP2mYE4 zBm}chr$Ia{DZ;50%7e6zn5Jy)4)%js1HIzV#4y&%>FKgcJ5uCqIr1+i@)uEc+2;E$+-R$ib~R94C_yz%%EwIB14+jNEQ8E z)_RA(^x$M7>rp?G8S5P`c-pKq2Mzf~J7~S52p$~kh8V9UuZU2D**er(7~TL;Z>a7# z$E-g=iKcT+59=)v43*W0K%|%#aDr|Q)*2ftreG0Q1*|5r-@mFciZ`Pi9UP{H81?fU4VWrTThwLwpkJG6?In!zNQq=os-b-} zyC>ken63l(dMM)fq+c3@FQgIw=z`aejp7(wHenum)a~4XcJm!*{us6$Xm`w=ar^4_ z^MCVy^>rT>>h=uqy)^tHvDLzM>8=_e95h8I0-^v@UxVnl!S~XP6~UqAA%S{YJ1e?a z$)8Jf@7(IcQpIxI32l_1Ii6ONRL!Kc2BKvs?f>bQy_(TQ{I7~$nllQ$7N2}Y{~O=* zeWKJb#lhU_Lu__R6bZd!!s5zyB$~7-JW7;&PfMu_?q_x)U#ENu3T@ZK<8^OI7GPbnhs9VR^ zTV8v9P#qJ2bJkt@xi5B>Z+i0Iu3!A`Iql-#^Y3+K^z7=~wnxZ4E*zdj{CqLFOH^2T zgT=p2Z!n_1dej%*lq$C^^^zIW!+S7ew~YP-XxuwhaXtZ!|89PJoimM`H4U9w_-|@=6Txk9AM{w z7c*MmGRjw^)OTCr3hkT^~CTd3$2g2S3qazs$Nf{2|ff|8A} z3IXOCVnh!GmuSasR%EDHR>Tex*MU8&;iu7dC>JciVIYkSDiVhlHRqCpY+#zCu(KSX z1DkGzi|tfn8NJ;6Lc`2U5@Nc_rGU_E$jb?Zt?&2(=VW3RO3W$!>=__K4^JTSYix6x zQ~IoajadHHtWYI>-tH^@x8EBszrMTf^2eLJ1|5>9M9gGqv`AKoL4Mp*3$0Y*3fkeE zlFcPf>p(=lsfS2W+Q*6xL7%Uz*h8e4RU!x7N$!7-i)!n&StWwm3ls4~$zpW(G;`SWLjOHS4TmcD2921atuP=edA6m18d~j3 zt_}C9dKE)m*gj;o4?W7I@I>9KZ&5=;VYsAwv~3qftmD?EIA~0?PMjzOiBlL*A^!AmNja?8GTk1Oqg0)s%+7P6Talr#B zu~-p6zdby8Q6nKJ6&jzwjS3F*H&$GOp{Ws+3XKac*E><5pcJ$rUa=xIm>(LrN=`Co zv(w5@iy_Fz&IJn*0InLNJ@~|hpj2q=(CFk}i$;c7V9$Ofs1+KMJc5`BhLGeG0D!iV z!nZ8{1iIsY=B=cq;wMOqIX ziGMep+($F08g;q`4o!cSBtVyRoT6Ve6l+U71+s40{-nHx++YI?KWPo^E zy`HCi@ukjsTO7%vx22jNW<-3BQY&&=CVk?8(7~^J1Jl0jX}?s}&XuG)BxcfEK5~m% zb2V3zZl;p-&j0i4-~86-YFDS+O@4_80EE@Ba*gOgY=wL8^{AZSmPR*KE11K|;Fj*N zENfT0ANp9hr8i*6Z4RBoO1$Yhn+xN^3{n>7n1dD!+A-oyBxD1^df^3A|`U% zH;1mmO2nx!TGn{X2O0lC|8O$bZyG=Gzl&*?mXPVP&GrUNs@$s2icCIbbHb-IV9l znh*FBVY0(h@ZJYqv7#Pwtgo)?v_mRrbvxTgtXB<%@naDfyGP;mJO2yxNsDKjd5HLi zXOBYO`0yy?y^c-3y~U%rzYgiz~oBDvYUxI6d4Zusn8 zye56*yC47A-*FX~Ppzst5M8&x->@X{Ap_wZy7C15XkS@I!38noPAh(}Uq*f$g0x>n zaC>4yAQA;2JCUrGe}xrkzFZK=Th8WY{J)1~*3=KXGU)rY6 zhFtJ~fu54(4HiQC3M*1Ug&>A}GaZcXP9Rz9L({!*LHILHg@e3sBPi~LOCphi_D5Vz zFTD;k3NC3sIj@`4J^A2|XSNwOiybcE_HDH-@&Nh2#t$ebF(#vqz#zySznnz>Xk11P zWyQye$$-V?-m{8+Ny$@5&nJ`BG3qFa-6=@DVVt2=yojstHj8-I9>HVJ@rYLMq)=zW zdv}I++AW&n`rAR!EdIh*e&}~b2bg+6=i_>iOSZvvjvOOgpGQHlem~y5sxjnH(K1D= zRC5NCXKTc@^OEGN9{2)m#rCyI=Z#ih4usoHy z=cPtWbF23Wary3F{? zdrhq(&B;immgEy@=Ys25+tE*lCBlkYk`~1!kx@qXEzfgDaAqT!Z%=?swp;LNWy#S{ zg{o5h`{1KYde>&66@{uA)R|PsLRr2Fg{wlq8`_6gN))0;x=GLo51;>wv*`Oo$u0sh zmn;E|&&UnL0>iOLi!DL4b5Vz@DyS4K33MYxOAx4)qv*Gi(IYW=#U6=Z<|3#Hs))H4 zf>4#JH(`W5Q*lw{bhMwiKt-?#;L8mEf9dLhH0UXV_Zy<9UHHYXzxST(FGi#q1P1Q`MoVS6nd0^B%UG?N$j=uecya%-cMU zEk?gLf_!j_Abe8zM~GTMo7-MBpCK1ifHK>QmY5MNO47bUVoZVOHpX{{9FbO@!GWvA zUzZ$pq^N*I+4!XSN2b=|i@<8B;HFAp=)ZN~->Sg+vMPV#p}w%eq3#)2CBL@ zk)j1YAS&IjXKJ9SD$L%43*&yOuy6XSzw#mPxd2G(j!eXZIVvJIw&bM29Bb^;A((@r zXyim{whi8L%o?P&D^8NDqr4omMq536s5T%=&Mj$WtO^h^6wNVfX@W<@-U;z? z%vze@k-6_ijX7owLR~bkXi7SMpDJeE9X6`$b{m<#6!P zL6@mL_-GU5Os;y(e0xe0J~}x!b<7H-obOLG;iIn6{_J&s=4nkl5F+M|x?AkPMDQhz?T?+-e%uaBoNq4DvIDciBq40ATvyo+*R}Cv_2&uS zwF9(8f2xPT_t|ZV-nrfGZn$=5#LwL!eoO;jXguen`OPHF|G*#mgdce}91!(nIx!Ud z1xdM_@K=Gc?)qzP#m z9pAJXvTxobl;^>tLNb7lO`REo*U|85hrPnLwZY3KGQR2iM9CrZ*bG^RZzOel_g(p;idOJNHrBn>BJ>>{m++uap>zn|t~-A&~nb@;et6W_ib$E;V0jWVIT41ydR1MYOd z{c_A}Jp6CJ_v8LSq-Hh8>u!Y=fKWMJDT4=cw1|wU>+P!wl!v~9J+(F6io=Grp-PZ$ zg|7&t8EU)~TqB>^2-2-^LC|rq&Q1SgW41jG9VH)h8EtW|rOODBczbBN6=g*xam66) zIZdrTN4ga*2;dwsY)s7_S+uV%hEG=!JZ|VCL9k~kcmn0EfJFpNlS-~^Iz?QK7)@Uj zW14loBRZH^!Ab`oHAd<+bh7|rKJ?3MkNQ|V`Ya8*ZguZnYi0UDaZ_yA6dAR1gDpI{ z06w?yT$ZlT+*g#U_?{-kDHy%W1A2RqN20|}3s0r+1a{U@b^=45wtOE9`S9_d{nfuQ z1yaIK;YDtz&q0k_K}9HGTLIa=GL7eg;80`ifu1o8!PTr{2udJjBtMf`kaqBrk*5SM z64T)|Qy42sAZ06J8YD;t-~%7wfNSzG1SOF2Lmv>Esonoe zYonjjkqv%Sj`rv_2R(W;;D6CTIb)D-5Q7Zbr1ZuEZ-ieru^KQjeYi%l)!ujB*Gs)K9_|HD=fBuv}C~Jnuqaw=}0ntjx7|qZM7+Z1C?JM^Y43NQG zmG}t2uQmBe1;Y7?N8;KfDnji~o&H!cCtJ}ZMA(|7Z`m(|=pY1hvelr>@Dac*&}Qj! zvUQL78_F!WT+&ijE9PYDZhhi8Vnw8oHFAqXi;rMe4GtpIml+jd6kmJj3b1m3ITuF; zQ?ji4DVEHsMC2Mbn)X9V7y!TeEOROmuxRiU%h8d+)+o={oNUk44qF^mW%Q8G(=Ug? zFpZU-9P2QnmkjtVF%`MdZvVoFW71yk%Tc4AE2exHQ^ge771*W7U6DrN60lsS5lJeT z>44HznGPu1k^6big52%DuH=|R57(qlbAsG23tf-ol4V6m*^(w*0 zFY)HDnX%eIv!DyI5sdtjC}JJ;fEGz>;fO;=ehG(0AE}(OwH(m#}03NF$hPFFWOza5)7bjRwv3xL>jP6jUN5zlQpR*LTa@7gXmDC zpH!EQg+(dIv2hT(zwy5{$~H;gsW$B?jLh2f2DK^FXL`}0FY)W{@QV1%CTLT-?+1@xH*(lI<3PYnaO>hR7iiV4#MhUxT8p z5zHac3FLATzkn5?6Sn1$2pL;coS5P~ZaP6$6%yHHv!t}_{61Ak1a|}iyc{5Yt{Lbg zTbUA<>0f(bFKV-2Psq@oIA<;uJqe|vY0*WeR5aV(yR*I1ZqaOeZ-?#eqrUOI@B4+1 zc+;DZJ0Nk-UD;k__%uL0q7$SwfZ6sA8tI&lMEGh@Kmhpa{N2P@^1-93%b!3yjRGHB ztt4lbtkwe$Edi#igG&*L|Cy4QyD6FasKdz2s8gTG8(Hi?%^=W7 zxL9n*sWQ3A8(Cnq8GSG*j96kMA%r`=#+ z81oIrrrlt`7uy?*FFkkgB;Vj6%;fBVJeC8}>9mo~nVh$664fopl4KE8q8b8qqL2(^fI92_g?6PRnm00xEsoC#WmTdY z0`>lNqFQn%aXQLoTtJeNm`-_XKz}^7a=cgJ-d~!s=auk;snR4FI~O#>PuG-pO@>A zODmUj)?HrW-0>U81x&sxboHj(m43N$!hJt2ci~yvJ<(e^TK@owj1?Ru{x@8f_@*o7PPi&;M2lqA6bUZNiRg|}#CMJmwOnntKZIXZ#4orQ`w};qZ zNhtMBea-g=HTZK=U#B@{aLY91GqD~ner|S}!||0*R=c?nQmlJrcNKnuV}ajydfo%GrZrxvgSrJ#c^^CtF%!xm0PWw843!nDr*zJ|>b zS+}dXKjNLQyb@9qmZ!~`xI9Jk@>J~q^k4q@=kCSeZ^eDNVmA~R`GF0NjCpD;)R(Hm z1rb&=O5_L&>8q#^ypmTpWGrT}lHCDASr1zqlV)qcR7h;^f(Lw%6_H>EjHg;rVtdEh z0thX+e`Kto66H4OS6ERZSznRBW*+(k6|dF)I5Zy(zZe`(87Piqd1wwTk*u$XfiXBo zV3H7Yb^yUt?7k$1t>{3Q6N>8X52=x?tr>(GOO`6?%cP{*C~%(rKUmK(8YCuCmQOV_SNUsGAufOJ@*Ri-`9>IPvC!5d&8ZD(q(G^6>i zRBSYUcr?}Idp_A%Bqg6}3Ti?EHI<|?{{-!Zisk}C5`KIDfGpPhk6ITD@h->rVX}HM zhbsjz*p`i;jYYUy%ILTS@xO?fWaL|fZ^RkFmx%DMgL6W>SIwh=`m5qS1h7@SC)*L= zDViu95Y!eZ6{Q2V0kSv^CEkSg1qX84A0go-R1%E0t9Tay`X%wUa8IPm;k%qIN74eY z9N?ZHTE?IN_$?)+btx5vy#-+eZq`&xTfWa!e9mwCcR&8+D8Xty+)ag5#|fc=u7m}E zXMa#4Ap6Q+u~MK-k`0?M5SRPM_AFnj^v))gBBgXbLlya{+Hvns3YA2i zWrvDYt<-b>z9my<>7lakV8ZTvQtsuecf9>wk?4E*A-11;{#}vL(@dg@d63Z~)y=up zzl6sn5@SreMXlkO@lQ8{e|pm=e%Hsp^yX57)tk{VzC$*Zo|>BsSPTYBu+nR9H?*%{ zrL*xJG;Fo;9WZPR1N_ja=`#1%Z`Ug0`R2_@9Y(pR@L=Q)o7T`mr*HGLuu9l*%%#)Cu!&pp4`Q5y+oKPl^2g|DM1+=up=hU8i~3|0Y~OaR76sDWMa5ceb^RME`gH$fJ1!XYR>aEj zz@W5Cm}kW95UBTWVs|OKl*d6IFVDJibU_tLZ<38btrv~PdzcIhrLl?Mx@=;pP3}A9 zVqn(^uaj-yY4zq{RZ_K7TT4yQogP;4rWG0c<;sG6J|TB09;I%~rQeqqFTV8h3-5X1 z<*2rnA2IFCad47%#il)? zqvr~C&OwsN!BQ8@@NJJT-`eCBak+0Krcj}*jJWicR?hs zS;zx`X6LEy5Tv>-f?6Atp>xAXo9zedg9 zf-RZx5u>gSRtpY6s#_O4Y_{bNp9le9TZz-4HJe%1ClU2AX=bJ{_xB^$53^IN_Og~Y-DQ>NW-mWJ1nA!f$ zNSlcH2yg}=am0MW2bE$**^Zd8;gqeBHunjh@i0z^DALP~@@e{(L=@HL1ii~B%HOOA z5UT8a4h}L9=B|>|Rn+&vT1PMqH*$;SI>PPra{aB3{)K<}X%WpS8o=EP!v?^#Z%DWc zLPFhJ&kxD*_eJ z{ygGdxa5&g1iCgyz}z%8wXg6))_5;4!AKJ~esYv%>g%rXUL5r!&J8eR=CY(U>0Xqh zZ+FU@44m6Z{Uw(ibp?va1u!5!YYUXx?FL2V);)wf@PI55Vs8J<8!%K;zidAwKz)Ti z+*A`nHk&*~0td{IoUobPV9vabl= z=Kp#BCym8wgTvNxG%z@9tqum(I{q4ApiNkeup!3+hBjhk1@0Rbl zgEe33$Gq>mA716o*s70JzVrGaHUdU>Zwk;39i9__cB>^FhVS}UKk)DUh3K}?q_#(2 zmg0s#vSAShwKU{xHN5cbw@y(jf~e=HAn4^=L0Tjiv~~{G(lA^~f{R#@4ucC~pfx*L zh~6Hqr2+bb5Q!ER>BhF`M#bVQBC!cdO|A&y-d2zfg9{eQOtN`shBMAF*V1;|CHQ=C z=);!36Af_)O7!JRg5Z+)BS>NzjHarSWQI^<^fyw}klb(3xYVx6Szq&n0df-i0qSij^>m)q{W(PE=V z?_>9rG@VN#udTg4zy#XVvvl-)i;&LNx+v1topPtNO8A-wK=I|;QJd@l=cUP{NPW;*w}`4R zLRk9{dxgW)_o;CJ%Rn^0qFh({Ul{Ucn5#5#`2v_Voz9}hS62T$nq4;;WW+HFMjI>zUkXX zJAjH+>S^rZ!&YAX0^Aq@A@5deG-}e=a6)6F^|E?Q{LC$Up@xFMTrx98?8K5JjFk<^ z=4*G{Xe|$8965iq63n`Bzg=q+4g@Fk3LD=iVjj8Wr3+~n1a0uMVlmV3fC}B`~TGEy!A(J%vKLt)+*E-vaH!w4_ekLk{PnB*;Xs_GJ`l} zRz7R1G2{WEn+SrIrZJb5tp=0^Ksrv|TfMQZ|u}Jyj&Q%tybbRi_yY6X_#5)t2^}bNQ-@oa|qQ zQqL;l1;9Ao71Gjfzx{8YvG?!%Z=X#38>QxQUlrWkY>UhdmHVdIjZV35Htn-%fB5bf zq-&?NanQSvKAYmezX7ty-}utK7j}W+(f6gzB45yv@tS3k2XnsJv=8Qda~M9D^3A6G zFqlbY+1Z2Z;Y@1IA`fR$vuPj5q>dVFHNubIXF+oq#!)`G9reG>*RrXHzcjz;EBfEQ zmE+$i)h!K|V0;e3pS{ruacHqfE`bWSid@{8JXdwA_N4a>@Mqi%+^{1~0lL&hHe;w@kPbH93Q77P+s1PVa6^-P>*;>zavaELR9g+LI0QMjsRfY5dp zK^@ozWpW~>y%wbHEQ0$D7;2H*!^COw*ia$?wW@WDuq#ATV%pc{z zHdG&1d&6t~juUlDlSD z+-RIYbEwYxgF^0C#+iVQC}H`r<%K)WG)WfoL9}M$<5jc*U>Ao;@Z%%h$xX2T?K8#x zo&UYAOzg*%hQbAJwa}S<3*dIxjE_6N*%Fde|NJx}_VZ(w%^EDd@-Xd4OH@my2YF$0 zeA(#1sqBqpdXg95H6RNCn@7;$(n_XB8Dnn%P8P}4-Wq7*d6Hb4pC{nX%v0kL1qZLS z;poM(%_Kd{3&4kzGyrqdfsJCOIIWqUW)MP2_8E`f`q-I#C}1ByI-6i{MIyPc-_7X{ zDVUI~-xL%{2Hz<&cQj929#Tl;hZLKhlIF+skYWLUNcr(ect{7P@hBT_Le2;QQuda_ z{|Rre!>$X4B;1AMUh#2C?j3f>{?uc$>w@q$GX$X-6wgyWKDAyxzC;kky(82oyN7@d z;SEdsk1!Znf45tB)P=-2@A*q5o2`N!Jf7uRybc6HF-7=g| zQ?Uy}pt^q9j{Ub_2tK8FU~^b(tLukAwZCkq{e{sf9+=H(v8|v^TmC@l{AD}#7c5h3 z3i2u3YyGtx`9vVub`2!u7O@5Z;`nw8bgiBo^1O}pkK>zSOjCW)d}(;d5>Jc7n<{S&Shv60>BnDl=suO0@ueR_NJ}f}s625NG9n~CLT?&n* zF$LntOb`QIB(_?~lsG_Zk}w}ra*5$Q`FbW9b+v*)z@*zLV^i`qIS?*kFoR&(G~ zbYK4$M#1(kH;1wERF3}*i{JODN!Pgb_P=v=_hzfRWPXN^k?JJkep-Hre0t^E>7Blw z`zbeVw&60%!K2Z7i0YI!QqccSXd{JG717Gi-ieiIAYH$WxLLCeza5^XkNjQV_5Ppx z$TF`%%aWcJup%Wnj?Qx_(uTVr{IT;~iWSBH$Yyv29LKfzgJl1_(62na zfDA)ULvA}51T)5Ua1#@q`B5k;zqmA@K68f~VAU;;44KIRED2?MJ%Ut46`JhIY zlLPD%>@v-pZ*BZjm}bdP`+i-PTmPoR)bZevGbWH)$-00znNJJzx#juGd@740rY{17Pi~sEHU1{a^h_^~OXPA?(&t+x$@EY!(C5wJ zV|WD7$gU{-B$=M-1x65(n2VBZovWW}shHS~3ov-G$sUp@?6fb6q!U~ciDs?rfReR4 z-&a!K`co{>setqmul=-V#e`Y&@+%1@!q-H_kt}CBaLFLq)=EnL+txgQX-uyjqa!ur zcGHayuneEF}Jp}cM z3ZEnegLM9F0YOYwxg4#(L~aVz6UI)d9>aGxFW1&n&_8{r^%N8_^0Y|SQ>yDnS))2( z*^c{%x(4b;alt6JpeT|qSPLIfz?1FRzZt-xk5{Rh=(r8veCFwG(vhgRfT`7;d=_9( z``Ve$PdcCNVv8=O(usZ_i*5J$9|jLrxtyi)(s4TxRZlFU^vjh6yW}pqbjizUl5U=u zF;C3+(pS}gABesN_gCG7}MXj#||eCy(AY*6El{b{zv-$ zE{J*3@HyZlVf;sDMk{@P7X-8!v;$C0XqW^=dwtb(kz5c?AI5`lMF7J(OgGHm6-jXB z2uNqY-S5>wv#;<&R;`yvf!JJ+6$W)T2d`Q$6Pv@q+(Tb;k~5`lP&a?4-hIy)49}dE z;30r3w_lda2j}PT{`)>rBDGOkWB|)pV9r1pXIKYnY9pxSV?C*hxai96w^ciJyNja% zj~TG!u)FZzFM=7j963NYp{SSawjv|KZp(JqZ8(Zm)saQT%I-s;@*8A3_8(+O_+V?! z_`z@Z*MIDNA6gP8`r3K%L$_AoFj;5t?e&Q1H+ooq^{TeFUk|^#VUsh?5e0< zcgb_4xJDIV(oaabRyZ>ObJjcLxDe{Dnqqb_ zb05DJv~9#DRj|>Y-=_QTujfd9o1#^XdcyLOKDRe(WcfCIPDI!je9*U)&M1AXfRYr3-iXhJLxqv7*YAyJu zW17hWwywKob$5YMOzSkf3*v?Ge2d65iQY<`lZ1(GCD)i;*AGK(;qE zwFG4c%&4TBi6Vh@Y8brkt#6@9^>ajH5HzU>awH=I<+vECR2Q`B2v$VpPb(QANR{e> z;QrOt4_vjy$st&QZ1>xh!Ew=d(*8ab$QDMdplpnRIISs&7zf0zQ0%1g#k_grj!lwO zseYb7_L50aJSrPtmL&OFxFmie+&)SIL)$q|z9B9N--{S10+IGa169AKcwa7ACUs)0 zOe1;Q3SD*SB%qFpcB_Cam8fp+YjwUw)!wRfSM^Zsjq|F(dJ3+kmndsGY3ohiGNSp5 zqH$!xbNYeNcs2K0Q{5?==Q9<$lwB;j3c@l_<*kp*Kx0=;RfR#>=#nZ>libjs$Gv4_ z1JcWn#6EsqCJt>-Ha&B8bg{J&F~$_Ei1^a>^xu}^pSFCT;{U9dKlW`Gu-IV=v8upu zKPzvo(3`K(711OB`+e#Cy2Etc?@O! z`W4xMDq5d?>DQ4jeYVE*;tP0HIp0E2_N7+J9bSFu>f!S!zD}nppw=(d)DPA!2U9#F zR~#~rh_$vp=LiM2v*xLC`S!ICn5mR4oh_R*B*Wq zH0%N6XD9#w*bOyKmPwRooNObo9Mh#qlq)nw*5{bqI_PvXOfvXGPRXE@=0YKotztU( zI~pq;g&(4hYnUeUxF)7XBK+z^Km?Mxq;+>7s$wy>Im@bX5`iRJ7vXI0Kqt^j=DWk< zf>u|y7>8F$j+%D0stwp4 zlFT-AMcKIWB$;j5oXc2=>!!M@8+iXaC&`{=o%WJQPS~*`u+u7wM^e{Ll1&R{I!UtG zu-_d$?X+7o8}{32efTwh@e_XV%OY8;URI}qzdRlh>@iU@R>5DA(1{r!O!2BJ)XIpU z>!TjIMbDoIu2E2L1S4jL;7OYEBuB()DOIUIVs;eA*Q=eBwNA^8QAF9nV1^@RN4*_3 zRT7b)y(0g5wPM8VL>YMdBH;1bYZxm=%#K4Zg+YsOSs6%Ht(anVNN$cCutrHqX4jH5 z?EV8;lO-f!*OjLy4xZpoKqR1fQh^3QpSRbOVs=V`W=oEaNwn>cF1QvyI@pYO!x5Px z1A9%Rftt<{Se2UI*G*rfUejdk!8{Z7GJ*s#`pF02YnuvXg4n-2UuqBaNaWb@0d60A z@bCYlSO3~m?SRQv_o8aT)*GtS6^quD%7}a^)D^1Qs(aUnT^hm2mr|u3(8oe;4tlXx zwPf9kI05qjAqb^UFl%+_tb0#jgi&p#W>()P`dku37ExlW#?Ww--O7|Mx7n1u` z7v+_24;XORHBZTH2eT$#vTNxwIUD1Rq$CLz187(SaxFteI~S za>|+{M`X#uW4h_1VIsq)G5et3TTfHPAs795sK50@cxqJo!bM%vOL4Yk!JY19r8wKj zA)$}a*1h(&7Z0ERix4qB=^nM!@ETk5|Cnv1@1`3eL=G zY*McfZ&C%zMMFMDq2}31g`5#^Np!OAJ@@N418d;?jHPyNtm zzVBNj6{pIeV>K||0Q!?ZtH3ML%bCX#({1)1P*k33Pp|dZ1&{@oaJ7YxpI>)vR(7pq za9?5^*yLCXR!V8RB;y!V&5Ar~wP4msFlSKhB6uWppt_i>k}x0&CX#}f4BTE!wi>&g zVBo0bFf6NCv9cQIXh0#1ORl#@pOS1r<*^?yBucCXs6snyMwJZ_NF>-KtC_B6lI9f6 zq8jQ94lVR+4dhi#lu-+^R#srK>!oTk6kdF4SB`JuQ=fYDL|RupDu6e%1$@T2VWCE! z(JKt~I@qvad?R)>T&2*{rAYUX=An2WGE34YkEmzE54^*QF0~N7I%wVUwl`Cd&bF7I5q@-ak-W+UsAXMnIvuX7O+_FP{ zuoIC4B+fd9kD0(TFPqN>;g26>pXKczgDCXDqr)($CM-YKAF86^XHL&HIMz3)hN@HB z!Q)C~Y&T?qHjFhSk>UVPYx}xn2Sq1b$F(V#0+m0mN zP(S(!>?0a&qcq$(dMXJoj(a%C;xjJS*rh(Y#5HBj1Bg{9$tS&CQzAl_JZv!gK-UzH zzETy^3|S@x z8h-4&G&n0qmht0-FU=4aiX=+IMOLbkoI&8zngViisaGgikbfXgn8-gQrVbRYaFc|K z34U>TD?Ne-6+G{2+Mf?oK49&ll8YTrcF6#Ms)P|&+Mj93yg#?o@rBDi?GHkY3I#mE z4KGwLX}N~lM5*8N>F^y+r$Ywy++;{@_zDF*#zg|g52W=6g!*9?UE_xNm|B zCFx3#pCEg53{W&SL9<^l(pYMnG1B+3*e<7Y2&zu2^ZT?}q+_ z(0%BxFgEc_fRK8eC9dp~E2zsph8iwSt`Gn*S@}_bW%n58b|tp6>`JufJ>fJdhpZ_j z<>!s>9$r0o&r44t7s}^;;P>snH`@7?lFVZkBPc_u1MTb29@mhiqqf5y z^+}F8Kip*Ft zcl19A9sTwchkib*o3GW;KW+IodBu+Y2fy{#yl;XMv--#mBXTsDi?>vqQ`019m|rb9u`na$8OW*%X0T@9){MD0TM(->zob^RT(Zo?L7=Lr_H=Yw z4L^F!#n~JT(2^wc?E%9bM+YHgyGK`gd-QyNjIo1~UxfW*Z zijD~3)mdE%C13hINAIQ{`bFV zUz1sUkr5^@$FN##X6u0%<04v3ootg1DD>u85A!j~dRW|%K>f8f4}c=86!sXMJI*(! z@Ck~%1jH!JA7Ka7YIx53J~rj4yqM}kAMx9=1NJ(PEZ-Mof9BT-9o+>v~mJb#@<9NYTUgA=hfBXx1q*XH%T6b^R~2 z@7y?a^NmAkrdoQh!OA3-!z+$e#c+Vo)LxDe)p_lvqG`+bY34uuD_{AR34Hb&XdGz0 zeVNRxXu+j<=(dGsNkC~t5H!WAB|?y|z6&CH*JLF!2Zj&{L270f#IPR@9m1h|C{n4J zUC@aCfVY8nshT-f4?Fu#v{g5Ui_0x^qW$whC@YVaj);E42^9z?OF9P?LS1D4nW9t}^{EROifRf0^Sw z2oL{Ybp9Q(kkXu`5 zqv19h%U+yg<<_E8U-?yc(6a5ZHL+&yJSt%{8gM}oAGAMt<<>%D(hf-)nH`y_pg&1@ ziqZ+?*kk}yqZyV^H*(@P_UkB66@FrTPtW}JRHkMHdV>n|+Wj@t9Keo$IrrDxU4PBA zTh#jKGb7#2Fw*_>&wcaTzBf#@ddP07iz~hHI0s#vt$WT~cM&XcP7f>XnK%cof8+4? z$2mRpwY_l;Sn&qQW>cKQ?gpARj|=L-4H4(84;{h&IA?P*>bh9*Oq>Igt%adJ7zkIgf%a){|fZ4CjCz095>fVMm|H3~yZp5{q!i?dF5!cpE3OZIZj8tXB8E`V* z-mgZCIGWf1n8b=O;%(CIdPW>DNi8D*SJ37l>tyAcl^hbR4;yhzI%o0#p+1awdybV6 zKVX!I!aqTl*ZM9^veG9dfqqX*Q}IhyM*IZ!AL)u@T2o6tF8SmcaS86#^6y4jf6k>J zvy0Ft6aPlZl&qYM_GZvk{+-_``RipzUrFjcAIer>owDl zQ0Qgf!M3u8SE<>r>NtD(>K$)?7f$23mv1H#|LPz4ihuYiZ6xlPKs5%yKmxb{5CMRB zJ0O7t_+?*J6-Pql_d1;#oOKPYTti6Z+2bS9@Cp!%6(bVIie(6_B8wBqbdQkra9{eOV;IQ;mYanOiJi0hD4>KyVIGJ%g+F#qY+UG+RmvHRpkm*>HY%( zZJRF_f?`Q+6OHT`M=V8UfS@|~%Z#c7Q4K)#EI;>2lG@SNER?)xKC;;<>FBv9YkyqR zA!3CdbU{_2+Gvk_&B9oP``Epr3=cS>c%fzT-GS7bmlVIiYX4%z!h6nbh=Wn08=L1gbD6{z zfOJK~CqTN4eymMsHA)g7&Wi@Gb97}zgA2^~B?<1wM$iLvdP*cRpmn-vl&17#1Oi@v zo`Qg9KfnQS!%GqH_7X(U(`%4Ab?^x=PR3LI-i|C!(cHz(HuInO1Ap>;Z;Gf#q4Xa0 zcneLL-j2o?qqvP0n%rvxYz@&h2#+x9vh8+Z>e5$)Rzqk)!999@#Ql#IsS8~2gtVb> zvSa3Br*Db6+6D1ff#4wFW&RMMN32L)T?CP66a)|`!fhx-2vS$OAObFSks>_WZpglo zRvZmcijt^!y_D4}-uGKmJv@B=FBC?6%HQ*IeAD-d5>Par{IkDV(JUAFwbNK7>ls?2 zq-R=$BRX{|t|$TY)$*`U;mfz!a!JYMCqZA*bKHCYKy8+vqN1`*)1MyippWjU{6#I zTR@ILQ^@8iz+EdxyCy3@Gp?5VkUg#|n4_Z^ zefL;&vW9JujERky{Vnm?r61^s*I9VJ;^BnZ8`NVbO!a^sOP;#wF&ErzR@x>2_F+Qx zli;Y*;d?1>sVaeHWJUU>hod*%Zu#Vj!2dR1r?qU34+Ty4SQ&zxys?{UpU2D|xQg+= zP&Knt|Jpe9ckAO%O*7bQG!jCz{wdQ8-}Ar!l^^Rlu^}dti@G--zTRTkV7Rs3Um_KMB3~@rIWUj6kw<-=;fqA&gmo|i&}M9 zr5QFGjvHs1!AF(Z>EF9lCp`e=M^YXS9##CAz3kzkL*$cdB>&rdT`k_xO%EO+f2nFp zIKyM-@{iZlP?l~ePEgTM6(!9Ae5(8@!NHA5e|5&j8eaVgHt!s5tQh}R8v;nCXd7(( z2Qa)~P1ex0;_tTyl1!TWn(&O-8jBkVFqu}#lVo~+7e?ya&|mX0 z8X%iz{$`)j#&ez=)G<{8OSV(SN&?A}&jNpWH9Zg9`7GNc0uF zs^iOc9Df6He58*Hke=lKRRR$L_5KocmlFn;K3=P|Kgj2bNH2_a;Y$jal3n5h%@x^CiGw>&f%sGjhydS@ z9-W;v31;-@nD^Ytq$)Rstyz9dQq5@hLR`8`fATf4kaG3oJg>`1t%-pT78rzw3HCQv zCujHW24N83Q%SGAa8IFl>IF$sQRPiyCXR4V-Y}SnM_>JW{_HOXWzQa?gxo-eDIkT(5|hJEP>O*j*4D|CV6gt}%R`E^-{2ioFPKj_5>VV7uAUN>zfQ z*o)+nRInn6a#btIP~9aD;M$V#|Fqp2vLg;Iirppm2>Ufu-=Lt^u9@#nl5~RIv}V3N zcEkB&avEm7qR{|c^CN9ROaHYCS5u{K<4`KrK;2{eVoD9Cl+C38HctZi-^l00C;!g> z#y9av&$mXodj_ZdJF^BpO9UO>ixfK3YfDfPnLikjundAsn|yI2ghOHj__xcouRY}> zx!xHrGQFetw7jQ1OFq2p<%eitdhYpmMN}zGQguYvPu?h~ziGFqO~=o0k(+^w{E1)t ze?9-}KfIJQ)N6O<7Wd&}%Gerqu8CiA0C6bcos>p0=2^V}Uopm!Z4nWv9(Du-<_C-w#BrP z=E|$^E~cRY=3bxYWG_JNWdv=yy|U6uVsRG}Yc}6`DuHmJE!KU`!(EEZBeam0je=y? z-Mzcqjl2I1(;lDvJO3Ns^nIdK0=djyz4;_XwX%eJCS3ZYyWh8JRm^}nEb?AgHu*G#6%(91Y*l%4B{qfDu zQzd|z_f^(@%x-VNtQ8F_EHjKaG77uR783_+Kc@B&0Hqad2C}=|^mBE0Jfpos(zuh> z&9|qdw09T@(@AM(4-R+FQrcT&Q`+;)H^@-QNNH~|x3QAEGq1z^5HHD3uagY1aw1;5w$#8qeT1I4r`DWN%m9rsG2l3pFsGV(Uxtz@&kE)yvfqH*= zrb;=RgI;nuo9;tZ&K@b}b9_K9XR{5jDrZZ^Hzy(Fy)Vb_w#TF-1oFk{<%hq4UpJMc zfwe$oRF^vn^yKF;GI_nTHj}NmMC&YNH|u3O6ZLqhf&+L@h`I=YlZ)n8v~S1BPY} z6)I53;QS6&3t+RG6{(1PMKs-@o_wZQP!%dL=U9PtJr|P;6-R&yimWiNejOj_lnpN{NL z2Z%->#dU~~ee}V3YS~2}oTrv!sKLg;4Np@O-_|p? zk14I=Sb*AnS!`0dqsUlslba~+Cp@YeIv|P1#c`k|a90-raXT>O?R#G}gbVo-3A&Ljz zQb&?1(%0Odj+mE(UkLq+U{h+2P6OtWa6;J+0!jFo?T;>nD3`RV9wj#hv9zyn9|dPv zB=?*v&j0`^G)+h)$$W!KcQ<}_%i6i*sD~RGyj$3c?+onH*J7gTKc|(7W#In)pNcsn zlWkp#C0R|&;Zc;w9vyP6>|h<3dJKFOK5#j+M493W-v2_e&q=16Pcr!f*(RB8DCcC$ zU+O5&MX$RndQH1UZLl%JVr~W&^GBAy|6Bfk8sM z>FhxlJd(|eE&+NfiJw8vDFnqE(b3}YRxndf1o5{O6mP@@k4w@?GTpU>v3xg&T-Z1 zjhLi0I3qEJ4utk!kY0I}pgW=a-`NX$<`5%sM0FC23{IaMHA;82cf26W{ zrn2S?6|9^NVm<+LuW5Tb7?erQPyy<$BdtMF3$%Zo%E}okP(Yy?j>rZxQadD1RfcMh zp=crqwuH7OpEFc=rWqn~MWXlWL(4Y9ZqB=?W8?%M(XN-O!#NJQyEw!Y(a0@o zP0AdH+)NzutN->3?|)^ia1>^FJH7k**qXfbIzL6wy-*XO``VIz{bo4~{hFL)wQbC1 z`G`uje%mWmf_bQ;o$?Gj}&GN0;|~^QJ&W^DOurnEHY zSefPB0bqNT1dOqjtl|0QW$c%Gu)NpTU4!r3&0yNGvt};q!MW5lFA|u*>osfU+j9ig zL0uO_PW5jz*(p%d+s$ICwSFVP^W8(}35r_!Wl3e`7^R7E6hN1P65z{#e@jhntV3pe znbajq6w$%g0W)?y_>9|b zn2|*+G4m@>R;{4aq&&H^-YNPRC3HZW^NOP?h@QKvpZNT^wDPLBUduipS2P}i_}`g< z61k*`Mr$PZqei)CP00YJUf`Kl9`g&!!&S}T=ngHU+I;Z^{-QGdQ05nwec%1udowlE zbMK8N-h1+$H~C^CeKloh;f;fEub11J1Cg5vME>ZTK4bsKKBDvq8x#&nIwAmc3swYV z@)9<1IJA1j_7wyJgbP9Ku$eL(`pDdy42RyU?;a~MKj?>sg#(fRR+z(~)p-s`1 z73DjGR4<5OD2e27=RE1Hl=IxKPU8On?ZeTZ_0j8lw8RimuE__bk%apnYht>W&i>Q^ zr1hGpUW6ooMLPY5Grv1)R`&?5q_~K{8Z~$GBCkq)Ap;p+1=vu%u7IcL_8=UT_i)0Gj(Y2#52h;aF%YAXW??0Ebquj|q?s$Ldroh6_;6ksVc->D>s12Y^Gb zmuuxp1NTYGzZMPv1ebfwDx+_in9u6aVgGT}X!?RrL4e7D_P-KLJ{m}$S8E&9w?}6} zD;f45mvaE$imbUqIk%Mz`;VjJd%@P6811x@;iK^0N{MrQ)BM_J{i2$&GJUy92p(INtu>)z@ zUY6E)Y)A`ic*gLszFXOFI3<-0m+fS)IvpX%G?mXr18_z(EB32X;2B#*)vr!>n`Nu=vpPj=#AXPn)Ag1! zA_mmy;b7B`wo99O;R?+lF}4Zou>ySuLNt&ncg#pdkcpWWh@yD(jnHn?NAFjsTj}md zl9Sb`>a=Cx22~m6u@mSBP^rFtk(n|9*AqYSjNC z$33gl*ReXCqolizlEy4vYm(+D>1Lv&&-m7#`0=YS>snTDv+i|JbS0Cj0inuqP5MRH z1N+LNBo{1M;=bxH&AJOB+&VuNjAp$E4ox4Y%jJS-DmXtsjAq>hLFG&{2>ZVlU`cf` zH0v%nIHsIt-39U2VxOKdMXyCrD98(}?&=Q0b)WEf%QIbGQQ z_Jt|_o&W8ViGQP1YQVExW{zWKX#Zs>nkNd{KO5e=GrXphE{p|d!+SG^_fJ3l6L0!J z^wcRVt8Fns7aAy(8QhhuS|CpuvDp^YAcuLQ>WW6NwjqRu*99?5m$g3#F2Up^6}?!I zhSvpy#(9ge=#3x^ZxJjk&f84~9ZSROf5TQ8{nVk%rd=%k+#L z@(EhKjupl5x+IFx`a>f6H@*Uw9F1sca>M{tA2b~777yKw@vq9{YGGNYE9R9#-N|X1 z)y($wj)YB&PkB{-j&J%tQ6ja;dGP9g5yZ|!PM5XWDb_i1f|7ysy5N0ST4XFQ&k5R- zI-;nrofDk4d>eo12>Hm}Ds9sdn)D1I4)##U|9q z6QhKJU+&(ssF0w^HkVBJFy@j;Lk9q;Zm;-vx(goJ5m4 zLDFFlcp$SY;P_T-nVmkAl%!$Gil>tR+m73H!#kvaLci+c5)ZJhxUxo|SWz^o9~yMt zn%LP1>FIgCCt&FmP>7-41}uvuRg>D9N6aWebp>zverG_+B*|j6pC;ywXiXiv7(g;f z(h+b;S}iq*u!p9{^>EbV)$IYBxRANSGFrQ{uQ{rnsl8N7J^;Hz-bx+Mj~*1&+&co? z7jPFA^4mvcSNZ$vQqj}6K6j4u#vY~;2a!Cb3d=t(j?3}}J`J}r@7ZhmAW(T(vK?L$r@&_~2l&m4 zroeA-z5qnU@iCRY^70^1AD`QCe3=s;n>y<~mfNlu+lu;S%QrbWecSC~o83|jeBV~U z76`OE|H zrM6Uu`GUEf_UH2yn+hc^LAd@}zWL14J4*Wte;-?TIE$BwP5jnn6HDy?HY-@&`&ew3 zBl`P;2dli)FM0Uli~Vx|aYFD_i0pteoU$Wy3HpQpA>{vz6z2B>Y5EArGJwQceiK|>3#MZB9HYTM*2f|PHF**2-Z z5oX)uETX??h}kwdi!ky-%(nUN3^Uv2+k=p8fY~Y$4L$wMRrV935ed8j=O@YBp>3*PoA$2IHtIIy#|| zQGt_7fJ~b;rDM&{^LW5B$F~if1;n;jN0%8;E_nh*)uSWpZFAQGy`kxWUx3d=2frh~ zKwN9RW_sWk`{Tj*uJ_E3HN>g7o!ANf@SVm^XymJcMZ`{(?~h=nKH-k@op#mrOSqFxShnMWcR1f1J0sLW#FH)<0@Ve} zcI=NIEdPGmhUvl9eMFMj**6h;C1>H@eQe?3EWs8w5yqBHEVV;+EX7_MsImMHg9n>q zuf$j}KxQE!n@5`1NP96h5`0z(Ww`a~OIHt{m$*g3N=7jfxSU0DEtP;ng2%~sr9Yh?!dC4}BdM9OSj7tK)(@P#3`2;J8o`-63KSQ5+a`N<2!5KARkMsEmgu%sH3cyJ84SRDSnLLKVqB(etqnuatt2 zEYu0(s1`5D%!m*L@YosHX$K(L2)}U3kbPFCt5kwDX zYksmV9h?N8ZRw!B&$e{X-e+4{IEyeH!$1>Zohw_4?1Q8XfWqipOl3<4?YLk&+~Ona zbKa1vuAF4av!^p)$qn1#N5?#SRN86tQGD05PF%Y7mb=3Na$Ujb!85@fy~sOIyL=%= zPi$~D)?t+8lP-Gcn2+E$Wl-xWCS0Pk1wxwKn7*dNZKD{L{%|&WQKe@$AoWhir{5} zyg#@1u?0M13E7)Xj79?xAR_oG_^a)>ng-?`oyhE93?x!+ z+QIm$SwK5QbbTEqGnq(KSiKxJK&XEp=3j%1%dJq{QIfI8LF;=9C zazT({FtSD-5oH#Q>O+tYh6|!cfhh_k6gOxUYE~2n!zD>uD8V|4SLi9Kk1Y;HkvuLr zZA=&$&BVvS#ldh%bUbj17*CU+xn|9LcXoStO0p)7uBn2wCjCEO69a$P5++w<^%$3& zlvGe-Y_3C9WJble&`K|#%ScwbHN!tl^`8~a%fD-L=a8E zWiz3np3{i}h0e!;8(@TEwgwvp1TkgDKA=t@r3G*#V){cq_O%SG8ng@?kNo|BnbqA! z)A+JN^FLF9>nSp^ETvORagQmTTZ%7BAWTWxYs9^~_sr9ZG13PVO>&Za@OXS`yls#B zY-XQaGdt}T&1P1eq??Yn-}FNd{^MU4W>(W*Z9+e&JCM;=>)?4ZHM6!w6=~ERD-Ng5 z>0LFmF1X)fAe#hqOORMJf;pjoSOc&~L3BQKlI1y}j{yuCMq^w=!-}EJnto`U82S&T ziVmyS96BfTcj)B8IkMaijA0{~6Z+_(Tf%TtkOQ>yRZlXWVsc89$q#A=M@^=PJwjJi z*KXRsbQ`F`zQw00c5#YKz2dO##0$Ml;4Ze0S7<)56)6nukWeBlt&+!5XQ+1oBBCUZ z5vW!D-e^v}+o{($-;CyC1{voUXg&e-rt_EWbpAD(Pk){WL!}%3@-Wn1irGFsx%P3| zEt>7)?bydp`0{`B*`FHrQF8(whU!*fwa4o{Miq^zsxT?zRMEz~FAdyGcp?_GgCTN@ zd^C9FeKL6;19ar0(ZdOP89|wW;tI_}^@@>?Cj0%C9n8?JEASpPf{~9VrTvB!{Se$N zI~4tqkH*il0f=8$#4w>|#gvcc)j09Mj>%F?S0?0zz^WA=W|ru4}*rPFTFY)Ws(l>VD<`|h7z1a>U!SykGV zk>66WMTjV|lnO4}(k{3#5E!y!eF?JUy3CkshiQJGli9?IVM+l7!2n$?#IBb%Lp=n; zltK_A&$R};S`L?Xw`;QF^pEfhQ_7xwOj8g+#LtRvi$jMgMZmNLUyBx3F>Ru`>SRjk zE}U%II#^KJYH?NCJ;KgQh>ey!PF<)9&{b*ou-j^zAy`fBj_)Dm8AM3N6WRJrn0+FT0xh@#ff{BnDJpNU|Tq3rA>885%i%TtVV@5kCP|m7P zut*OlzvsCQH#pb9D#iZbvr>%ofLDs|!`lSe>Yb&=Csd|sC?+DoTbm;Ms$cNMAN6O( z*!B9ulv3{U7*w2DAA*%0UN=`9>A{I2;AUeyqkeDde%3)%ll9?%rcr)X zS6-N_r$G;{+8A5o&{-cI(Ql+>#T^+*vK5%5yHvFd#S$XX$D`AzjjY?wsTN(!fTKkT zJ}PvFJ!FXZZpzAWGL-HrE$I6K9L2LZSL|-8Vh5#_Y$@O^_;nLu1j6n&7QO!8{jY!F zn?5b-DEd~mPTUDT89WN8n6bve?V2s~s*75et5RB95F&{nNNcrIAQVF7#4We1;rF-o zXD2QV9a$32SNV@wX&pA8mlfaah=#~UFyjm`xEQSZJTxehTemPPEi~biE(}AwKnX<x^0U{!{+nbs|qxRJjB!v=i9bIYJ&402Dug4NSrHDBpa)SvGNqIQkoFM7bTZ~Wx z2@(HxUsFa8bgtXmFp>kOaeOVhp=P5zc_L7oQksqO%^2mMUH*T^uMeZ#AK^x}4b|>8 zaLsACC{qBA*9ztcH_8+}*!(KO9m2im2zLVQ2p38SdJJNQ&Za*uL_nAD?;D^^~bP|Rxr8QPhmJS z(S)3?y5V@QDr%%%_V7{}I@mq7B%YoLW%~+Ibq78Z4hxhGSnEZ$f3>j!LOd7(%d}0*HQ?A{N@A{sdX-5s zJ-!PJ+XZfukH(4vikqXS=a*}eZ3vQUnQ58MbNWMsnE-t==i(v4Oo5)6ByqngNq>m2 zQ~MBc*nyMt;p3e=L<2(&I$en~29qjpG6r)kpvz!0!pt%jW42k7O2cHs3x z88Pjk%=^m~gRbrR-hJ7QHcSrC%Wa&TN`V|=91sHa0VP-~7}5=fK;(gRoMKUN7($@l zUqZ9kALpB^@Eme+sE$udkS|ywwz5BdumKFKjO(i)t(aipj0~K9hyfP9`OMQYZ^HG* zea`lCVGK3qrVC?J=LD91RULidn-ykeXlxnNJVgZ;LFWt#`ov0Z^6K=!rmUXj2*`PH z{oH@~*T(>gQQOA&+m<6WNB)Dz9eJ1xe;2ug9>`;k{0EUc@_0F-Z$lP1KF>Xn$13tK zef~WVpIqBMU}dF^Lmj?_A?4aO#B_$x9?Ss>?)NC&sA@`%Ub}9ACYQ?P9ZN50-2y&G*hpyB*teMnK zF+Y@1BFW|VApcF)HMGKVtUCudv*u72 z5UPsWiKC#Igatuz%a2TzXc>tKlI3&y46|;XB zW8wB3NRv|~HS7=PXj9?9pIB#KCMz!SgH*{3wX2Dkdr|z`_)qz(p0CqU`X50Yw*Sdr{E5H( zt&!PQdK-7(bn6mQ)8m>@5&C5Z#*6TxYz_E4)LFh_DS;j_$XanpAxNE71VKEiAQ|-N zsP7np)LAZweqkyO6y(wQuf9`$z znb|Ia%e_(!pn2EmVdBu@z_}#Y)j*FTP?|3DsyJ{(a=B&wm7p6mB{c_6Ol-V4+r4D! zk2gn@s>&-|y`<|5dZX$!N5WK*x?d*~Jw=n=l#HIjAYp2D_dxxfF&sJ)D`jFkRN_Z+ zg@?ODU3<)_+Z7+7%D2_!yRbiMvKdlzlpX zkPTckxKj%t;qLKWP41qI^}M{z*Pi+jpR1!DXXNtTA(yA!qSh^*Q_nY(dVcG(|Ko?e zG-{^7dnP#J;|}@S#%3_IaN}Y$6ExE@&Z07bm6-+v2VhokkE0~p8r5v30V3u6Mq*ik z2Wk$T%{1^yI5i3?pILS2Y^FiwYTV;sSZzIoY^D$B#Knp*(_2`;=Fr(pW3J~0_8ca4 zv+oe8S7sVu=#v^>U}M{>smwI0$;j<68?@yl@9ZN?4p-D--2{P<8s*oDU8B$P!jj?t zz6f;g@;4WK=e+Y}mU~K~WwyL;Sjy3aN9{#L&6an1U4AvGF27o`!g22lKJCNCr%-P; zGy@zi&0Yznx7602rz!{QoTj%zFf1=j^-l6&SP@gGVOXja!}7xU-Y#LHV1Y1&+JH^5 zVpv`XZno?hA_Muz6l%~_N!&|Q-X1zEFV1ldzHqL%KcalnoMTvC`W>jMh#;m=w<{*g zOHj)}kByEPLzBhTB+JV+!8|T&Vn9lJ@Dw1UAah{-g{KK3koGqht!jrL53KgY^v=M} zx5sMoSQC_rnj!V`9JTuqC=c0uN~N&7jkKFwWwHGBq3M^3MwhD1MTPGqd+D&;_Ag+% zdrt345v@enDIx}rw~dJF5PF_MokQ?>3iZ`14_}?R$Nf#4L-3mk!T<9Yzxw^(@R4u` zo_RVT$SrLpqT2H$lexNwc3d@Ir`-}0oEbOjLA~tW0uRT3RLUX-3R1PU|Dvut^ggHQiC*kZ2ey0jIXyLx{&k;Iv?{Nyl2SdV=vTJq6cX+a^5gmE zlwHq{e61(F0h*G)!YV!5WDS^Jaoax_5c zbUF>lXkfmm0U76;Yhi3au4-Z0>6;p;jHi!Fl1_=mxRRb~Iu+kExHb1#c46OC}Jh!sDt2zs}{m|R)#)t8^^_{?1T>IK?l z`G0PpouPA#bGw#dKV0WU?)vVbDL)Ud-h%_!^}YMyRWwNGett<yb+x?n{rqB<;n^7{j}VLXKnXHZ_%{7 z!d-bCWmjI=>;A4VMfZ6h{Tc84>A>B=wPwiyh!eiCx`j7+yX35Ql(H~k&)~Qs=qb7) z2mpx?${&xQ;Sq1fzA_Ap3lr&5CqoTyVD%APH>3 z+6ULiinKj0SZZEqdo&k51gT_;AX0O1WKf?1BDlR6TB9Ne@5N9g?#IN6;+eZ7eiWUT z0ooWFP?#jCab0o`n4z%Qp8zA&tf)&)@{PpR1{sXH+=fOmP9gm+dGQVJyndgNDE2Ql zjnDfcOET^eTH3?Qk53fH|HU`)DSyw;@lD?+O3W=8l_LeR{hR`%BXv{)_+n)O69W??6h1H($nvTPEFKzPG-G zu1$GM4}_wLVqB7)40(ePWbo#Khdp^pMUAbAhpPlDeTRyLpa8ALUkPS?hjcue6GS_a z3aef*>$~G}i_tt3#L&}LFzdTu%Gx6*(X5#D9Xjs;Smug{Jz2!7bFB2;ehEWB$AMq7k3SIf9hu|mHM23oQkdF7Ni?vxYFVCU|Iw{sACkuvFbU0?`Su)f#nNR1 z1Gni&=KB+fLGY{8Khh+4{LJ&V1z zwf8Ao6*%N3_(N`jKU}3%ukcl~h$(BsFZAIUW|ongXBmk=X@WMT26iPiqD@}|W&QcT z{f~Uzx4*fhjrF~5ol{g&QRJl#-mTfsR%wo`tapGoA=M^Y-BMV0rK~X}L6f{dm+e~Z zmX$RUV$gn~)t4x|))$kN^>K+<+_bu7gX3bdvOWO>EeR0efHiu5| zi?I^LZCn8GX={=%r}>qH=){i>l2iSaPU}r4SVeL*)`a`SUmjP4<;#nvsru?g<3Hs`K3o$Q z^S|*;e6qtnYF{+0iWkXGs{5kh^!i@30p?PWK~{Ni5@A+(+)f@FOqVoa%+oA3_nuuN zkxXCsgqw<8?>()#*OWNsqn8r5NHpUaE&C&pOIUB(pYCo-93wMb?GNV6ocL#^yh{8d z6J77m?R0#cZ)Offnd_Lk}8bp1$tGd?N5-oBDWprvAQW{eAP9r+1Y0m;OGs1arQ^vxdYxHt}1R#66bUp`|MF^jK^M5MKPl z;K3?ywdBf6Mt3YB@2TuFa+6nQ(x4c^avI&$vm6r(Z~M)E|9!tc_|dgE>b|O#O5Jgq zFqzYxS~M9DMGuo3 zD-M7r-NKwId^D%#MMv|Gs2py$whnQjR$}jxoD4yaC=g@`u)w&-04~!mhZyxT|pn+a{Aw}oAJrN^S|*;-zQ2X zns}%$nl}Uz@^?7{9iP1onmg6pLM@TrYqV)gZQu2Jr8)a^vXVtGjTFjrTFUoq`7nxy z=WCHsiL6hozx-E9vQ`Hyk5_f3{ri@htQ7*wzJsM%mTld1)a6&@wky`HhulsItTP3k zX@HSiL~CpXTK$O3M%<#I3i^08NjJo2|CX=$=m|u)Wp+19g|*=qPu^@L%o-t! za3wH|LPNtd9>NZ-jUw1HE3Nhr*36I5Ltw?AK6K?FV0MdS+(3jo2!cdM2Rj=9-dJus z{I->cfY}^a5$H0czglx%dkAbQ6uW=00&`roWV75c(lKQsiSli8HMNHTYAvbrB0*@S z*0d(GS-$4+M6<-xJZvoyk|gupIRW-52?i43YSJP}RvrRou;VupuqJvj^RGTF$VP~* z0cp3``^NJS{GFaXg!@SUfIT4s+1v0CB6gd-06ICd7vM0n1PHrXM~&v&P+IyiTkJ2`nC7HG&VM)$9q%wx(hKmPXC68(K47x4exiq z@NfN{aT*{WHwrKb!E#dWU=tNH`3&&1QyrZWH_p~KNW#bP+YdcR!pB6O3+^^2;`B(` zBjl#l#W4JK!Q*PJaqVft`h9)XG`uc|Svf={b44Io8bJxaU2=!cL!|-Qp1`|YFDc=- zO9rCu<~aDokX6yIZuCGo8k?tbuhrlewd0cs_-4!dBv@X1kR#tXTV6?uKS7D_X}5?L zFyqB%%X>53|3CS0FMsAIjg`h@UMC#c!Nm7aK09YtV@S+yZG*le2b&Y$s5X+RkBM(2 z)2kG$4m$AsFH`MSbv|^MxKjxY`QeSu7~gG#B(N&q=fwB!bkwN?yUm(2#w)>`_%1_Y zkk?*z63T+<@FKd807ZLscLp0V_kKAst`lgW_TW`%yo~GAta^Q#RcRcALCWWg--?K& z>VoPCZ~!)FGJSi(ubL%C6Wr*DcO)HJhmGVyO^PD4(g8!T&f9XqK6=p%n{Y;tXr@Vn5`0;=K zldq0B421?C*1c1<&9&G=jEvoqE~qj|*AmryIO(XVAMz}nH>g{CE!s=O`W{?^)FdD z3_Fy{QP3woZ)N?C@l#d~0|*nXrhdeDmv+s3dyIJ*ZW@|O>PopaEVyHXyT^7u)akd| zE{-rm8SEjVB$`R8qmMcvnksnw>btJv&PPDqr}-u7%y_$BxFuJ&Sq*5tkdk!fr0a^~ zA8i*P98B=)6(7LI^)5?I&@M0GWsQIA{a2?RCsP_sK^JDXQ~jXka#BJxmiZ9 z@Z8cok@uJ9C-%qrR?Isf)~WFDBSbjOj06379Dscvn`jS6-bi}I0n|(1?(fYd`ng1Z z_0sHq^kOM50qXsYZ~VT0`mcRNX*;##k#VBv7HZK7S(`vxtPwEQuimRou z6^39`3UJj2426_b$q57{%{fvx`8n=3$E|?s;ILS3Ay!P40-WS}31@7y{8s#w&z5prw=&NAG<1c!_}O5Y3Wr#8D0T8^vn`nm}Gm{PIhlMwXO* zN&D`&k(6;0yA*fMzWb<~)D7w$W@=m(L`+psA=PxYGcYf(Ga#u;t4meXa2~sCkLCX!DK=srL((0Pv9z1p8aloLLv{d=%&LmqZR>qBL z*NFOOeFjv`7SM*qTA9_f*XHATRQsH-SCvs4JGsmOPcweL+OZD!Xdcm;jHCE!ZVk1& zrP@jX<|-NMU2FnyIo~*zoAg}cIKlQ(TifF?vV5!9*?Ijx{qQIJ=a0Ry3D-<1slABx zTFOL*=eT^-7IvWy3*iVT^wwLFnPO)-kMLFF`1fRt(`9 zzD+GE1Jx(G#Ihv4H2cZDstlCi2yU{ZhimvgwXBR~W38lzYdCr>D`PQbD;X~jhRcvh z52b1}3awN zUYhf*MtO8b)H;FNRCzVkYD`&howB?2~xp6IBkXEA#;*hH7 zFzrEAq6<KVBB(Sr`?OdkH6uk*Sw23dosnPgh7YrjBAXWSU34hyF1@Q$F3u&U zD7)X33YxZjp9=b!Kl;iGZy7Ih*kV2)RM2Rd!%P{}k{0ALhnX^{xO+2Y5KP*g$LHCI z^f!WLZVUx|hYA}!@wn7MSP{=%Y@yD>RPkL_Z5*JeZD`8`|BXJMl_H<3n`hFXcV0Yu zuQ$hZ*$+K4Hm~4n6xf$2Gr|ywXX*?Y2~$k)gh?-i<~grGGxC0p5~dWva%eiwNAw)z z6&c%>-3S_K=Kh45$P!A`LyM14CBXu!0M7v>2F;SQ)=DRW7&$yr1I9k@`nr`u62CdbD^9R$nlQ^0Wx&&^}mwR3YrIVD-xl zKVnj@3<^zKzO`6PCH%D?{(|p%$;nv?x`gM{(Q2rb6{kG0zyR~hRqv>vO~Wc%fVG#@ zCagq|v}LNqajY6wbC2FFDcJ$bx9Ub;(V=R|`EbPh4%KIfLkybk`F_1tikT9d5ShOE(?sxpquWq;DNk3HUbKZJD}@R7C?Klu(miFhZ+EeX=&!AFg+g(dPD zILx~SSS2>Kdbirwa~q=EGWt6%7XSGt{w{vb_x_2$)0508Xp2S4D?G)S8I8>boK5mb z%%Uv!@oab>^{U=5c%zp>XEwYyQ`P&6U-0z${T}ry;M-m5Jcyg(~NTj zk9QfXRXzeJAV5$#^a*8i@@4u2Qyy}dbw$7-`z4QS`Z_o`;$KBV?ck$^w^}f@z@K$5{H4n-svq?C9_w-YxKZ4k`nz}n_0;|2<{c$MKh11;*$;DbQ=owY zh6fy_bpR9q@1VX@#y~M3W>?&t`@1J*3k5DnZO&BTGgbJ-yPkgPnfKhQe%F~aC?9>> z$9~^edVNswW3P-x?{}y~o`6OpKgWp_z_^wy7>r=6jug`q0VIqC6$r;nbIq%jk8qA2 z5Cc40t+nL^hMZ)e2@>{z7-rY|kl`FOf*ue9gGZ}00%CH3tPicA2gFzr{38afmzm@__5ym&=3sKK`UcL~WzA-_{x_H1vUau+{zj(l0C8Wc_Jm1N( z`E&SA&{&-KUQkGMR_Ae3PAXOF4$c#CV4?K6zDRj0XN;iQs=4zQZrt?wa>OQH&tprz z`@R3hzx?mKxoNU9jKIttNYl~iMHgee?htfDYd2`;qp=HoBV9Z1;5_X7PzpVnx+UAJ zE=i$BOIIe8i%l%Fac;Y#FEW@ykG{xY3O)HE!#VVr86VD}$FhY7$)T$?uG73})x^-% zHzr^m$M^b7_I;n@&A0b;4cj#}nqhkPSXTYs{ghY7rtVSJyD&puw+o3!YxWz9-E1}n z+`xT%g`+FkVzVDWY~YRx__f-$p00FuR7oYCfd{)ZvO&MSq$tNE4;zs3h(C@I?@Fl~ zB*VSE*d65PC=Wp|VaMSMH}?XJiG-FYiRYnpVZ+_MC{LgS_@F0hoZWDHF95!g@&|DP z8jV`FSnRZYBT^Wvt4(5=W=*M=GRYGdbl}2bO?n)yWVpQ-$Mp*1^XR@q6Li)L$_F#4 zg>VSpeYa-EWr#*bU0Au9Fa^F8+SU8NzA*vwTzemc&Om#)>1@~dAaIQR$b$fp_#kjI zJP0^cdJwq%AP>UODErp4L``@qy^{*^=vfMVU3Mi?>D@@jA~<1?F%A36X!+pE3TaF@ zU_&Ca5tJy?Pjq}p$G)>{y7xcQ1|)i=VBv*B~>KD*bYG!M>k1gbDm%^%70HDwHlGj&)aF zqSyRYVb$$>zV9D>$Ig2k)b@8`3mq4L*kW_&fQ`Yt!~Pr22_L6*f~bIsZvj%o6^MH1 zTL2<#F~k^K9CoPW)q-C<@yTJxIA@Jk;8b;x)8Kv~n@EBWqG;PqhaoU{UjX~I^nW0@ z*^?3^_$r!zv@cUCgdhke!S>6f*aBS!TF%4z-1hg$IOlrJABZm165wP$c)~f4>m`}9 z=_I05JV{P-sm!5LXWg@vx*Zx8)k(52qh$u{ao(f5-+b&|7dBUv3ZRdL8o66Eh{g3j{>3bj^{`m+ie&)ac-=m^q} zGC}8gTSMJ7ho=5C!O|&(FSF^=xAD%ef|YD-w=M)8<)d-3HB|^rOO@(^qM&-1OY8G) z8lq7O_Gf!-KJM&JxvR?Px#ns`^K05yvH89!8Z;0=IgbZmN1;#8*D>Yh z98m)F{}Nr!+$3;&O#;&{5moxbxI`LLoU{g|M1c0J-$@pw8=iy+L*L>aulq`@RxKB{ zysY8QKpZ!PB=#I`_TTkYU-aj`)NP%%`dO@CjkIvDIj0RJ{d-Io5qGqF1iY59f(agh ztqQry5pzuyu604$Iuk^H8X!$r&jXs16yH?X*O8>ktCHx6l)3iW=YwmX>=F5`t>Xb@SNb-zZ z$#{7%5k{HJPmt6wDI7grA8^geg*-ubx3`8gzuGrKW28d)@KRTuHRBahwa;$^0}=^j~CdM2_6P(P%_PpFwT^GPE)(2bcccnbyU( zkU!p!!BqK6DSYT}!7vxbUUljIS_@sTuNqYMGK|_!=io|mo)HDU zN1u0Awo!*24oKRm;Ibf6evVOv9icWQ&jv+Lp6jH(qm? zOrZ=Qb6tn@YP2%oz14Dj1gQnv^RrA=rqt8HYT_>K==o@6poyX085kcn9ljC48OkBx z-fy*<@3@CaNZ)8wC#wRii9_35c^^_o)_cM&eKlRtD z*_m{7GxCU5_vSf7*UvZ$d~UkmUi(5-{~M)-TGes2gRNd*cni!vov!7wd$ofzwb&uL zmpcfv{z~m;xG%zez9>~4L=H|}hL>=oFZN3ok@3FRuCRD>|Asq#aXf$~RIK_6xJ4r= zz*#w&1(rhRDD6KGlU5Umjy1_0+$ni*G4Z01O!zkv_3M%>80905QrOPX!=1js9{MYR zqqO7g3S?$$?vHRfWzFNNyJoyb@a1@(c+NmS*gD3NB&%!UnH7u}-@N0L%aAmatVI+g zt|>b2881y;hO;S4WIo0Sj)EWFaDKpwyAebrH7vbx$v}H zn=9WS*M3&692x0Y9Kc+8Dz1?9b~u084kvtMM%%wXQtL=)#}jV8|CZZff2j=!`y(Nq z9MCN_Vmv;#!~QHq@J+qFsspLNmTf-q_>R&=9o)H#EjX(+Igd@0E!0gcwTsT@T`aaE z=Z@6?-yJ+yawdne!gNC|lIUh^-C0d?lUJt~I%V}JM^E*)eDddg?rN-eGmOgJfM|%O z%o+(553zQ~!6r`z+$MMg+Z)tASZM*EXt?hy%9C6BB9TQ9!4+BunnTls+lpu!)y9I| z;nX=tT5uCYtAY-L0VK0(zl_|p);@_sPce)btXEt>KS#Mc?HtkXA=VB=ab>oe2~M*& zZT2#FNhNiuUe-A4wfRx@>b{e|)3qLU#u7Io(kxYD??dSr|s?_fhm<%dVd10kZh}Iyrfh56N=P9_Q1GWnHF8oxrE!xB@{I?a*0}m zVkR8A9>SqN^5=i%pZ^87o$7D2C=ZGB6wn8oT^k05=n8N)k_eJ?*pM?I$BPlHc5c-@ zu<}MQ#8${PU?7dGh(erz)Jo)ysg z{AEJy%i1ZV^aWsAm*Mf|w;`GjZXB4KR)qi-fK2;s63XL<3@W(VgC~^75gB9vI+F3~ zAV0u}79k5Tq|VXP<-v4$!4l9?f-F+}>Z9HO&9+8~6Onhb^S)yZISr0yxEaM$5k zm%R~y9p)DQy#v%(@(PpV@x>jk?gjAwvV()RZ`I5~K@Yg3i6SmnwlI$ne<&F>M`Fq6n#>y01Mnl}QyuG8>6y z3L@fe4ow%p1Ys~a2$4!ma_Z^ObOB6o4@zEqYY6THEKY*r0+^&H%HaaQv87SqJ2`MQAqQNps z17fgD9oq}#k)T4cHF*b^+G@%W+2-2fGbcoKO!>LB#W>#x6(cY|i&0%X#PD?ln08Yt z{0Zlq(sB=9VxS!UYfR|`OHJKw57Wr7Dh$QJU;!7+WP_2* zSwVY76&3^~FPP3TsxatGw4rlFOmRjDPZEqOY=;5VdgwLUM;pPU!uI+@;{Fu!^0?$= z6(-uk-S}LXE;zuW1c7 z?a=K~`e;zYnQ)}0 z>T7CkvCmpsgEOL8F_go|&=0lEJq5lA4;-rRjd&oK$}cbPjd&owD&bN>CzwmyoMXlV zH#@{gMC1V7b?R$l)z@%!FEb@2hz6nhP<3y-I>Xhy5fAjBrBmH|p2b%)+^Ix~btu{7 ztCj5q1a+;p`ed;7q*Hm~btnDcV016|zRwZxzfoei#}Ns)SizuSMvP+w^YR2>CytmQ z!G{M4PK{%(24^vjS$_Zj_}xF}<5=Yk%`FhD+%^^pzeEA~aE6+Mo@ida$#-O$|K8EfQm3=h3wJsz98 z4{T0MD|#G*Zw)BCmQJh z-tbWkc@W9cTot^zEW2<`;LX_bt=mqe_w$<{`Axs`_LW{`q5}s+sd=|&I#c{Q5go|A zG#ToGN$J&DZYc9=Ra8`ZPD8g{Hv{J$P@TwQ$tSYDx#ZEeKijK6&;Cx8LBUMQM$`=E zGO1>GAWAI*OuAXr(<0I%AxDmqtMDj^LJyCU)?hhO3>T>a4&(8- z9iCMgwdsc^P@VXzG^yzho@|HxalVm`ia2&EQH95tkI!x2pN7!4^v5yS_ld`)yvy$0 zyV$~SX%$uMeZNw>c3E!(we93rr#e&9mhaOm&wSZ4Kk>63%4(gFIa8(Th;uiX;Xx56 z3LV-?O1U>mfuxs(g5O0Q6)TX`P}WvFu89T9iq-|{f^xT5ZDyVQu}35(fU65quh@!3 z0ijJ+8Y1W;xghn534)fI2shjmjOWy*0T+}T#l8%>B-Ec-p~FMGE*F%0$OI1?j>g1_ zXx3;2<@T~;L*=pGc!wWSCWEDu)WW~A<_>RN~k%wCN50!bkx~kXlCB1yXmJX zDIYuf0ec|z$Mdv-n?aHW)FdINm^~2rQYRE7}PbSU;KUBC2@p%Q!P+Rvq4toturYSGN%U&OWnO|D^97r9z-=u(`bgE^qR08V5%` z%)2z`;ZE%f5^m@CTqtb;`Hm~@vuj__j2a_**1n{Kqmt#h;+Jh#)ua@UwZtfuIg3(U z?1C>Rnm+i9(&`dQYr`{+(%SIpK2yY9e`)Phy9gVVNMG5V9hPFYQf*m%jg z!#OsN{v_~N^v6^CVbFRTmd7BvGNkR%XwVAsp0IPQ1ZkML*aW<#q|qb~;La6O0zTWq z3Q;}+8X||EV6*?O|$n z@31-_-9jPuVS}t2lWMC|DFZAY6@1(T(Ur%(ZMcbOghxn+(ij)y^X`J^!WO|D+<``r zn!p542R8U|MNpcyD^f?8;1TreS`Y-jg?2@nb`vbHH@?hr!`6#*jx_BixZ7aRGJSyK zVOypimyhtRY1*qG8u0OD5PqRhT}`G7N=RptNO-Ej20edaNez;cos>{Jf-zpYn z(U83&Dw48C4^p(sF-Aqa8ADXmhEYVv&ViFU>Bn=+7$0Sd)Vt`eywcFdaxagBCRawh zrY+wW`F!zz{r`NzH#%jHhENvy7yuFwFY2I-CMCpc1s-JqvQPxA;fEt75mJFS&kz6@ z8k&d|Aa_A=lnPD)u2l*G$Xc&Z7m^Ph5w9b(B4R152zs>k924TT*_7dOaQ|C`C?Z~a zq!}elAvD$=Iz+>;9?+kPPGQu0bB-DDVpdQk*PXWRgm@heU`?`HuU4ItPsILK z-+>IaYSf@M?>Vm;-fA|}_BEVp@-!UV(Xgtzea1+SDH>nSzkZvc3}>P2DZlpupd|rd z`X3-d6cZP&Ot{S#pj-#=E4R5cEM&|y+~*+8VG9M<#FK&B7j8gUGu-G4bc^ZaO5PTo zdoA4Q3s6__k-(ZjtkqfZHKFZtvp>I!Kv^1pEJl> z%>dtq=d45H;}0h!O9_=cNZgD$zIzwxIm1HuxIPu}Ir8Vl-^I@_^Q^Kop0k;<$8lUR z@PJj&JwA+knJIf>{#Ph^g2($1DSODVv$KTi4&!ye!DAdf;Km)60`U7fxdmQlT%@)6=* z3F4K47u9ee2B6AES)4_)m7Q0DcoVGj#j8^x*YOo4h%bU17z}d*14=qKF?KE}LA7CGxb`;}FSYE5*)D4qfa52Ev#gGMSTkOpN_dCKrp{lrrY2=IV_=FGhHFLIQT|5Pf(lZZ!~JVY1q_u zP9!`~kJU_dHq_=0*U^xz3DqNIqiiNw7d{uH(7pN!0NphAz2ljMB(C5|%Xi>DsRRfO z-4JuCa4HA*4CcAc_MMdsen*L7F=gM8r>X2g3*gV1+2k zNBA;yNKA0I#4!b~hy88^yOtM1_qBlgy; z!f}b8G=H5?Fo26w+ol?Yf&ifS^jl*>ubqUm@wgYnYua~DN z^z-N!l)rSEl=bg@txxhvjoHO)mp^qGlrA&s(dFoq(FHSigIWC^FJSI@dK74o$}@JZ z7cjxepjk47F|vGX!C3zPpFa7|{`mjt<^Kwq4J0jw%XA0JQ2BTlNRCQ+JoDr-#z%3FB2` zA7olFd&#+BrNS!2K9Zsdz*UFa6`coXbq+Ma7IVXAal1C-U{>IEU8P`6FsM)w#qAKR zNT-B7l6gz_dlGN>1Feflj_+)OtWcpm9W_ ztUAffr1cE4+MPBX9Tl}+!QZIN#GgvZuBi1;^@{pgYP};TTII}8)Osg;F5nWHw*?2e{;R%aWjU?4_Z;3$JLva-Zd&cFkpu?D9ZHl>!KF?xI)bvQUp|qF!*P~LFExSS0&DH(CxuN(=JhK zR%STpdf=cR{S)8&SHH@LmI6AE$>h*qs8tpyIOqTl5pO_8#vaBV zX^jywx&3OT-5%iD9wd{4`+#78NXrVWoXt5#I0)4eVBi!%jO=U#BOHYKF7nl2MU;+Z zOhk)JE69VAQC$&Soa$6n27IsZW6~WvA>I}^1XlJ@ARbl z@fwR*>~$_OeUfP^CM-di$Xn-*n&A+tsaejUiU&eE!^0z&D;Akv5wDre^zE7HX_sg= z(>Fs~{qFmp`Kjl$_m31iYoUVFFqfhNk0nzCU1Rc|(&Q9D*O(lHO^75@Odca>^f0o^ zo$dW7pG+aAa=w-mLC>niX>zkt36hT`|4p*TRrudhM5p<2o(ca&Q@>2wjDr0uH zq6~6touj^Fil6~3f_6zH2}NfXH>=BYN4A@%_|RDlHcOPg&Ojvg;h#RSL| z-dQtVAIAjn)jF6!yg-f#V4PYmY8`ba)Qk9)+1_6Of{Ka;A7gIspWFKZ@}1j9$$ZgQ zKKoryf7p8fixCDO>Jb2l6p)2S>X_7bq>ZC$QNd-()}xG2+CeA?Qg8(uUC_fb6l3l< z+Z9@xilr5#UMaf`v+hx?nBXAmo`+`y*#}tnJUoLSayo*Xg15X>wfmRxY`wIIky!w3 z^P6qqe{sPOp5bJX{X)bCplORht@$BCcm~PUmOl_7DGV5HCF8|`2{}rn3-GGLlO=fo z`-ujKvXIw`muHY3P+W3bbATUkvNqRv8M6|MdszxkD~eTx@`t9RGt!%k=(7Of7Cjm^W!0Z#s6ZC#WG(wP^w<^pjzGBRW!7{O}i8=dip-6SX=*`3H z*J3TscWVzFz4_f?&GE7D(Kk@W&Cip)c_4yC(wI;E*vXqe99VgPvqWKfDKrm~)aqpu z1qJ9h6G=47cN)*))j0vTl)5gA*C`CGVa<4Xj%&!unusdS%*LtSvxX{bV6+yh^4i}> zRokdWYP0nav3)8xPxS+Qt@3uD&fiUu$*mDimd8%IN-KyqS*2bW!!xIlxjbHcR zsv5$e?mAyLmuKKy-8>_Hw}<#myF@eMcQc6JkNoYgee7F2F{c-!^%3@a5{`?ZZowK& z%#~}1;Y9<*HPHy6T#xK8H2ubKR@Oi)nS2B^6Kh ztpjJ?spa2p!5Go3nS2EFXBTV`3Bn{f8hg@ura{QUgzZ8Ba)n(W(PWDg|n%iP4)9HymP@D zqs;oXw@|(HDIXd8%YC2YoM7EoCIHucUwHoFeV8Kr)JswegROh-d-1)ms6Cd?p6mT+ z%Glr4@{Wqx5-&Y}@vf(zdgeWT2pLCAkoF&c`S1A8-uSEE@CLNK(^4p_Y7+r9He~qTv1kuf;)GL^~#M~2dZsnt5s!ec^e~qTv1PA%oXsS){C?&cytYCf7 zDBTZDQ(XmDq=ywj*5cAyx*$!p2_9fgg=)?3i&abhYJ|TU5aR zgjqNFM};K4nkp%@?6{(EDZw4pT&Qp(FHY#C5a$%YHp!*Z{V8~MT@!$m_>yRc@2*L& z( z0R8g7oPf-gCdRO4xSbbB+yb)`NqDvCyHCGK!9Qb&PQ6Km7^mKG*fI=0-=ycV<6PGb zIAxvAO*+JZ(==+3X?(cRqJ_Nyo1X=H1JBq;*c`BT>P!*fI>P1>!c_1?>BR%=4%k0+ zra&OW?h>%n{XwgesoBAa1K81FdkCccW!np~l%UF&TQ`(C+XZ5~xE!C@@O8+!6RF)d ztHmb4{t#$)VAAe{#N&2(=Po&X4&nFP?9Sux*)A8GQ{4Zs*rx9ffpmP?_Tz(xhT5T~ zJvOJsHg)zuAnz~1ou9u%aCK9M7a10IcO$=6-NdKcHS0BC15u=oPq$!PvCS<$Wg9cP zPxxtf6X#Kv+NPCL3gWd#dh<8G`^CTWals)Hb{4}fO8Y-*YnGg$fxa>Ho@lsVJ}Oyj z6P!+ZQ|D@48|lq{jp@*QVvd;Kp{7BpW^j&TLkjWCX@%Un2!j2v_&HTjOh^?(wq6BE z<|xLd3W^0Of`{eyDA>huEA`B@N>xxDB9p8$JyDCKC`XVKkJKc6sOPG~xT99SNP+~j z(BpuQPLoezO|kMO3G=Dv38JC)o2!*Cl0MZF87 zc5^?I^V!=%rErb;o@CQ0f9bZt>j##r5mQ|LWSyslQKz2A*wj$j{eDTg`(B4mAHUmu zc}LNZ$FZQ!UXf{-ZT|z={%pIRcpRo2uB_hVFjn|=VY_O|9gYI)f`GlgW3ie(7^SRt zNj!h)puHI!x;=1c+9jIvI5(5W`OQD`?O*u0p2yLe6a$BJ3q&njA~^6f&}hU|0bKs_ zQSpULu(Bx}aaG&qC4xgHSQ8px&}AJ(T9LNa1W{!I;vR|TWt3SGq_1y+AdMsKJ%TAL zh=PkGNMEQ5q7O;ip}@=2QvJ{us)DNx0W5~oLb;z-q@6WEbUdTT0_O;Hf(+S7P<$bi z+?}>?E#%uUp%W!XEwUQ2z+ecrx41j;(saG9)FW`K|{(I2*3uFzZVEB+q;dVc{ zAkCxcqmfHAThg1cr2p{aU;Q8ct2fp(OL<;kAPL#%7X4ccqmf#8OsCY~t$dU$DFiW` zMFsaLC6p-71>KSYqd{Mh1bK+?+1i9a+y&i|;uJ6uLRZ{E8#ID$NwMO}hUcLSvlVnp zN?2`2o;hffH2{WYMYp8*G6zg-rT>5$i&D7g=jfIchu)HX5B3o(M*B?ux7kCyXB5GBdo;Vbs7~?E&KsFEwSU6^4hJMkC*3!NqkZ@ z3CTjG8;+i?&k^(e?{6-*Kz^X5QZD+*f`(+Ee8 zm*}{b>K1kkAOvgIjMvDA3}VuT<|BMfp-Hu)~oI%Z#?OMK(rnb5Qt`? zkIqdE=(#jU2S*3Rk$EsGg6!lO$sp9cLqyNlsC19$Ig9wy2qOsK(G0v)+;;Sv*@K9l zBUu4oIh^oF_JE*3^o)?K03(0gzd_FhQ}E*pjJP!;MKqI-+b>!yp^lJpszA*0B$b0tC!#L*DPDm zOg^uuC^HwNeyoB+y}neBCg`<iXQ@*=P3ZpY*+>R47C&b^yAn@*6e* zD~#|k-C%1tSS3H4wx(tbic=T>J&Q>w9O#EZVltwB`F$`!B!u@BY@0I;*Ripbo}2l~cQn)Z+aD*E?ZY zZ<8S(x<2gk_C)s&f+%rm5jppK!B;)R((RE6aL6I@ZI4W_;u35_0^Pw|)jFJVRtU4) z?H!@DcCR;bRrj%4GwE+%+xf5iSgq-xR7w5Gne)3ctF@{kSA?QKRCAU2Z@N*kbf$6m z+UOdUdsymDzemOdO~dtQyqVnaJdG{UYtVSq#{m-*eSW^iD>w2@X}oF6_i4P({*3?N zYky~}@vOo_mrW20s_~kTm(HvS9stFl?;beERt5wotRV*bKsjo_TM7fp8c;i~@$l2H zRwPkmDTl^6axOtQ$4Rkv&>IcSntW3A#ef2d zA65BYC39{Lf6B^!AW8>+$+U>Q-nn~P9772fz@inL8+Tp=X9~^>o}EM=4R0&esL8XH z<)gF2^g#?-0ne{e$)A#xdC)A|;aP24`;q@h&W<2H@%R$tRA&p$PR^eoJ(7ZU@rL65 zIcTR93}++tZB`eCigGuSD6hj7e#;s&x;Lb;fV` zl=D$6zFWa~Eu;-Wa+m)-1{#q$g#P-kXkYb}d^Ak`la>qt`(%eKh`RCG9*}Yc8sH1zwuwGD_u`9UVp1 zrHcUIX-6_%ANawb_5#98+$dk4Q7x_hS@HC&@)`q4gXS(!s1;pXUqDo!t|r5N=XTBO zshm68ES?76H>c8U7Ah9Qu%zg4m4%?aQnb+tXMH{~vtlO0*U&t3eIZ~5}Ww~waol<|*VpiNeH=dRyfTythp zbj4zT48yoxvtI*V;Br%jJo<{!T|~J!OICnJV`QbOHy4cV;t_)c)Lq;Fs%;J(-NhqJ z6DoR;?!lX!Y#LQW_1RT5&}blejH?LW*uUlh*S#NByy+*y(;G~)%!sw0i{U>9g<}-w67Q)-{Xp$wpHTghes83TT@l86}Tha<6!KD)&BGT}x3%IL~-}&`UyI z6|4#WF`wtCKX9{wNpTa}Qj2~T5EVYRK*Jmqdv)KS@7<`s06Yfurkajz}FQQ<6Xd0GlkdluRQ&^VZBKErl+*e4PY#T5Vu) zfe~iA+4u}>71ff_)w0k$`>~VHa5!u*^?++GF`cjZfwZ{4K$L?sNfVekJd`wD9;Bq? z=)FTp)AiY+cU*mj2OCPNR(n-yC(CP?)Tf`9x=u8<=yq>8Ph+snqT6fpv20eK!Gy{m ztTxD)oL%^0-)&s&TiO5C_zb43?G=fW*=IPf1W1xz0o&x$8xq=QfSIp(^zzgG)sKDS z4|=j&wTdOXi;j^*^Q9@I7+pBIGKQtK37@1WhEhmTPdF9wcGnOGzwNCt_QNpJ!i2ot zkmV;O&Y~V4Oblf`Zk)wEd7-Gshtona_QPn^%hE!lkrLH_s}baGMAbxEr_#bFLZ*-S zs)Dghu_NMQR+y+e?Ouq->`U6Xp7M9`;C%0&^u41*z)(%E*(>zh+{AZYOgGrXhwMy% z4x|wPB?&b<1Iv%f_485IqANz+@hhj@r!C)?pZU?h{L+6pA?-fZQ(co2oqi?eXtZi3 zYPdm{Hfp$s=&244x{n&Jwr1~vmyMuX@X*z-+j4+)Qp3qp1-%H%EQuQRNQPS!XvhZ5 zX_P#X!@gNF*&mcI74LV4iJ6ULygX=wx|D^9-(Rdb~x337-o04~}VUIQPyb(jGVF$lNynj}ay5vYbg^3jCa0{c< zojd;5NudG&Or7BnNGB}YVSg#g_v15#dQL~Ag6&>&FF%h}$=^k_@aroezyDcZ|8*a9 z&rMmTt)sATPBXlw!+~B2lo)C$htpA099lsR}eI0?V+jhOt2Kpqdx=X7ww_xxtSnXG2k@8#Y4Xi(>LkR)OaR% zT<-xc?WkMb;hm@OCNzB^QfPA?HWjUQeTXIy7iHd>e zrm7}$dFp3Hn>FNN`q_2mSWGS1>t#yGKIdznjQ@=iGvbJnq^fhE(Q=>BBjL1_8}+6z z#c9j;VTy15$*=7EGq6VKEChY z&_&R>8>~-51y)ovtY-r)dgZXE@0Vr>h;J5;FOI$+U%YwWlwZevC2&d5NS@2|TW;Q) zQZ&<+?^85i{fU3@2fuf`%tm}A*1C)k>v${R`q)BEa-Wpi5RAuDayHPub?QxGbm+79 zdiZi;bO=gU^=wcqN~XC>OLKil-^Lii3~5sKg|hWn9e}sTkiPcIr0B5Dzr(Jbl9(7B z`usbT7j-0KbXd625W}J-xl_6mlNTpY;cLMyhW>WejIsN1gOn1LHq#uPHRI)hy#P{3 zNnnO;1g#yw)`tiX66q?Q=eke^+OIiT8;i=l_MSmi2^2X(3teH{7otLY0LL1_CNABzUc2g;fh@?gayI61>P78g2HnS z>TJY&&mnFa=rA zJxDwzm6aMeVY{Mxkib)Pj0l_+m}_K))0c4%5^T*URLM}g0oG#!NfM-Dw=aVR3bv$> z4*_4R5tJaIPepmXxe?l|)N>kwm7ym84=fNsRLX%*i!DRn(rQKsqL= zrDga+N1-5!N1v>O`(0wynO#Uxm1_*Zdwk%cf$x`+$cd`h)U~}fK6O1$`@HAC?tEWl z&Yl6?+wx$DE{<+8@5$^o!Y>VeCWtT2@iQM76BMRwKmOTm6++Yz_6MP4^7BVn(pnx6 z`FY$=7B0`L`pK)CQm5sC8Ckwho&HOo_QfCni=&eWDoYvkr6Pdkh>bdRmNIY@D_-Y< zNu3%?*%3}&tAV0A1(_L>BhcjN`BF^LS4`^E=**7$1G~eLri$v+>C85V&RB)0PAgP+ zLcXOvbW*2FOruhTw%)XYNu8obpWy*i-v*s&%}FM8S^!N*u9ux3NXpd8&+r%;*G{TV zS10=3>5C_IdO)$fB$@z+bWD?kzqaPSa-<1*5!alocvZ!E>5guDow+MBE8XcT-|`nL z(3zCpxvE}`diNaNYkqEz-`he40s%zb%lk6uUZs$9-348Qs66Zdfp&+85p)jD7tSURl)in+Z_)ajQ~S@TyvTL%uM;H_y8tY=M!*J zUYjnY4`70xfLyQGyOvg@VyJ=#{3nM7vr8*Tm0^OIi3qwrK;_y> z5-YPcQI5toH%e|0uf;X5%?hhk))7XX5Tr4sYKGc+toG^7}vIBW`#-uywc$*5KM1(0tSo`lq!mL=U}$ne^bB zuDFdFTA_?tN?=^jgKwPU5T}|4-^<4aIn_M)23QE{6v_VVfjnwX#}DnnH=>E{3ZpK7 z5gt%;gy0)xIxZN3Z=B?5qqZCc=H2l@G`wX;T4s{hkogVVZta@!;^5pc-xx;+qg$zJ z4@Y;TnQNEIg8fEl$HRG!8uJ>Css(Pi-^_&ThvOOk%guOW?`2b^ORk?MQvT3C$yYz@ zoBJ!DTpYT?4%19v9$>h@XvQi&kO`8y&NL5X`gO4ZfGvy*d>}x`}9k+nlw6c zwd7!@f8yEC{`Ftxrd|E4Hl_xb8j@-(nC%Ib`)hUA;IL1Tppu(f$aq?=$=-q}y(d&o zG4+xaFHEiVM8Gko-mjO)pb7wQxOzEQ8&hxAO2ZG1xB|etV2r6T+pC1iK*5J%>cbX8 z1QjjE1jFWArovBs~f1C!vhSm07+{aS-{jL(((Y!5%i-w{&(6Xn$7IZnAzX| zRUdcnd)&-w>}6(Fw^$9dVTKe&5uF}w0gcCK>|DZ#S+U1EVQT=0Th@TBi|Om#8UV8z zMNZoyGV6jKLC0z!ZP`nL9znAji0N(GW6Ua0Tjwi!1dVfCBRNY!q9RB_q08BDh5*edXHdR zQ{rn-*tJKGR|jKlb*S%gyCE78e#=oKul71O!0AWK6y5u%6JYS3&CsK?B9$#HZt7$z(VV-5~%RHJ7wwYFRuc z4u-5Tm-0z8gYpOeU;Jp2g{+6a56(|L52GCMv$!%J=s?~W&ogoq-eV;V`JsMEJeN?g z1#mTYe**DL1y6#$<{fY;z2K?sj5v47+=Djo<9u1s!G?{+=FXGoIXkQ1OnJ%t>o7G^ z3%1ax5ITQgn(^zd;U}+3$8L(kJL(QG`YU!rrM z=P&%OfAskK+71~rp6b^i8M@hE3?N&lVLaQksYhK*Kf@x4;2xB+O)k`9Vg$fwc~n6R z%4n0t9uqTTy9C883={aP%P7KfR*14aCWav3VHL#4Yed;0Wvb;|^~)SK8W|#ATgZES zMURPbj_V^4Wl0uy+Pgg_W;72D3krg2yqha#6|Noov^?msAxS78on(lKxyN2RIS;Ef zQr3-R%qoLpQICGWV6pZ$4<+6BiPme7eDFLu0J2>(UY^2R1WEV}S@N3Pfoj^tV&8AU zarXz@hTlgqnMzM=qETT4(&bIt1qnAnKDpSx9H=a&I3Rv{lny!Qxvu-}qg>ZLmf-9- z0u!)zS|)qINBoS|h%xu~_dfC6fB0L*qL=-C&CWW85baRXJQnJ>!5Akh;9XBp5~G*F zpd>~w(EUo`iUx30ujDW%MlXA?AgUHzcIQXM=*3we@m<<5Cq^&M0=dCFrRLC7z!v6I z7RVhz$3{>&9wEGteRThEa5!c?<1TPUsKSB~V zDE~r}l%*(>8pVKWT-8-y+@znh%2JhBCzGhceKd}`gOQq*tuu%jucRU^lIl^B2xL-V zA+0bmlHv^+1EZE61EJSB^cbDi88nOFZVIg@H{3cSbRZr{v2`ZRD@Ot_ePP)Sk)S-u z9!bg1<86m%1fu0Wm!6~C&m%glqatUZ@%DhmX_u%qGjr?9^|Zb``^SIaM}FA(sPtl3 ztW>SR7IsoBa1U2Y1R4jqTB4~&q(HZwla7w-)@CJByBoxX~BxsNR;vw5F(MD92)6_ zN;+jDDIdW%=Few>M>e=o8J>>&4tFPYToqK>PzZuPRBI%BMd~;cJb~L&s~|UmVIZ&X zg4A&)2nqleH=;%Yee7BQ;DXd~CWyEOopt;&%Vme!lse7?0fm$*NT63*(BLal$5lZV zHA;;H_z2oVr&2`ByH(<-6PgSWI;3wS;fSqyTy0RxiLV3JDo*^!lEi~dau19NaTqfT z#@Aw}IjT1`Wbn!d-5zNn(D)%UEW5svcT-fH9_wMCHAp;E_Nh4(c@#pCa>Je*icCdo zrY+yP(L`&0?ALzN*L?pQ-|&VzPdx5DK;{A($_r?l^iPD#nN`i$E!Cq5Iwk2EbWM#Q z)ngT`{XnM!2%GbYRF5X;OrrpUQJ$3m<^-2lrcsQDYz3(vZAE7q1#F)Czed#wAN$}u zHF~6KhWf_Tg#5w(XMeU=|HR)_?Lnck@q!ddt9r7OaM?No?C@i7=|R`)v`Az~xPcO? zAgVvY7?Lq|7yzh7LIDK4&+;iB+~$*oAU&WMH=R$$`LfKf=7Ry-oP)whSm17#KrZff z2}5bQ36cLr?wa?crGvr!PK$Rg#8K8eSFg+v$L&EJ(=Jh~n`emQdLWL+{{FB3!2jpj z2)!5``=*46WYWeX*ch2;-^%zm3LeTBKYOBMi8LPU{gGA05L_Qvr<}}IvTvIsCrBYD zyG9115lr@Njh-bDTpc^-80{N;0UhOx3aFBe@N25O|Zi9o+xtR@J`X=hC{q%~9 zU;#z@3PU#2d_6ieUuUO-XGdUm1fGB4oeL(xR*Q>wp5U~Ww@~c%wBJen?XSG_)Wyrs zzw-Qx7x(1vy2Eq6?{n{r`@Zn}#rv@GQ!j}@$JV{j&c@H4>;34Zi+4T!9^db!=du0N zGw<=8@!2_bVPE%I-}1jrU{1DPDK;9VCYzQyxwJq%4CZ9(l^SDCuDwziO{7?y+Eltf z?g+$QsWIkc6k{k3cv!J6Ec76i@j>MP=r!Ab3zX>I0Yi*h$$&IGhT1l!Zh-FX!1dWm zdR-Wr#7M#>lKA2ZsrvT`jsV-qtXJyMWKQ;w9)r^B#>LInFR0Jb-z#Mgy1l}zx3T!l zoJ{;GfEU7~97-4@ap}=NefL@nPA>^upjVCW$EYDYB@9z>!}9!GqUfJ}=X;;}ieeAm z{qoCIin!q?eel11`v>1taYF?l+q8;v9F8$O99Cpc=qGN7X%#3kmasyw@*EfJ6FM!X zRdfu4CJhP~vkS(w$_}-zI5hRosxvVzrd7Z*T#_IlaBqnlVp;{`IQF{Yc31S29eR3j zasM7hXH?aIt(9zrXyKj_2UE)ax#ONBYnJ!k{kGH;OWW`azCE{a)DCQ~%?UJ{lnQ{O zsT+I6H4JH|MN#<7${Dw_{g8QD-Qu)E4W8Z>;KC3i5+rGE%H{&eB-ld6+Zd|XX8I)V zG|3YhjHUb!a|Jr&mtt;A64^5?Lq=IcGb<108E)SN${h%#<7Qiegt|S?aQ`ltkyEn> zGHNxT_Kl|BL>K8M!d80|T}-}-AZa%d-?o*K(hSVtHrNIltUAZIY*z^# zZC8ercV2kv)fhfL^{PjJcLqaC?q^>Ki?qAdk5WL&6BSIPeo1b<^5Vtw&&crJOKnW+IQlLTWYYZW2L>1qNgmyh7ph_jWqOb`XJ~F2PeJF5a>Ra_dw{eI*yq6^0(xKnZ9aY=e)p^H!=Pr@T-OA8a{*! z2eE2`WN|L@0np=B9u7A;3FdV-V6}+R|7~TaX>F<}QLt&d&Bg#}?ps}nV!!IXgSP8( zuVD%xJ@=Adax-jg~l|IiFBmI(TknG|sji zV$0SmOCG}hxIUUI2+=)s{F8a|_V9j8_HIwt!P!9^$zL};i~ zCt=DUM|Bsr9o}-o?d-Jy?84DYodE@Pv72D0F(uu;!k=i#Vubu9Knxmm2Z%$4dOIdS z2%aQ|6;lv?mH=WXUrR;sm9y0M=TwnY%{bE2h_-QbEr$KU_`(`?KR$1jczn5a{P-xW zh$G$~5Yy40$Djg&5P*1sKM@{o_!HsN{hisLnEi>17adFqh={`+m!l?*nPgwI-zRuI z*C4$Ad;Zri{WLE|RC8vSB2T%~1&2RK4Fy)@)b3`1#&7K5DZE6j<)0@_a2Aday@@hdkZ3&+N6&;@W5S+9%_Gqg0@5>9L*gup_(;#|Kx!qHvKAdt&!UC(E+~k+NrHWW$7YS*ku`dVqJyLqO_YN>AhBG^ zn(^ys(S%8^0NU%CtnY8uj92HNw6y%@WX@|Omg)p#;B^!w3c7!M5d5-!U>M|@N%wJjtX|mO8@ZkLtzr#hN z%Mpb8+6LS?@OOGPeeD7+S5Cf7~f)Y&8Dj8iV5!w}A?-IKVk+?r027r_~XM##4f7G6f+0TPI@xbQT3^ zl!UR&Y7RAQ$rzvwOL*i^bl3Sh$rylbR7w6gS){XOygJ7nh&SZ71kGyug|vdseszx2 zP<3n_=Jt)QNgcbs%?uE#=%NlTe<>v0tdHZqb^rUj4%#Z}QI+Yfz^PE5;zE^ITHD zx855ARBOP9f~yke6eOkujD|t(!80U50rIZfHt(7M)sRj_sZvg-MnDyu;b;@r@~BQx z7&V3+8upLu*hDqEq_pBjmJ+TLI7`HtU?Gz_6aHnyU$mmIHAHDBk;m;IuMtqi+$+up zgJ55ZG$v>Rxwz=Rf&GIAYBdVT1=-1nH{aR^dj2bj);r(w@@XE zTQ)S7k)@jd#e?&G^Kr9DiDB?{rms6M@u~@Y4}0h+){^H(i@t8Qw71tjUDVu}k>qS? zuZKtFTmQ-9NB{B|RV=I>Tc%KtgdVtD@1oGGnLCgpmKtcxV;HiuUg3mbJe!SfE)KjFz^L!oYxn60$YP(b9T35bBllmziWg z)l3etj;L+hsL6_UtjA~#d@Rz*Xi~WR;Ra?>Sy99hlj0r!r*o(`vWqy*5}*>86KG64w?n^n`jJQW9E(EcrHk#WrApSR%aevCMB)n zf>c^2SV#QqF#xxvYNFDrf;NsvC(sf?^cAVJOt4Pl!T6pMJ-MJjh$iXNc*e+|d>RkT zME>4aSA{!nODoiwxQkWPI$onN8P>f#HL7Ld{yb1F*XBA=!4W#eSOh>9- zS&Nbjm7m-W=g$BlY%-Fs@x)#HTF4@upEoIY{fJf~Mivtrx8;t`*xZ$87~R$-dc9+F z-~Ppa^&5ZMBL;n5EPrPGnj z_L1~aIFBwjzIzZViXdt=vY||bOHgRwJXD_pxL|wi#Jspe@=!>eFste++=syuHvGqG zV<#0fG}hIuw7oVT%cgy1uYEFVmr=A(-n0f9MGJb8hsbl{D{<|>Ptp^Q%*OXMY<#Ci z9ObDUvF%jg(Y7<H(lGN|l|TV}wa+xAuOy?zDefqEY#HZiyu!%0zDzQ?(Ng9h&2f~d?Y`@=N z9s@N=FwRbA?Y;1eoSp%zn~(~4*&-lI7D@`z;iA*% zf>DW;Qeg_NkPOX&QHkNJf&rV}<#t;T8(%SEy+y}Ua95m07fed*2z@8+C0@|kl8>E; zF))m}9Q?FwxJX9T9X*?%r5-5%s_G2Aq~?m*Yt?hE8OxunMyjvs$%Xx$t!S_QN#8q4 z1-H#KoxP#}XLj4J(QN~a93F7M$oO?hsAsb*fT+ylIwLEkMk&U*s#|DFs|=|-G|Gq-EgvOB1pqAg zrBHLr33Z3Ukm`bts6cRgARiO^Xaq1wtj~_9kal@pS|#AO1FcAcj;Npp9z0aaBfY{r zy++Ux6$pX=qX?pSx)F3lh1}2^OcI5qKW$LR+z5I)13%B^#AXr*u2`Ct&oQJk_-(Ax z7)?R>+l*RUv3mErL{MV9XszlSwU0Tj(7!!kuEH#*y4b z_uRfIMc}GkHlQ!(W7g$qVDI`H5%TYk3~=yLeN~^wE2vy z7aYif7yV|v2Qi$dK`^EbH4ifVA=ErP%F`g`D&^a&Ql8EF#k2Pw+K{JD`u;EbFBaZ2 zTrLIzU$-16_*=1*n2CQ>pDEy~g3A;kL$DV7^^86C2pN_JJcPPp&)8!(>&Vg{)@&|j z;(v+8++4-rW*vgZje^3MK>>r91>LOU9Jg!IDr3bJxEUHjH|s2OWP>yX!SL9Y61Z6h zP0&{6oN(Tv80%D=5X?FaEV7AQat|E7Er?7t2c;7jlG|lxinC-_$`!y*xm7m><%XR~ zMuo5nc6nv?PWV_RNFO)+K>=? zY%nz*Gv1)bKqG-vje%HgYCL7Uhf?Dy<2{fYAGNY-)^4P1$84V-!c1tL$`}kK zJ~Lr^b))F->@$1yPx{_bYS@_0*!rV@t(OO5L{rb$`gBb_PffVwX6k21e$*!||AYVV zyPvsmW2$2dElpj97_vGafgl0y1BV?D=(YKVg~d_G^^pQbhFD^23|xk3%YrnfwjxHR zZKbpooL2?6_7!PNtKg9&hH~g5>r2z2X-rKJ5hy}XCcaNJmRXR-)C7;9;*b)qGb`veh|8Koi!n9Hy)rHWTW1S&D@kmlie?+{}-1qc{En9*jWR&AC*x?bJ71@G}M9a`BCe*D>v&U zx^kvZegx=~r(L2sikcy5sG5b4u5%pcZ_1entXY<8>84g z1~0=*0#`<~t9W{G&jIDfD7Mlk1N-i3C+k<83 z+FMW>eg^B%1^u?Ld@z0&^xGr{19(BdtK?t=A1~0&M)2xXjP?<#|9~=dm5=pu;oUV9| z6N)33*`ofFJ!2 z_$jPbqg!$6OkNJUi4_8uGlpjWV~8>|`5$24Rxb%7BpCRov&{a7u?V1Mt_+VT&H?m>x?;vwjsyEx~-vg^7#KB~tu%g2TKoGv($bf}RB?c5Adl;~qx9VY_ z=rHmQasC6qt1uvf4|jBl#5n477gR zTNC^bkRF9_P*7_WhBY{Ng#QDozo#j_6#hRhH)Z4!SbEwOBmBPysEQccX(_s& zV}k!NvQYB`U=+;={M+72FQDv(^1tm0Uk2I^rFHE&CitJ(6D^oW_jo5!5aEBYdjrsb z3j$(5ES|r&cmZ~Fls%G52uBAXkk`Dj#TG-S=GC(Vy1gl;IXA(%Wt4YQjHxbHO5Vyw zH04(ECLdkw&oJeFGQ~_=iF{8Wzg?_iT&-q1SS^8Eg4I$x?twIW)zerYiFET0(XMsO zyad+afDp)L@|w*&&UYVy000T+jAc@1(XF-^bz>~OOQ+>3&E(}?p3U^5Yo@1NqS;K} zjG6w7uUdWQi*Ba1{?N>{ZlTEvG~H5ncHHedZD_H_s({RFCYSNOscDR6+E#?|*}+ya zr@q2eELx%R5h6@J`X;zrBjK-Eddq9OAU%5%1StxKVL|6^m!L<K z8zJ&LZa2*jbUns5SmJS_aJ8Zj3qMywotxCm@>*bQuQSQZlaCTH?rOHYkFMn{T504G z&6f9OEbnjr(r^166F4Hb?CT=E8l%vR*(R8LdpfA#{!qqHI%FGRaxXSV=Ty6*AZM0@ zUUFa*3@T<(!35_+N0|I@0@UW|hCqWP2J+W4XoJi%LeZXa6{b50#7Ru<4LFr0~JGs6aKv zneNHsPT@o4q5?@pOAisl2j`;N$eg^iVhkUgi)sTfra5#BADoK{ELZKJQ}|H1sJ2Ho z6s1F_@WCl8NFAOeCo3^^roD7mR9DSQ@r_Dk7*78dYiokaLtN0&WAbtHzbW9|6d664 z91ocP--E=>D(q2EVbh$=PQT1l6*g`8)|aIU`|WT1p?~dDf7Kh_aOa7~9Va&xW?1-c zkMZ90aZW4b@a4%X9~H6y6U4k79WZ`E>AnETKsLWV%r2M`6^9L1Bvb+#ykO*&s90{uQ-CkCTyjWSS}`Rm)+e4LRzwTLV4~tc z?m!|S$j`Kg&WQ^6pOSvq;n4Uta2EaBNV!7&I%{ZRfnVTNwyhyKQGw4jQ31y?Cte;* zEvi;~JtQgy)Z?6}cnv2irmDyCP@Ng-Q$7B^4?O*?PdPxWu3}rwe)WO>C z7^a4xvfIHN!&KCMD@6)}N>wPuorPwOVJcFnI+g`R;$?`63-*SoI}Ar_<5{Z5vK!Q= zg{cQjk81+o{xEgBrS(V=@+Dy^qVO}r)a1kk_ssQI^zKx*MdFdVzq@V4t?Tjc)CZg6 zR18yq0lo7i5|p=_u7xWDyNqmpIX7;Wtyu}^`7(T&`EnP_WayLAK_DyKU@c(k>kHAMu1 zP-F+n)b`NX)*cywh~%z=gy+g>M14}Qg@UXOLRIsN2ZIla8|%JVP0 z6Sln!q3E~d9LIoWC>r(I3xI!Fel;uYwif#qOq)r`uZNWUy?^DWp8Wy`Bnz^MXg0Bz zYRfa&OA*?u`B1@@z0^rbxA5=9dbl9XOxfaGE;cfwHwgp2HIQ~e&#AEH6g=MaE09tt zXgfMI-gFcTU13ws@ zt`nNewoCkee0qbfz9JcPd3tV2S5I5MPgnn%U--X&;u+V~c!m*zn6B0>_)lw&mV*xp zntBY;<^x$ivV6Skh98Q}cp`YTgo+DN8PpZ`TekVZyE~vrzgdyGzywjcNVnnHFCqm2ag~X;z9MyX z72Iz1(5L+xZ}QJ0y4obcSimMfwgU>UV)`L9A76gS6X+7<2Ra>%6yFany4u#{R3m6$ zw!gtmZ;wv**CY`^6vjlbH=uJO9DTB071g7ft+>E+7v&Fyfl%MyKta=^p-*Su_Zod) zq`tz#4vrxFx_g9cHq;iL@%{>)ak=4dO509bzE9hJQ8t9r`8E{tDmF_eM0q9 z?Y>4OV_OF#+Sce?m3&pIpP)^136fj@6I41^=n@c{=Gq=jIas++ER!Pgo{E}8+uDlC z>e62(CEB(KI=9IZL&j7&=|`|JqHT-d9_fGeO)-3>7^5nv+SVj7l@yYwliD9j@n|`J zESajG_Ul7oY3;OWPu7g8_uS*uZ3q2z`%ISB9Kt^=dUQkgd&)iM8Q;5>3R#%K(=F6dh>OGGOkjPgb;?J@ zy{v-U6L}XPxJFJ?w2lk%m#l&qpCH~7N;ZHuQa!mK6`l$1)+-ERfb)dvqM|}w(9u<# z~z#I-NjJ-3U6mikZluyV7#c z1H9@+FrcfD#Gp&bv7QdgLwoFiuF9H)>Kd96+BThKKv!3f9rg!ufxu-2c-sEvayQ!- z+M|k0)h*m*Ep+wYGR%fThjW!g+O{JZug`uZ&Mj3qFI01$@dAOqlxBgF;)LgK6t55` zYUB5$6V4yDSud>PMKx!L;2 zTQ8hH1k(Pp?fc^gi_7WHQ`tSRxxq7t8go2)tLapn&U_%Pd;)kXRvqK{d z3DyOuFzB5QK1&T&1&#fA2geo~jJKh7oEERz1hI@F6SysQ8D$?;V5)WQ-A@C8?%hu* zvU`|Q;M(Q>z5C;+tNFh6D!VuTvqN`sWB2Z3uwMD}!Z?WH30z$J;620?Lh_@(@1l4$ z4C4+&#`y%-pI(e{*<2jai6$-ssGo{44w3DLXtQl_O#SXJB#l6^}K&w+kMMY`nxx+Y1cvZaXxOl#ql=#oS`yQm1l-z;(8;(f2 z?G#}xl9&#uhI0qZKR<2L?z@Y8-(fS}ci4>g9X7A=zQbzmeaAmpp7mbOeRqLBPMc%p zH#+Zs#b5?|(P5(!3+e_2DEC?PQRIzI1{SQ9w`yQ< zzLAHUyx57p3l=jONZED+DZJjkNqc(=_I4u{GW>oU8r0w~Y_j}CiIf6^Tc z2(&xkIjUjbX1{=^>c9VHv)CjaZwTc5xgGY0LlB9}H@n3qeg94K{bf7skMjkZUmc9i zVX;XL%BFEpWIOCHimrYgy}hb~p}$t$#HU+^Jp}c3ckbfTEy9Yj`NZSfpy2M@#a0#G z>!t~FQ~aK~@>VX3ZKm#=XPK}LY0P#f7{y4O?{(#XbdkDE4 z*;{{P=5tp5(ThRplLoe!A=T;ViC)a^ctkkM#Pt?aAe(P3uCrPbuUP8iyolDB@&4tc z7tuPSV|0l1BHX;VCRb;@ppqAr&o>$#IdmBOmPPaHcPl&_w85aQBE`voDR_ zzGOlZfjSmG+&!Y6c1@~CJNjX@LMDbs-yYCK-W=U)HGxlna|1e`KhXoTZ&c-~0bz^? z5D%!fEwA?@oL2YC&N%;U|NIkwr$;HFLD{$-N?bkFLENl{2M7!1T=g~PsvodUGdP9U zt4_NkWkqq{9V+I%PCh5)>FhisDMT z#X*vhOxZ+%eyLD`Cr#p^EEz8jen>))Kx8&hldYtyQyg7Kzd~}0Ub$8>ULIgkthnNu zhhyjH@%mI1jn==?Di^*{yg;~++E2Jg7EQwzlN?2PsA*O2O;3-g{G=KrQ{?ulyH0Dh zS6QHo<;}~XieCP7A659}jg!u~q3CR`fJ?~C>35VfJ-noh(^3bi}tH*N&X*pR>p#} z9V`jbe3{_h=(fNJ#sp^)r1dhvU1ib2E^YN3jh6`?j6~}M!#G&$Ryni~2(~NIyRa4E z0Tc?YE$GwJIZiT%VmH*T#AHWGbzEnn!_pjjNX+nXn{25y`RKvMdl(+jz9NuWE+RaS z`jk~-Uy)!m!1I7b(l`*LM-nREOBcB6@&YcODNI%c$!r8Y@@5I+z+{mSd1J|;(IN@Ryso%G$?1R^VmxpvjtIvk_ zAsXIkmuNP;(=X9E)3QJGGoSL|Pyf}mAECdj#2^i?bz4S zu2wG9NExUYno?d_+E&ORcpPNNTcA+}!^fqvXjw8IelKgCL0Pj5U=zv*5cTEy9;G@d zl3*@E<1|wVnAF~pl%TmtmN^et^9c5$cFj;Uv;Y|p9Z9Y!bm~Zsg!2qH^kR={StLhD zcd=wjJWGZCzol7{uODC7KzLDEU3QxZaF4e=Wnl zdWubPbJliq)Sg2B)lDq5MUuMq$=tu;8D;eUWUXJ>iANaTbkFOjp z4v-2@Ee^oU(wwR0jjG-*kZ+8)h9yAa9l97eK}u=I?DO>E04#{Mg_|l4Xp`v{UPL@2xZ$dsSJ> zriepP{$y=TeT05}zNG(+YY%yqbKl4V_Kh@s57>lWbNi4iKz~83q)W=fHKR6<`ta7V zYL6MUxf#^vFMZpm{Mk@SpwEl7#+YdWRRFrN#KqW>{2;j)(b|{&QA4OOX4ye^@J+S19>=7+X znD2z{*%w(J zQDs3-2xE}i>nJ#c-5Q`jDrJ)R5n5_wN#<9{E%@ft6Qc9feD`>TDz4T?j*JQb_kD-i zk@Gbow+MeFrUIIyi?OluJYW!~dThH*=a-j^zDW);E=vGok^_v(H|kx9pW~RFwJ+Vb z)Ypam<-%(7FV1wf^ayRQu6OF*h}+eIc(#qA3Ss&2ke6Nv@}1s2Dx_#3#z*%m_$R^+ z098`E=SaJ2+uw?cN|Z%~0}3V)4%lE6Ehxcu*97WF5FYo>K{*2F#HWE4Pz2iX_${cx zZIAKsgQZRC-kL0X;&ExVEq5{cOY!UOJc@5N@zYXc)ENGTeY6S7OF2e4`w(0}8$u@JzCLML%jG7`}?B3|V*7iwul zgpFXdjWEW_8E`;=+p6BEdBN>O?jkb7ZxD>;Lr2A1>E5BD%j_PzOO#TsY@ufg0JW~T z>Tv8Q+lXq2GC>D>bgWa1)4?&M#K>SeEtB%>;8)V78DnN>SO1b@$fzwvM73Y3A1E7I z!snr)ze~EZY}|0cUt3myg1@<(U<@|T8dh8=SD0GhXt3v9Y=Yk5b)@uu;0HhNfBvaA z)ikaavswLADQ$zjM9(C3*=a3Lb*coW(lbHu`*JJc6TKU7I!U#t^Z@G`iSrHcEYWTq0~qKV{+iDL?w6j6p*ng!$6Bpu41QO>&Rqsz@EMt*6HsfuC%}w`8_FOhIa+h6XxiPR>yp6aSZX=TKl-Vam z`oV6afi&n$yAP9-YUUB-z-jk1plk<=MP=ukZN#GyOo$j-2E9&*f)MAd5T#-CVTh7? z1|-M`=P%oS!Vk>oBO#fGcx3cRl!Kt?Nxz?L`|%|L^i2UEYKU}Ke=YY1Kp%80ArcsQ z7|yX7RS9~KBxMbcX%KSmRAHsyslsK`mg;`Gp=I*ZP*x#3jT9SQdxEsPVBh#T;_tOj zPB&0QU-z|Y`^$EH&SuwfSE;{$;i*^O=M(R+buWP0&tJsPp1+8naX&j;&u;ajv=>iQ zItpuAnOm>Cc=7x*GEz^z8mH>XSGu(KaEWtAnA2?_s6Rwq-_zC%Mk}UK1?@b?r<7GV=m)rg)W6*xffEVK* z&bvyjDxsZl`d~^i>byuwSeqBcJy0 z1&2deD}((99FL|vH`quxpsg%2#=^rHdix2-`p*o{E(>&lFVbLrqmc|%}QHG?v zCzxig_-0w-&Zs(}hEO%I3=P7K8c{u9C9t^%>IzX`j0&rUy23iX3s)H|nmI0FDh6&- zYR#p!2@jpND!Z0ICvS>M#6DzsT(zns0NkZUW*DB^?PSLJM&@;PGN-7d)rzw1=PyRO zejE91%=^D9oVBkb9q`m=f9%Wu%3Lss%rr(=D<*0AmAPP&rImBRE0`=^BK)pOP&yNBpI3R%FjJ^cY$gC+VyJ!*9I7%?kb43iu@O~p6F3xM zsjH2x7%ScDIE|NrB@UHbj=VVTlFL5(!Hx<*71X2NZ^^yw-?t)A1@@@>4niK6gH6gZ zQPG(mnhAlEu9u9W*ohIpp3qM6>u>221vE7Oz^a^fvsrx1Godd;w#c;t%9hD(*|EK zlp`EUg08zbd23bXX`$*vQ-!`FuDLIXdKhq>Yksyv)ElZR$7m~v2H0tqj!fo!_vGkI zrx~g%@mpfru5^!Js(5FNS*WhWH#%*#!tl7|b&6|_MuHmTm2ogNEYrWPON>}v2l;n( z4;1@(c;<$_mk~oRB{UOmlpg?XhePS5ItFsL zUBVN|z%9K1gINz72uS@t#&2pNj-jWeV8|pAk@#DK|{y z*vS#Wc%79a!uiJDc;<*wZ@hGRgfA@H4!>&l(M>6zP_M?ouZ4`$`2lsghez~uC!kK{ z9yu&F^Gn5Q57Wr<6pdzp8S=mLxlg}+vDYiAX>=ZmLqr7mxKD}SJ}70O4! z6x&w+QH52(1OAiv1Pt)4AXQiuL}!!K{v9#rPhDz1Gy@qE1bZypcxVU2NNi;j++&aN zS&X5m!c6c8Y7>c1Fb)|E_*5glA_Ex{M5DUSyu~o#z4EKLAXQiuL}j4VnPMzpY1DT? z31m$2uw9ps2WqxcOUP3ADv7WRYa%=W3ycPEVNC`yRdT~#aS4t<9h1KJB(AKPq^sK2Nmc{c`ip-JwbP*HZD z`idaX?hw;c53JuFGzTukyng;9pGkd1NNT6^m+hb*#S?M;C_j&f8ZzY2%i^Rf%WmAe zIs(ziLMA9TDnz@{N0i%@b!R!dk>&ez=d1tJpZwEb;e*=Ymcf&;47P6JmxTU;x|hK%8%(0U)YQaMe%q#qR!$W}b;IAa2u4*pW<4st=!on=KduWEPi zadY6<=d_~ePCGQmYj8!}=hEwW42KroSp-k3J;xj2e!+A(=$f>m=*}v5WcMfpQD8sDsV{hj9W=DZDq~f3H9uh#6bB%j1|67x;`iNL&=Y{EbYZX zGG3r!fMvdQnG+Kv;}ud@Mb1;~M!G_xn(S9XSDO;8oIozyUQ5YAm?Xx@^OxuCv5|SR zetVo{VW^DN+N?sc!;M%MJxo8QrS|I~b+#4{9j4y$V^qMgUSMYb8tJTU9QI5oh0a!S zqx4~v$*Ga93c^su=lk#FQ5Z22SGPfWx+BZ?*`QDQxnKPFukl2L8nf1aFN2IYV~<)a z`^L$CKfww~``7-&a;xM-Xa5~Yfe7|A#fXm6jvN-tDMjKDMl1KkC#8*6aL!&8j0R02a2^l9TO>?5Iv3raLz`WgabwoQ|CK^o<{VjUvB z*{?M~azVx&Rj>{b2YrM_kS<80Y=V{92ez~X6D~-jY=X6d0YpWe`QcdTNA%I#}{*YdYcd#HQyDL zQkz>Ed7HoXS97aHkC_HBxk2#5cOzz9m0K{huBOpZNaKcc|y;A2;tPG2?2u zUn&rWunl>V8^YC4^%ov=vD@gpF(8d*JZ`eB<|=$W<>nuWLOMatY}em;@$9|Zsrs9C ziP}JMw(Hkp*Z02dob zQQY)`C?56)z;3|b4tux?jbH*44+qYv$BJvvw={wgPegM8tTa)=Epgh6rA9ar)PG3$ ziQu}-1Mn{s0bk5tRz3}|xBybkD@MQ<05WS52;;5`U!Sj-0N?#~rJRajnnDgRFPQ*e z0GMPoB*%>LABHs};EM`9<#)y|UC=WEz5sDC9h%_mepT24!0`-y3)|nrRh?-a`4yYmrvDEjy5TkTDO(+cMfA#xEOa6vtT+zsQm^sj696Wx|F0WvIVKresOX9Vx z0jDB{_DJ*|l@52s>iQfu$Iu?WL=jw`P-&6{V`x8E8yG|T!P>wW+7HwQPBNGhi`E$R zQd23$@O4wCf(JTg34!{KbVZ}>7)}--5hhdaJPFqdF=8~RylsL(74~P3XHhhs;#n3B zp3}yR407U1K-u6~1DR>xCEso54?bT!IDzn&9U|fUu|tf<75J&)xi*b^or+Y^oSz4r z7Jqd@ucT9{oByo?SF)@kKc5qBgyf{Am1*uR~$mPVK;w8yb&lA*~ zqT>&%Wy2#pNq?$hyjR(4NH%DHtM80)u&P&?7T5_t@K*~!_|`y2yuBm{fmF9FgMYMQ z>Pkl#j!fF|27#{2C@o~TAk>~!62@5y zc}Nwh2y~F7)pbeXGhq$8)z1gXaCc+I!Z@=0UCa17wxWN}Y z@Sq}f4r~zYPg=y}lA=HDJTcObJg}663T?i-6kwPn>R8LnBIF7*MG)2uH~50X2L)3{ zb0V2w)9)!&hPEb7Q%OYkN6af|CF3<>wn2&kfQ%HdB^*6oBYez!0)F`;e*3iM^oIs) zn3k5W4-NiS9vY|VthX*c?CT})hXw-n(D3ag4-G!*J~aGed1#!&GaUI^ADRK)PB7yq zrh4|Er;6A$@77?c$rBlU8897fQi;Bl@Z=q$X$LhvF|O*`pk9bLjk^XA$OjakyZQp; ziHxohc`%b}1cAIix7{@YZzb;CaD4D!rWOeZ}x^|f`6U>}JSKhgcE!>-*B#dT5y`{8O$^W^aJor@Kp$>jx|^G( zPanIRn@-{bLWBwwAVEzijFKXjCXo;zgdk|Cq(mrVp+K;OB83QD2CRzm2Sh3G`^Mup z#`w*-=bCGuefB-~_G;>K*4%5%-<)&JImh!G-+0T5Z+TY`VzwVKer@{IbMDHxTw8GL zu5gzNJ7?ldWz6!%PyLQR`F)W~QN!)nMcqR8y^i64zB;amekgtV)ax#YEIh{$K@jYc z+EQd+!LbXU0fq*!Y;m6t=;!ZO43{Cd^RwfyJ2X|A9~$6rf*c78T)}Os=NL{M=n3E_ z!U=)k=4cGzagL#<$lm}cq6lIXWWQp#bPxooJ}yR9j7_NG9bY9N7OpngXS(oY2dHLo{kIu_?2VI~H z$T^g0WOQD>J=3G}!ona1njD=MCI+SkkA}eEMn~s`jlsjQS!#hDrGUYxC+PsPr;n{^ z*N@JV4j`AD9-UY2(Q%DdGlW+#b;P~bAivxrjAE9qqCG%}HOQZBk5rr2(z)7<)p+?_ zzx0TkM9lUV52XDs5tUyXlaEB?9*_G(jb&Z07s%Gt0jVAjPC%Jz_0w!A!B< zfbzJH=8-8c!I?y_DLC(j=|s$=sb< ze$3qczuQmz3$F^Y04;_%{>f{albrVa^;~e0(_S(T&g_n4JDg#VK+A9;>J(c822@fr zCOAocFF6Po)S!ap)FJu3BpXa{lKh?yyI%|}GT}l53=g^QXC$N`1*`>U*kE zmz<`)r@3><$DzKbGHZUeYF@7vK7E18P}WQiWd*?MWBDfZOK_IA2BD z^L)Z(r#8(l`|OBd-tuEA@_+qj-}tw_IvA}Ltm7)upgYhJ4I;X=I*ff~5rGQ^Cji(_ z)JFw&HQiLJ`raEAt`a&=n%OMw7jQNjV6$UUq?qg7n2Y z{rB*Xdr7KDKf3jJA|bBHobppj9o8km=E81SmL5PV)32Ft4~EgP*N3^O6{=<3dFJ~A zk^`HchqBt>it-JzVjj+OhXJ(xn&l3m0~PiY=Xn5*s7Rjlv_ofCFFXEjp7xE@K3B>A zsa0{u+O}+Jp_+(1s;&;Ib6RWN%V!#=ZPZ}JBxTlJ@@2Ti=&$l$mb{@P+X%{wHcJ@(EE9oV~_LARVP&KrptU% zDhW?o0?OEwa&z>lVv{f0*}k&9i{~1GOV7&P^{mXhMZGb-^sL-Y&&r>A<6nRMFP=kYXnlHf_ZsCFe) zL{bf_VGlZ|CmA4dxRL=< zuF0@iu$TpAAZV*9k4Uu{EFwm8CWnyM0yB8ROq8_n8D>I6Y{6xSMa$=3Gg;!PrpsZ( z9tICT7Vxa+8$5fIF9z@F?a-Kai!KK5$#iJE|5x6<`QIY~*PGF!3XTUM%|v2&i-Jli zhUc0b63-~qqn<%M0Q%uhFbp09K~4-ogm@_P^@VtP;(8)L6|9!zhoc~B_uYz7^1+I$ z1HhyVgO?k|^rf^P1`ikjDd=;>^_~O>MKBB=S447>E26p4sFUohkawW+YUj8R9w?#; zR^WTCD^^s&`oPE*lIsoQ)pBex2x(1YSz50L*av~x}^?2buFi#&4`nwvV+m8+r89)2FT9&cQoj47-6N>U zVU*`p!V)TP9`NH5-QQhwZz}GDKYDR?o{Y2e_kQxfS$|d!q4aWt-WmiM+B}L_9JFA4 zK%DB?&+NCLXOf_OgFvMO#2G?)0*w;R4&t3DFiO}`3Qk~A!r8&0K|(7je{_*_iG^8a zFNM>_%%nEQf_9n`5y>_sV&uVBO}>+Pa)vAp#ABx%_0WnPuky5Ncw=ZGO)6a+xg5Vr zPie&KpkOF%72$H72T}N)TGkK~7pC+|WDPMJuGdsqui%$w=?&PMr4x7iy{TEl-DagZ zK1>oHpy9G!F^*ip>lh_YtQa0TvWC08QpoI}Bx}O*qykc{aQ9h5(?)l|1m`Zb|10mt zC2N?qAoWbvLL+)7{A$gqI{xhb9cK-nyrTK~pSkzyFFy?_6Y_l!(62bCfQrTt8ito} zcoRsM$qfyp*a)X4(*Z7M#RRN)5>kfRzSIcNJFk_II5Zs?UvadmO3NJ*Ia-rTrXS(gVr@O;14__pfAIfZ_(YN*pswo zzCD;XV3eK%$&)&^d1U+`iZ3NK#CcL}xX#G4a}mM1#Ms;~J)%Px;&|-Uw*}XfU#fHYnW4Pm^%GIm`L!V)~e9YF~1e zUQ^`~Ia^T))B*ZMBx`khjCvq9ILPiI>Vtu8<9dYD9Q8CuCY0G}6?SRDdm5VX>_L4} zDs0~JeIAjq>RbN!UwYp=gOycPt>?f@@eU)3rt%+_`7jg$x2U;8A*?E4z@bNQ4pU-6T|1VSd#hQOERm>YEJ;dEKG2 z3yHcEFr*^5-D*%9KTmNXQ3XY{iIRsEeyGmb%v}k{Hrl~4zN%jLEM?b}Tl;V`EvI|k zS{qkruK08#jRzxu|GfznVfi-$ZMsAp5@#onGd)=wRA#|;sv8p&BC?&Fo({}PukjqH zOJ6P{wiV^(Nc~B9C_7#9pfoQF^`QdwAsVzaZRwDwIDgqr=PzMKTTX#R5j4w`lk=-j z_6D4*@h;V;yQ@CUyG6a#xL`|f2V444U+@oq{TojuZ_KnXC$mN>r5cQ!9zcec&M^cr zmQl0BVS{pZV84NlR>8exi9=9o3YMBkt%yt)*F$H^%i+Q>(Ks}+$^AKI%Zr&Mmi%3< z$@Ec@9XWZk^Uf6IT&#lG@?uaj^3sx$*$fg)#qwh8Clg0Z-mC_Utu=YG$M_Q3=-p!1 zSzZJrr5_N~C4varEhS(p*CX{F`(@E(001nS`C}bqpij}x`U@~Y|FY&=2-YSGSz38hTHb| z*GuZ=@l!WivfJLnM3wYjqfcJi-o2nVBkwJp+V;qw>mkfoUg~%AmhY__W1{bV{@-~2 zM~7zCN|vW}bPIT#<&p^w;0DoZthp8Y%3>lHJRUHOT=GBQYCvF8Kxx#>O;E!KxNhKT zs+hEl`iEJoJL2iOY)A%)TNPWQQN~KL8kZg1mz+XdnlyxL-42T~>_x9YK%_ zOoN1SgqC9SWf7!i_7(A)sIEVfda4r?&Fqo~gp8U}!YC?Og|eh*<|etoPz%W^tv5Dc z)4pP=h??0YsgOm7gRTOGdTvBzzAcg#=Pyz34j+ zGz*^MkpUDzbZbB#*lTbOb^rkj0;aS-)Co#HDFEu=bJG460Sw}q(p(3$!C3*&6P-SF zAHNo8F3u02>{)(N>T%xkW9so={;JP-^^b*mR6X0LjFRIDVy4d5D~>Bj-^?Gyw75>N zIIeJk@lMmj-LCuGNp)N&3ZBJroho=H0|$u4L>)6bu9J1l?6_jO{PlIr>bOo7GK=H7 zovdUQ$91xjS?20Tl{HYUP|fG$mcZhJKJ8HL%ypGEwgH2ZJX0+h#XjlW#zsb_(#8gX zT9B0IC-xUpl8z5$TylHj$mZOdy!UN?LA`~g(Vr)BV?x$^zG|Q6n!W@D%^&#q_xQNjX2s810fimFYaHXz0~i%nfIJYRSj=xtE|#_BlWRS(aX&zSYAU>y1YT^F7&AZ`n+Dft8V zvl{EiRVUAvEwr$bDhWTeJIUnv^0ExE2gddeHdj1f6iXel2W@OzCVupjo^SJ3F;T#? z2aTy6fAb8&gPV>wkodiKYE{7sM|=C2XRF#D$qLs#1{N<;0`aLoLVPp!iP9;R;C`_G z%g}-r(SAh?Hn9BJ=wO5NR9_(>=YtKfPk(#UV-3lv!3OEMJ~7xJJ=hp*up*Zrhb4>* zHb_tQ6>#e)Zy_~?C~%{Wl|M4L_Vj39!SB+3H9}JRD-x&Ne6;2Y+e0GjtNfz&fx z@`&=kzAk9Q>yIv#3YWyO=>Wo)-W)A87UwC!5=6aqtr8paT2;Q5sEr-D91PGA0v$vzK6MP=SOo$&yOWC%Fy- zODx7Q?EqJxl*K@HSWUM$$j$UVHkaY!4muEumpFe8Iw-EonlF2D9b`Lvg$^2pu?Bb@ z(sDAmApL%8zP)KVon(-90MUPmiXqVNfbZMyHyCtqyyHO!7!;8^C^+b#bTn+J0`mn+ zkkHOw=0L>d=;PH~Fa5QA6N3tpmc*a}xQ#nnP3RYA7~8rK`}`Ou9=Z0co|f%kU;`c>21Fnx8C#4hc7&j@eT`}!9dFYko2Fe3cmF% z@TlHO!P$3(DUCUIWn8YE@MTZ|?%36dyTWYx7yTFC`hUJZCvH0a<|^@6mSZ<@BYfA&gqhvAWb3% ztO2zvfW35fPVf~eK%+%uxe5$x@DwWH&_Lm!G zs=^nHPrBk5p+LyQCzUp~z;@n`_I^V9hY61_!VmFX>~B7(>t?tH_}`lnva1J5F&Q+S zjOu+>Aft*4B0gGjK{}u9v049m7x-K{Z=7$*o;?-30`_8t5xwvVyyG(FI{9psoqgyi z(X+C7PWllR%-{NZ%fd2rX+hb?BwtFh(ON>bIWa#ny&>#BK>lc4p%c3ec+wlVi)kY+U5e{@@ zkS(N1N7>uHa*xN`LJlaki{J{QOFKdFcuWv!9QNRV}j8FM{evWVA(;S&9eIm2GO zu`F99nK|_}k6Xa?^l93#98e|GlZ>NpKr_WPk!S25Cv^_(=x|82afzuv<%aT`4>vMB z%U6JzXtJFx3>G-(B6K*@!+eE4OJ+_1(g$^zIolzbp607PTDlavvP4T(e?{qWz5-4W z030NMzz5C>N4oa;59zfTNj?hdP(65P4=Hkm8I^)fcNO_DJ)~H))ij@yhjc0nDa4PF z6uY>9LM4@4*lB7hCNVS32XdAYeuA!z59K`_(UOsl64B8r18rgx z-(r^R9+vGuViSCeQL$~430WFv#5MeOxQX-dgywx@OVj4;fBL~Q&{pV1nIQ+ij#wp z=(D)sB`g=u2tP=CC8v-~A-d^I7J5^3qLYjc>+>+;qWx6|+g6>4yWRHs!R4YM}v zL$2`N$es77x&QY9;(Z;Hg-onB&qfde$+MB|uMqqPI= z0DRbF31_$077Gk$0{2bL=a&)z5*~=IP(4~9N74!A3c-Gf-&g|6%^tC6U9l7hINDSN zmxH2%gn)iAOYd_)yI9ToD=W1922f-RR*#VRK(E-KjKNaAOTspAr~@8e^q34JB}%u0 zha~LCpg2LqudjJHq9I>mZ;)8_zj-O)pmL&(=ZAH_=1I+~n(x7*QpjLFMHFv~QjrW9 zq*Ai_vt?Rbnvy4egf{H%!J`w}I4{i4krIhdzA9=L?PKV8KONLB+Q+Q9V;!!4BFQ3Y z4!wO>Hj)<*EhNqQ$2Ql%kPzY2Ts#sOWJ?0>TG>iZ_!Y7r1ePPtSg)*MG~Pl@bNJE0 z04k5f?m+g?Zq4+JUjal#h&~?OMrW1QOf|5p!;UF9kz5T1qzORGcD!obrU!Iz_79{0 z#9Z>=U@nl@461q(kGUlDt#|#Pjk3EUQTHwx^}i)5tG#5tNAMCgvxzLM(Qery&}vdH z@->llWqOOj=F<8TTyoxvgTzdJaj@CHILOru(mVP2mdA5Ep<`c>s-}I6M?4>o( zd~tB1{^Iastm>!ZFAmNO-^LN*lf5`oi64QRMI5h_aGLp}S4qF$n(uLPj|T8D zBwk9f6$1SZh#uMjHyg=zwBH|jl?^mV_+t>L`-_Ja`vatv@p6QZmFpM8S3Y40oML}T z6vgicv>8BO`S`T=d4Gwg+WuW0Y9)`C`-AuO*YZuk&yv1GY6lD+hj0(Exh6*5&}dj{ zlc%+Du`Sc&?l0b-JlG`{lrs`fN!H;4KP$D?r{u0M7xbg+|L2YW)2Uq0af>M=3?Sf^ zAd;Zw^>l-hG20dxlN-&(#^699C<@F{JPpvsREK(OA((SPNN+I6;h~2~qnr!cslNst ziWU&91ILOv7liyW^D#otI=C3>sdh2&^(7<$I|Xs9Am5U)WTKoIZ^Gdzr4d`Sd1 z>HwmIXR%$JWXS~`R*b6HPUvo_aP8KjG$$Wd@ zCTkrUm$vRNU0iR!A`}4`je$4>>bc&?d2St?I(3BXU-#04wPBx?p4UZw;St9fU^3 z#<_>&J2nsU;I{F246Or&hev@()iEx@3;XJM3R?|-3&JEb8AWky0`U6^(qVt|TYlxM zUlY!$_Afe8P`3b9@F|LT1=)289cEuyV%-JXa757k;AO3X)L|~zJgUQ5Qh#Md>M$2X zw*`<|c;I5c&b3xeZ_dKL)|bY3b7ECi{;ToCG${nivD8myipbyx_lnRtWX zYC9khqI2qkF`N)So%+RbXwhLqNx;hnl1!{O$u`Ci*Wdr-@{GDXeY=sG>!>nDnF0s ztnSm7zDbqRp64g!5YAhkaFs88_q*FkFw+0z*ZhUw_`+}q6$k3d)KH;DybSkk4A@GC z&;<_|8=@Fg&|B*3YF|O?Qkl9S8{8#0W+g*)0Q5i$zeNaAnKnU!qeY{^EA0h&Z(I<( z8Z2i*_3f7A@`O%_6{$>J5bD{c!XA(2-G(5QX%ob-6xF)qoG2@v)IF+Y`msyRn}P=y zpR3*pM@c?WEdQr(KfE^kkV^x4&@l`}x9I4~V>0nKp2Jj?jswYZ<{kPTqtUXS=^%qW zVH(smEZW% zfBJ8JXzT7UeZ^`ZUO3&ti?>>$$d9ZZcrdJJX8!l#jAa5@w(4k8es<2#4^4TD{LbHlUf;>|*vvJvlBL~V-VQ6SW zp!ZwX%r^&>r~{ z_JY&Hvn%Hbn!NrVWwICaNiZeAD_UB=_w-?s1wGNU0P2^Tc0Ha~yBAbQoQ@*@-0^+wvx4b& zEpi`Q_%&PFwA;kK)Mu?WJ2!1=%RedeHE;Pb^YyXM?mqW>f{sBKaxYo8;Oyv7Ce-`4 zGM27HwV@#C6|Kn~1>~Io%xd@>`VoRh_9Wt`Jiz(wO$e{tYBoqSBXy&TgS;2CK zcEpN{jb#0llj6~qH=~X0#5%@Z~)~eFDVDG&J&Ks6bgRJe$9M$ z_8=g$WD925^pg4Z&`p7|XSQWe%8GjQe1F&ps=>(;3}d}yzCj1vNHHKlPFaU*`jyHZ z0=`TmBUr@2(eo|RTp&yh=q)&8JDukfF*Ty4$L&lg@Fe8$s*Flhn{jTOqkG*PE3W(3 z+{*QdYS{Ba!K@}HX>5Vyr5HwSP^fsg4rk>iQ20XjA@+x!y;BoK{1~)WgC~*dq2z{6 zu#<@cluV3-gl=N)KnnaB0|k z&n_X6*6tx`;dgJPhG*mZ%Gv0?(!$^No$NWf9!oN(Zy(H1Gy3*>{;2v(`0p-(qp7eH zhP}7Em!UbgGc@N1{@9Ov!s*T~r|1OMeLyKr`{?D*7+3f77JbotWpuJ5<7mX~_NKC_ zX*3zl1FiM=MPKyHQ|0+DxdS#v`?U~-YgidoaV_fkX@VXXk{rYXUqgaLmz-t6ld(Y~ zlc|=pe=^lTev~o-^NS^#>rpqdoorj@8zA%W${Ek_0p8j5=qvyBAO7VS|A}~lKn6=@ z>K3wLLC$yS>{`5uH0RW({_}j`bav(T6o)ZJ0?!h4&O36B_BAyets|ii<5OOcpW~bO zqzidF%CH%wwW3TnqIjU>9;*Kf2;-#)eO{n9S%il6Wp2UVBxE}A>n7e_mZ*0(2%~Jh z6Fxe_nNmBtgi&`ldvx9{x`a{Bz3nYm9>O+OjuGxT#^rM&U1g}dy8qApo-h0GQ#DKs zZbF`sg+w2Oslo4H&Ij58km#eJ$6Fy7Sw_|{0Y8xX;1bhy98vYc z0E0mtk4+JF%BY5}wCjA2@g@Vwz@6dXE28JP<`RJ1?%*j2%(w?k}G~jyXI?xT$p)(oF_gE zt|;Fj1iIj5qa+a4gY(R{sPzu-ap{Aj*Ly^KBiH1E(w%3iVS<$jxpXemaTX)phdy~2Jd-K&rQhbtGKC=S4mJ7K+ZCUhJq;YCQS$>kIhiGgMPLVJT6j6PE1=$-yiJ$0#nCvoZ?Vi4v1VfmXI-e*q4rO}^U! zv&OF_uZ;JjKH-|@#qGK~x672+3D5ZAc0C!l>&t)RH$Uu|9y)e??Hvf5zG$1PyRG&P zIRBt8Al$B8dk0Ne56%y>o@(##F4lduI^3>Ydq-OUY;`KbzTx#@)N}294>F%lFl>6R zy`zZM7q!Er=i2*`{3Z3!*VNuIKB2#wYijRXVf!hUliV&|kJsMOl+a&Ka=VuB3cdD@ z_Km@L=GzmscOU=;Yv%hCwf7C$4f<=(Hz;cFpib)H7703rD{8g(?GlY`-S3%iQPkcA zP?05{h&vO`L*uS>j92&uN$660cM{hVeF2x+yD`LjVV9X8i`LGrz0Yut9;0r|zJ}lZ z@BGi7_(ub=rPVUe(R%?d<}I%rRqAE6j=?}!l;CZGWQ_Wgs0U+K)V>B^G59ezq+zRq z)JiURSYo0tL+fpu-4iQPDY@Woy;Q^&PL@$4)NBaSYPeu9Zej-w)^L6oY}To(SCJ4-fAe zDizkGi!?pr^nWi52CpOT>l`St_!<#uYN^?AQcpF5!6hucyRg*s(IqT>N#@o3$-n$( zUiHx@yU=%Qj!Z+AYQ=7#?ER~Qp{WH1ksv5y)^jX~%yCZuxJQD>9QJ_L$H##z2hnDU zde#^gr^skRkLx++uoV2;q(dcy8m74u%rTEu10aY-q(0EiVX2iiAh=tyPpO8GQ4K)A zg;ca2dn`1IY5>PHVD=4j(x@7o=t9RZJ?SYz$54l^KWXA=^HLP`*uCYlmS=eV-)7k3 zNDsG$k5VsS3{@3|IX?M{{%?E}pY&+s*k`xp{_lk<`*x|aiI4yi{LZk?Pd@(oeV_WX zZ@P+cUTUlQ_$!FvP#47eU&5;F;kWtmL;PcnzYgd-haP2E#o=0Y#q8e)iWMBsS~zq6 zUOQ%N3ksRZI_MMd`7$X~&N0VdjqGQ86q1f2nB%X2eL~-Ag)0u7<1b7^aMb6L6P?nE zvlNu-h&rT8-go-~JZfcgt(&eS_;08O`INsJ`MRuDbkl=J0CsW@KPNACwe8!$eY$$` ze}Os6Vd@onfYDFHrvo!5`d>anb20b|wN16h8#7^Xk1{m(>bP8??8_c4jFMBUm?YCf z3`S^FK}B+pRw%J{Niv10AU3sLTavR(g{fC~C^>8nPv=pl(xpelYRYzqD|jG29AX31 zaekN}nI2>?Y_mKqU}K?NNNdi2>_A)W0dS*amU|7harH^ID&&Yr@e!e&yWA%e(P>gcIf$yT1+T7#JHM7ydyT8$3@<;U9nc`oT*PZf=j zwJ`q*HF7>-d1T`FLh};ERi;bNDGqlmGJP{FO1US9sAVQsIzEcSg--%h&?wiY;;y`K z?fk(UjVC_#NA344=4dbS*}rvY0S$D4*v?*go}aHI{jhtV8zwoUKScWxy ze$HJPmum}-{j}W0Cff3c)mt?0u29+r+Ul;nMKAli!n(yj^O@iMJI<+FfQq-X<;mp$ zhRT_jM;z?raez{U1RUxabqz*!3fH8AUF#N3BFfpJowt+R4d`Si5gqZwv)|mBy0(n* zyQ?mKvp032_-e!+$ z1|6+r{a=$IgM!6}onmLl4C;%!+ZGVIth6 z-qEAzOVMbej))BS)Q`CT%>gQBI`nC{gSjR8G4DG$2lR!9*NtGE)31L{7sIdqjpsi1 zJ+}vp0H90#1`PY|ZE|ri+ThR=zzEoG60CtLe94KxHBXTp42(4Zj$KlH%cVlf!^&p| z0}U1!>V;?!1Z!O>{|&D%4hCpka50ih8O%4x4hDJ{I4_KXi{Z$G>|hWtA{4({Q2Y+E zF4@7@?$Dgx>x2lv%fgR zKDV;#QV#Fuj4#h_>P}nV$vu>CgC62L7xsU{Ak*+5larZPe zZ_k0oCiH@s7tXJg6zMs@gflIY63k+iq{@@V%t_OHIzEzI=Om@No_xtM1!AAHGfdYRzaFK7c@dt2x^O9S&`Pw1#JWsNYwy_=nqZz z!v#s}DolD9#mTnJvLf9N7sOO^q+Vcy513`E^&$Jpxf9@HZ8`Av3nxJv8+u7e^2TOe z%%PgyVTM5s^;s<0W9C(tKezqPO}GC$wsQP!+=Ro;lUXN=3vA*kflb)6d`)2IHeg_; z=SH~mmhVjr)4z}Y$mjpUKMHCYg@?I%bg-BW#X!j!yq|ra3e}?vB2dr}35W!SNO)E4 zD`*s|$0q30QV!6ls?0(#qsqW8$|wbg2F_bGa|lvB`igC=%7Ohi-Jz)-T`-77*XZ=_ z1gRcf5YdD&V{SK#{?K&YToCzYQ&5`~W0`W*q8^*%`oR8nsIoQC=)EMPGnb4}EkLyO zKTv6QidikoBUoO$qvyM`N1!VaAE?3yZCwY=js+UD1tIu4>gTl2RRM z1$o^#H=1%q>Hmguj8FcZ{~O=LC;!g>jc?+UUPFbfxvuU1h3*y3uZSiP9f0C1)#L>Q zk)t6ffXv8Dkk)h&Uqb&@lO2R#LZ6uq_@u)x5X0nAF2oq%Sx+DYzS{YMCD3o@uhD#L z^0iIjlOP773_L?QQla`Qb*}EcAj|I#S#DbI#4YOW*M+Y0cIZ0)tN(KQgWne;dGuyn z?*jmLRymz3vOFv{oPpkQ6(3*)hHf!3w>95va>!Wm0bn#GOA7Lj!Hlu&10b170vE;} z!x3ZE2iU;t5W$fNV^q^_*~7M;KVeKQWSa7$25A{c$6FZm)0;cbOFGhx22xK1Y#|{W zpWK4_zwu3cnzE!Lh0)7|#;0LI<2*He<0xj{^1T&c6!Tq+H+|Y4>U(1x{H@C(?Xg4k zgee((7?3fApNuyZjKnI4**rZ9RcJ8yCXLr>WHwJkF~rF^uhqzGo`_;_j{CK?h@hEw zK&cfP4Ce?o38@I7&T`N?k6kAstHjdT{QaTX_TQe-~noW|=a{*Rp)vUliA$C@hj`~Uo_|Nj4YGBLDT(}iPVNUHHEPtZAq`#qZP zB^^E-RTC;T^(BrCmI;-L6vfoMm{6%$vGpZxFo3JekAzAExeX>niVDJDnL&CaR4U1B zc1IH&4wea(nwtnQE~n2)C6$_+2sfJ{Urwl0NMdlBDBsa}Eum6zbU3$~Y+6a-yxg3^ zf&(ROjfv;aYf(iNh4W6u=GKceK3w<8sh+l9XiWDX{onW|KAAEd85}O(bp03S;P!O? zn(Hw&+VbnJUXkm$sMDuMohAhNXsvbNp`lFYba-4&TAk5ZfApH0w92%6ueW^K@!@&)p9tEti(GA3kH0y1}HX?c@9gF4AH0v(7 zm6|D2I0$fRo!LeScscxVROB2ea0h4sG3#x~HcT2cbN!N~93Io8H(O&S@XI+F)u;^& z6Rg`-X?YkG7qw_Q30f?u8`crqt80wKHoD3<`%hkQWYNJvuagP;PKh)IdL)j)oRr+h zriM7x90qfpdyqYHOOsWZo*bv^v}Bd1G|nmND;npzPDAa?ELEWuoX=Dwp}UuhB-~D& zb~xYMI0#VQSr|L*2#F#KU(-}%5d@z0;t57Ay~R%9>z#UY3o!N|U!zB_jd3nU`RPsShsZ$p`eQ7fonDIkK5GE8L49lh2S8kMLED&F2A>yG@ zBuSoNu=FbfVYC%N_9|w6k0OUsQpAd4lx@X5Y%z>7R@B+7M0K?l#VETVDn5!R!^liw(ZWo|%WnK_4F(Vm_Lo?R)i;0N<3k)O2iUuwF*-qr%_!~TqcujjDQ$_j?z+= zoNegEJi3){M%B%`ele21RDldhPYaDT%!L__PjgJXp266RZ9N6d_lYAv+*=D?Jw`J6 z?tlBgmuUNSM0%V9jGs(iK3(&DW_T5}L;iN3@G5$f#nk5i@-_E=W*6#KbEK|rEn^B* zcSPs|od>#S(t6>Iwy#Xxx*)(*Xo{iWdTDbkLoiaC&{RINgqh2}tQ4tD_<9Ivq%aQ1 z8?lLW(o0w8VrNt)|8mj~8^5`_S5AROZ^*L%cjzQ_9Ku4mc z%XrH3=x($-KB6YRuPthV0!=Tvgh>d|JWLXlYi`6zVo%c0`(-4E{pO*s|~TR($!s}pv%zLYeOTW6ykSHwV^T2%21%WHZ(HN zAQWh>4FTxWV}V0~=GqW|Ku|cPmJ$jy*M>$0AA|zUwV^SB$qX2pCYTHbnrlO2YmV23 zpq-UO%3uBOFTGho;c+{qsBpfSo9b4*)yFKgpIsX|Po17xeoUSIuHX4}|L&*s_{-iO z-L>(3$kk}XYzn;dM`J4A3G`ApW~(JS;H#S%>XdWjVD}i@0-S`xcNGkEng`Bd>R!KM zs8a~WytyO0XDyV;#G@m zvH05QRfO^``Ec|clvh`jdzH_x?x2n>+2(va@qFUd?<31TyDq9A@6YETAD`QyI@lvY zI0UX9R`%ZOm|ZWleh{epb368@iH$A&aT+TfHlasfL5|pa{>cCJ#ordyJnb}g&0&4V z2>w=t>yhAM&3Qz4+3YLR94X~l-^vgNAfDKWuGmM?jL{3N%NG+$Ds zu{Eb@zUYYgniDi%^EDw=oW_h%>`O|{Qh}=Rdd!XPcYRFT)_w#g@V3F}A8~|667IUz z|Lt_HZ2EWp@0d*djZ!I+b+zdKUK(7Vk_K0Mp3jX{FEzfqtMSddMZJT1sqx)TjqfY} z{qKJNheXaqZ$@vbiNi#$lzic9G~iaFB{7y67|b+gp$#^D#XM{k%%&RkDuw?escd5l z?Kyz)&!!q3QNXfr#l?XwQN>Ls*&*4JT}Lt^^S+s_-sd9t~7hVPe5;b^^Q&&V6~{X z(~hNUjR9`L7{(`+eyNklU8r$^-kj*Kz5(>cpYQt|>4gZ~NU~=nC72g>H}hPxJY#v< ziJ8myCWSS)<@bE_tG+GNq2f9(4$7+tQXMwIhC@UhtY4Ap&;=uVyWM;1CIyrigG1|TZ9w$81Y5tPR_{;w`Fj+?w*orlVgPrjo68{dq5qSSN*)u(JE z`rswLfIGAS);60*Pv#Lg&&A?ZSHL+wxu@+U}xb5u%Dz;OD zSyf~HtKqgmcm`LmtXNd_lxoYWdP1|E)IxkOT?55uZRMBuSe3#Ej;njiRc_34)aA!j zjw=uUw_8*;{X746OeX$DscA*CxGL;6($t^z3{z-H&#>&p9t6(?LoXG~lbDVwkHlKk z=zIDdxT|F->Y(f){7LbaPw~Ujx7)QQ?wfYs$sY&1r%-GBSAxw$>|SV2u?Sk-8wlOyz$^ zkAem4E;!2{k~f<#yuR2`&2l9a-;G6MBJ~Ii>!BgqimpcZZ};`B%ABc^@Fe2rYc7sf z@3T+DVqx}i`aW}5W89a72jDYPcPLI#AMBl5pYNeb=XSs0e6vr-XbI;R=dZKf0$(88 z$*GevYdA&n^Mq3bSUjP1=OQibAw5?qU8+}iSG}5di+aU=sb1Yq_396Q|Ign4r7(`# z1?AN%>xn{h0SLOKO>FHT4*SZ}wblcLG@o{Zw-(v7+Pv zd_|>?f@WE+Q21f>Dg-45;430Oj4~I@EqJE1!WM#(12Dk@W+7`U+h)ZCa9L4u0Dfq- zqBOUA8~zq6O1jnsg-aKQw$y4_QPZ_1i6L>AZ9_?f#!rOqihAWIjksE1tBC1_g%e@9wEYFA#;h0^l{&Q~wdCk4s9^Ll8ee&mj@^Am}5Bea?Dx%vRDJ^>4x`mwM zYDuUC8ztd*i(#>^%<4Bmbg(1bq~LzCQ4+o5fPo^xm~P~D?sFqUue+yDOTUt zJS+*6!Flf1N8}m0H6tsED+9DfP&hk)kNVpqaByF9e^}tR;hIRe_CLF1-(3>pbl5}- zfCE@c`=3(?SX>fpK05&m@#uqV&$kGDQ_SAV6&(iWneP$$&UiC%j}|fTA;C_JF+OU~*QMY7esBz2`8xAH8B4qXyp-q>0bx|U6f5}p`&@G;#Wv5Vv|10Vxrw5qGPw9;nYR`oY2ZfJP)E>BZS-_zn&~e9?5mbVjm2;RuyawxKLqwp%)e#9pHXIE03bmLNGidHa2@z zaD?G&hDd>tYEDEMbhDp4?WLVf~mn|tg&O3!mz|R6aBAu|zVofJ}qA~!;1K=TP ze+)k=mJ|ZTlFD|NPVt-5X0@dFwZO7>Q%icPEBInb=d0HuM) zHECF8sH-e+>^Q6xnd-b%Jp^lI;pykT+b#Cs8bMf!tF{LHxR_E|KygGOU4Vsbct!}8 z%EAFC0*SK$LLU?>N@Zb(B!k56#1&~iAg##+kZmH^0V;sr%P5~7)u>C_2wO-F`1H<- zp06|GYI8>rlWIB#O|IGE#+vh5TXo4N5+3(qafHX(VUui}RP&RK96%cTay`oZ=U{*o zN0<(XaQPgg$_aoO0|^`c^e~Bhpz+@Q@=tv5v)^!1)oxa7D#1-VrD}Hv4vlyzRP7FG zPIc3E*HtLr@cLZD1q+Ez>OiB6B_KnIF=%O;X#vkqR4qDb`a*M7wYw$eR+~;96q<{w z-C>Z21}(e8ury0wv>hDHEK=o0Y1U>r#aWgjF2XwHQvk8+P$_3sYn`M*{b3D|Y0Y8@ zG1OaHNl_R#%0HL9Yo%;z`mH4t8Y*T9iBuM|>Bg0~E9NANX?3#GBgUT?1Y&i&5AkkKQ9L= zFDvHYe+HLK4_hgU+1XW(;C<-@i((Fc6`pN>*~JGAf0azE-E|kmOb;DqfvLWp)!F#; z{I?ALXN(@LYo1iMs=gjPD)^cQ=v-_{$|Nua)Mc;ph95n6=>9N2KFZ~w2agIHG&Z#) z)M>?b)U1NXWc*x8!RWyw5I=_we(g6NGpUJy~a$h{*g$J_!XX4HfErT4f8*cOwafgGO%ok1KbNN zNrR)Ohx`ih9iCW`L~gk|y5z_FJW+2|F5SZ(1LS+jui|>&0gH(UAg%0uV{g4bEz9-i!0F zzBt(IUz~>z{KdhS*S$Cp@)w66^NWMM@{7aGTX=D>Onz~=nO+>6LwRwyeI23to3D>7 zQMW|hGy=U9vZs@EP}CvWFVK+du+e7~F4t0;jQvp;l@!8JXGY(976K(*E8F2QthZNu z7TWRGt1Ffg0!R*lx_@;*)+ik3bn|+1wJwEY2-N+#9mmHHmN(ajt97Ql+~9IbpuOgM zoYL)^)zv0fy4QO!Y3B2XK)*vi;AV5Rffj1lzgb>wph4pLAyD_{cAWoaceTms{>}Po zQ?4HZb^m((8=P;x|C{aAri{^nK;3`vgXPoFGJ7jr>#yaTuYGot^yR(#*uulv{6TEu zw{DwQYLi+1?qjhnoS9Yyzdw1f;_iLP3vYd^O#OQJmgn9jQ0f?0Lr1ZtW}2X9rBBJV z1&4FQfEd8i58pzsMgC7mlHD5|kiAHMTF?|k^e^Kw0MX%Bf`O|mUi1m!~H0%qS8`qy*r z%D7xR;jy2VyYQ^-p6D%_cUQP8ucPhCD|^}B6~-<<^})aX{`bEUT5~5mZmGMhI1MGv zCO9!Rn*nz=^^^-T{F0>75zPkhZAyWg=D-EvpVGB~`GOw`SFs36?9wDr*3jZQp!skB zt0dhzmkb2J=f0E9b5&{9mLOF0@v+KT$5MZ_(t}+1A-**&AnT>^%uvQHFcE^`_qb(s#eRNm1kd z`nUWiU;4uz5!o7<3hCG%<2Ya_$KlKH=td@EN~mPRBo9cgNa%?V1HvVVluQs@1jN-4 zBn04$y!v)9&(@eAa5wOw86@t7cMm!u1nI>2IpSY{p(%*Ovm$jukQ%QE0vn8TgnruW zjRzqF#WS-*Z;uR$MG)a}ImeSRT4;p_4;LjdFyKTP4bHk3Uo}n($kOVMT<^2%@ZfZ6PQXNml2~#NPr5FH+zivOtV?~lV5?+i)9!-76{MFPmXkm1o|e~%E^56 z6^<5nQL|aT=AJx^d@x8q*RPqL-Yd{6DsM9q7Z^(}LAfr1hfZKjs~B98Umv ziQ^&A?~nsu<{fez&%6p%cjQ63I37Ec{gHRcaXj-XC5}Il$3Wfz1|nTB@+u{chd@35 z8plg49ccx9ygYEfufJB?#J6h?+_$Lf0POC8J2{MyG-*Z$Q9e>AE{v*=W>i{~kAw?O ztF2rU2F1r{VRH-a+`2Oyn-?vP%e4i^qQy%LufhH$hWD&X$&pA#re!^b37Pg)*{kJC z+M~xj%j0BL=+VCKV}9@to@V$vViKZwwCoEnX1N;*kIp!CRMEcPTl(VB0+RtZgH-|< zh*%ue~IibuOza>6~l5fBS#938$;@o1M@ z6oe=Un$a6OBiR+{9g(?ih&v(brM{HI7(7(AY69$=w{O8S%{g^Al^EudY?=6@DG9Ei z^e{dbhQDOe@MD1*M-AR9p5gCzefppK17G{05mb-DfCB=7s$pOLO!Y_5=WodJF%DuA z6=Yb6f*0iVAW; z%$}Bb9@qkQ-V{7Xst!N1N5C(E6KB`RSQwqY|Y-m&yV#@OmHP|mgw(G?|sU@6-^yn8g0dn$`!#HV3V z6o8ye(14=9CzA$3S**R+p#_AHY*q(k8x+pL(_i8zDHP}ZyZA{8?dgQ2E}c)f3pgdD zihzp&YK|WfkHL>h`%A-dI=*bj{#_T8{yZL5z4lpYH#P{yz5Cb#oI7H$x`}Mv*5u>Tt8)tgwEkBm&{k>oJ4ZrraQQJ}qGSBoHAsHsH2#X@I2*|gJUu<7lZ%Pwf zZ?}RGLl>u|TSJgW$pt~bq{Ib>%>s&2;&Wl6BqWeV$pwuf8;9Ow2ze(+o#%o_9N8*S z80_5%@_BT@?FwTG)ZNAKg-(zfuL&~qrw%kI8#+Ngu`alSrBQ_k5{N1|E1M^JY)sRs zo~UF}s#UVSv%d@gzFaZ?7df{0l)vZa_$EHhk!jp)fuK_!>9F<;Z zvAXGn9yEpEO(_~o3}xLRXOIRd!wV%O%1`$Ew#v`F+f_>QmLF3}f9t0|`yW1ks*9s| z$`&!JPi0EUlp?~7HRD_M=%A;d^M)128fzU6wZ#dW+=R1VcOQVo=LO0 z4~E|kLEZ%y+@Z$wc}~>QHP7k) z-n)O9&0R3!eQjB`Lz6Hph%GU*QNY9ID{D6QYkuG6=ib#*1bI`Jziied0?l!XJaOkL zW+StU;4JxDnJI$s18=0*O>w>4nYxeq7m|19yG!=Ko*SHA`1vmUd@sBMOu<0C zv{nZF2xaPTd(CtVp)K6OdKvU%-gmN@gpDTSxQ5$iF8;BP`uU%I)rYlmqE69u<7+c@ zfwuQ)+beW+igmHC%x!nU{pP4(-YrUcYEwf{f+rix4bK@lP6PtTqS|zU5R|aW=XbZU z+!(j5ncEPg@%2Nq{3c0bOakCgGZ^0xr15pZ9cHWI&@h#NKB!F%K^osCi0MI+klihO zN<#>Wi)QDz1(y;GB_?BIkN&E~`1+Dtq`oB?jPXcJftQn%B#BG5;o<0BFrMEyxFktj zvQ0`O8T8=jqE+oYIV3@x1XNy)yCbccZ;v?%xFYOYf6SAnU(N4WIz_)(S>ek5bySCb znKROK3goZRMmor@=w7J;r#rkvlN?8I9v8Zc^QwfCbQeNs;Yk!hdZZT{DHdtX^`cvW zHcqrp*eh_2Ru2SZ?QgiNc{#K|t-;Yu(Omn(eOrg4nWDDuWTWHt&5U#G&#e;=&bvjl zvAG51u5OX~1=6ypAG4V+#gLb$i}2a6{-SSxG3qGlQoD=bVP(YUV72W7P#U2o*y8gY z0fRM2&Y3+x6ltv(@gw=s3Fge+c0<|-81y}mB3i<+gTXt=@p+p`$O?s8-w@34c?9xH z5J_~0F7des^6&r*NE9pP_#8<^i_bS4*-)=qTm=7pj+o>i2~7-tFwZEK6c@oITXKM- z0Q%&BxCk!UvI89B+W+P#o5tOV>;U-v``=R9RD8|I4uC1|as?MB;r5+`JIfW!@H1!@Tmhc&GkPON)cF_x=4X8DG8C}l zuN_@uXl#_Fk&9hwT*1rbOQ8#<0-rvi4t18_Z(_XIfInYkJ~T?*N{1?qHbf!jg>TUEXZQUUvl zN0itlnF+M1*U+1wC}5XFeTbk-07KA=uFdH770ihivhCog^P_iDB#HR1Ke-mNT@wAJ z+U~gqb77IZk+Re%r&6=4DzjES-N@0D{l%N{a*kD3!!%e=!}`CSij__O&i}osOzg*% z(ySBrQ2k%%$8Nm6>dOrD;nSGvv?!;52CpK6&Z)m3clme>>)mh`^cJ3#3k4Rl^d_?P zP++(XYRMNmT`+bSBu`T=Reqkxr3#QU!R6DtEOqJ?Tq&avoT1zpW%z4$Lb*}2c<3{h z7u{(u;ECnObmzzYwRiuk52LuG3-!8l3o>ZYO9vpG74;Xde9@h302kefp+<1Iijt-C zYP$hvD7q6$7Dc9BC8pn-4=J@Vf!lyYcPnPyX&7tZkB%GqTjkJ2ckVbOg4!4*Avk+Q zFzZf2dVs}-q8n-L$+{CMC>nxmG-7H+#2hkdy@w=b%J-LDbm#7{BIO*{1gS?k%aa;W zRhhYt=w8-sgLb2TS+^t4L&ElkS5`x_yWell+1G@Ae_%tz8NFzulsPgSImn z6KvAc%J4$Eah@`j_$DbszNV>~e#64jJdHF^c$dP`-4&MR-J;%TT(U;DGYI>?`_2FR zv!4sYt0_Fs8d*XQy*&~+une>`*n)l46_#Mr(54Eb253CyG}5SrV6I#omf$6)J%x8< z#NQ!E!|R8J$Ie)UWuOiFRZA%*7naZ{t1KI28qp9VR!sS4kpIG_am6i&b^Ah0E-ayj zW?i}n*)(+$E9SzIQI=rE4Ss;`97|#8u!5`{d&@FdzM7Nfv>B!LL2Y0)plbcrW*>88 z(RK@?rVAJ@%{DTg)p2RMsE=6WLixW~FFxh(M-Ci<@`)1D*7P#`>AX1=T6$a@QDWUJ z1m=>gDdt27s6*?Gh$ZmrVp3_NBgc%3n}9g%$p#h)a$6V4#269@a!qh@42cA} zCU}?{Ln1-0A9`vGi3GVWI5~wxf?StGxkXZm=yVx!pbL?hpQR$ik-9Z}Bx+24n$b;SPUBS5Sth3m^Vk1!mhGqTOn=93tciNBd$?n@yl<#&m4pNc3~ zALMJ4<+a#|*=fC5%l8o)OCrDLul@Dk`X5Dfp`qR|t%q6dnp->aMJ<`In$~m1o|9?4 zHCL3h-hd4!r}b>`ykzSDBCChaX+4X8n5|oJB3(JhoYuoU1LXihGH#k$62)MgBUaoB z{eM}pr1kcDbj(QpZ-MGWJ#;OJ0Iny|1z9lqlPqaHk zS47UQ7|+WvT>_JrFff^Si+U4tNdn$Z67VBF^H2S!-xSCN4dgvAv9KN~y(4TXjOuo? zoJN-~$)G_&K*IWTSWW`ArhaDHdcl$e+;3O{L$+|WGDKKp;&Ku&5|tZ}j+Fe`8)T58 zUreMGv0|TA%t^qORs=nWAs6D%ISB}qoN`J7i`}L6fJb0p;>X5xM4~2$+FoOqoCGxF zf=jkkB~e~|s5WJ7@^)q^+C%@OLa2Gm_x6n;)XzNs z)1UZ3r$Q+7r?ST#0nbQeR6?i*78}7aNYcolP?K>?389?J6jc{+GUWh4VZ9znG%i!* zV+Me>5<)dDQ?x1dVN5|rG%i!5Ybq$?q=KT(QN{9#<}z(P{-^<5xJ=6cGoZZ+I7_w@ z06|Q4R8W{OOn8K+hs*SWRk&akJ}{bKufFf^{Lq&~m@-~JX+g!|6sIm=!(ubR6t-7K z*t7o1Kw(?YOcq*l{UpW;!&}$teymvPCsPc#xqdRqfScQp4O=Eq z#yDW6xVZmD1!>SQ=S>CGfDW%dE)b)1g1ieZ2>vhDJFwy!Z6lo^Z-5J;G+`?(18X(X*>;u@e<##K8FZ zE^M)FUXqMK&njyqFiBwx&23Xz_mL!AEm6$lsBtu_+?!GIWgWzy-zSw0vDf3o@?+_c zpZgns_j^uPl=o{wKS(2v8SwJfSr)>SlIJ9#570O)c@s3uc7iz_5^Z~{C5aAG8PwQf zEpL+gKR}+?35pMBf(Ni{tKwX(pd#vuH64P%3vw|da}rBBWW547S1ZcoSS;r#KA;^M z%^xg1Q+urk=U6Ms=(CZNJfe@dW>~r=JG`)X#Bgww)UkLycxkdjW4Sf%tz9Mh%{i$O zRiC}$E6Nk<@lsK~RFo@A$`A`l4gLX7Mq)bUOcQgdDBn&+`Nx0Zd;k7-eq`e-JC&*d z%~r)-+5|RB1_Y@)N03X!G!iRfraf!WNZofjtmsJEP+&SqT%3ZpXA&lMxrMDnTS*9( zRLx?Awi6mv^rLGW-qWR+Qr;75L?njnw^sdUkhkHPa>;r0zS`mg;FrMcEDkF4G-@AtKq9#sK3-pJ~t^ zJSse74>Aj>n*0Y36SX_$99Mjp%Xp0#0+oWSO}sV9M>cM5EbeiULi7IM5!l>Y9ESA^ z)jPMJ2-&+;~8;A(@_U%v)X&V}Py>j3VqGTOsdiYXUle4qy1n zm~ag!V0j!dN&`?peIl;F^+2LMN}_eDmrRfN)p5Bnw-L|!;OOZYzXER$;gWD07b_zW zk1LYMryU(#()uET_n}YM%Fv%CiM7Q0$M9~$LZmfQI`Rs^`$kg@BhR=r>-(C(elRHk zi1>1aspa)olB80TTr4pyL9Xb?7P#_z<^<9e8gAI7j`s#L)$0|_dv7qcIlnj99HcqR zdxI&?Bkv9V>hBFdKGIx)yah2Sx^3!PaP518J@R|Q&Gg=2{qo*$`*GfziMi2(F;+5` zDv?pF_`s&HnsbVC;sLk<%t1~&$mFQHLnd?JoMKKLp|!bM-+LX#Qt@#~bB~$c&iiva z0{1oM6ys5d{V{xsiKvzbfI!`!+i8E9vz7M89OiT8Cd*i_v>e86Wo{0gR-8|VuW`DZ z&FX5C=SE}9@&IDZKAJLL1c#P|IHO^$+l?0aeCdn#EmfTJUw8{@&eCLx=f)P+oQVEw-Tftkk1S6^$eL8SF!MFubs#{S!J5Mm8 zmVqoER3#=|^(*ECW6MN>;u*7{>p6;FH^@Y;Wr$0;7|~OH=mWW(VWmLMMW!*jHu@E! ztDIoOSQyMp5J7;#I>C})+$}l0MN*Ng0oJRutfWbP8%7!FEa!PkkLWF#bKlr#=rZ}= z+NVdW_BV|>2KA86|FIS794W*c$@M{qP`c^4eTM6ki#7b*oj|uqiK!V<2o}T*)|-d0 zVof9jybrVm^;vKY^-K~2d*P|luURh{Xr`Osp^8gl8mtC|fNsMLu9E42zQQa=l5OLG z2IZz!=i;f-P{r2-9KurOn6uHZnI36`KN~zvydt2o2S-oO^c6^zIBy8g^l}B3xcW>B zB+L^@VAy6S6j>hDY$_{HzlJJyp4MFj?`_FGw0i9ts+c6oh@3GDNf1L+Ju>g1hJ)?d z@CW`-<8SsT!@q8y{GrC*&UmP?Rz_HJGdfhh1$vpRg(MvWc~fwtKYX=!lDYYNw#Wb|LYaNq6+`0d24RY@VCUJimm3S)#(%u9<>%C zd^AQtxPQRGxUUy~WyY)h^(o<2h00?ZB*6P{u2NKS1rKBg=ZbC^dht33L+HPo(*i$D ziVeuwvfFFQ4LdZ3OG#n19&kKd)eTc8kj@nlTK$^Y4cjgDI~miBxWcBwB-gIEVT&y% z(!jY|k?*TISH%rGtfU>DlE7AVlEn?%??7a%Yl2z5mlOc2xnXFE0d`H@Ft#?9UrD%< z{P)}g_vMmEuy>N>9xd1KW+k#Yb^zW$A;0(Nq-)m-4RENMd*^Qf{+8YXY}s1?-z>8V zW7D5(eso^Ucnh#+{I$IW{Oe2n7QETh9fQ|^(^=usg~Om(-sCVyZ&-2|R+9EjJAg;B zbb&yiSa{hE4{4AUKG#Eg+^jIpH>Y9Yz~LoH7rgGWqOu+5F9nC#RF5ux4)|lp^5*6E z`ljD+;Gw~5xl<4AakIk6svpt=!>n*|Y1MaHEJ^phS;5nyFI9f9ys3{@bG+cMCdZ4d zh)6kOx+E5Z(?)N0ADi^ya4YxF?fiDQnU~UJvma=-)5Tnzzdgf5UL*^P-0anF>eBt< z$9>tWKI=nTN=-*oczd}Is=yQxhQc#u4y#({z>(>h!+TIVO9}$xGzL>Yc$@vuBtaH4 zwO@NfwtWTDN4LBQg5_S)3dp?=#)I%#a6zOpGy%5TfIme{kFPjO`Aa@Wy^@>G9G;Ug z(j(-8T`kwuV%=kv>Uv$?p3=+A;bjS#mr~0Kg(TadkZ7)AOLD2L@blVd#Z&Sp?LM}E zrEXCpgjcz2RNniFDGN<8dm;u^%8f|l?Q}zLm03)FCe%H7d4`H#`Q588fAP09y`nx^ zpQ=BjHF|D>R}T>?K?pDNzbF0NYFi5 zel!Hb90hn=GLe7hiiyg$S9^@5a^$fEv9e%lju`jc-=~tK#{^D8cSASSRB;y_0(QbQ zIWl=ltCDUMB-4|OH2FbCXC46B9^Cx&C|?0#rsx~=0!PCG|7mVk$N`_o<3{*mD1CEg(;gT`7oAR3cjsgQF@%OFjExyewC!*O_K8;Qp7;F z7In3UbP#UIGjm%H;GA^^59w5(CH?IYC;$v~Vl+x0nZei;M_VG16j^kU$pEh-flrD| z1fP=k=c5#sa1iJT947C7BGAM6=G-?R6?k^i{>ZJD$Vj%+@%dKACgZe%hLO~IUare5 z4UZP6zB$@e=st19pDJZKvXKzBw`nMg?%7bbR1>%K6K7I)7FwVpEbX z&9S+U!a|OY@GY=K5ojT(1JcpsE>;CtP4qx(u$h)Dna}#9H^2G^KjM@FzMt@`Q^u5?WAs_a$yk%y zGVLxWujLs43$CY5O->79qzUHKyi=R_8H~=I4Pdbw3D2Dx@pfhg(z2B2Z-#^WKI}vi^Y1s zd)l9^;26x`5|0vmc!M?5^9z3#p|*Iw%e{@GO`dOhfQim$tp)xOkT*4yg6Er_U|__L zNEcEPO`x?hG0UHg2L(ldoJzuGevGhri;odj%a0K^D{7=XM(~Uu>oJ;eW6{4*CiF2Q zGMR1R2)~R1M&S$n)5(ny_)d01tKX-7a`KzSt<3v(eqT2da8Te7gh$?=Pe(pJx0Bxl zHoRlXcLQ{=DsJSUKvLaEY4}LX0hnCM54fDk@=5Ra!fQVZk%IC?g`kLAnXdmty<^}; z3&JDsf5MH%`R4miRQ_avL`neV2g|oZlX>o4-q&JN+r+mhPBgz2-xBuiZY*mvxRvh4 z4mYzK3wJwhmdsxB9GlnaIW?$G_=qhN+XUUkJSEo_yv`TBxUmbk;K;j*A3po8+@#JD z0m9^6;nEKg6yVPD-d`Z!%p-r^Z~pwJ{LT*zH&*)Hygp@pT|j-Vwy?zTPIqfgPbU0R z8{^xFb&KQrA<)002AD!fF*glJyNS$B#ITq32?zZKFFal$fQ5CxUnWk zMl;DKa(XgXJfw3JH`Z6g#05F@@pyEAwtj_+5jWNaS8VlTWWPmmth;J)(p>Pk-Qyf7 zxU^wuaWM+{Hc5bdO;WDE{*a|v6P{dJdSRU2r^$~bF&-J1FC>^Cbm-2pm- zraOa=v@6%-sGTPgo`j?@DTMrFzh=HayTj42&b$4vF*4tvE%K~VKtxL~@xJ9D%FUJ_ zog+C0j*juK@)X6boaOk5JC8IbU2XlGK_d>F%7Bpu7a>?AcJs{owRv@2z2bl!1*}0u z_64gaSp7iv0(CF&qP4Slv{(NzLWr?X<6#Ayc|lWg44Sxq$vYEoFL?(8uAL5142yl% zgy+Y0=X!whxmi)1Z%#wP`-4u%7u;n9k@hV93t#c%-7wf{Svl~bB@KW+HDGO0xe>pm z#9!W}R?Oc-xzW@{_$8zDKw7U{53EU|@#SgnTp^xVo}zhqnu%|E1mG84gRfClMaxnwN_*q*`|pwtJNEt?69?;_ewlse}` z7j>1*gb}Av|85z07s2$9z=|4z0!1p0s40TgOrUK<42n@&S4pX%nW8>ogs2)b;d$%U zlvv(XI&gr0SHKkI9DKm2V#I-kn;BczXH2v6bU*r?u_&YMDy{8jL69BdF38%l7IS<`}_j-hq!& z-Jz(bK6n@Hm-c_s!8^`3N6Ty{DPTQq5D09CY=?T4%um{sP}QTw4>b14k9pO*f9s2( zZ51WrPPt)5sLV-o0W8>dbx1fk~@EFFS; zMO?7y@7)FyoV!Ddwr!GoOl;q&}J?vluHDr*H$b!=`cmm^NOOA`C07runo z-AmFIy5x3uRCEDwbv7}^zGB`s-yRrE%np$A#2A&b=1ILA+Sef{IU(xlP)doCQ`PAh zYIll5|0SU@wXFITZn1sHidL6~TWlYmpn8V7EUg5|8NQ4qIS-;B<|?UYzPrsCz7Ok$ zOly!b%yoC|K|kS--q_upxBQs9`&VD{uYK1KpBg-Z5l?)_S#BTB>JWF=&|}fDMc7YQ znh|%m3BsMDN~bF2Y&!xR_J3 z8ygqVso9O-pjXV?ZZXZY38qq|B07SO3C?@DnhY6xW)Dc80IV?c#Yl=%nJJa7p4kG5 zg%AV9BXF0&I;J&GDofQ&4uiTzVXA72WP)y2j-gIrX8*FO+D^u_qQ?JCYjdQn9>B8( zp@ARbyE!YzfhavJLFQb+g=zD=T!+}{q#pUMCiN)zFvTKo@3}LpFP>1T!&7cZWlfv- z=3Gr`Z^5~m^fEu?GC$=5V}8n;|Kfl6`ZMOwZ}9?(haHLhoWR=Sduc))D_`UU)?{8P z=g~>-DV7amAjW;^#@|@o#hfyvHmS%VZ;cnLmFDmraK-S!KS#X_N11GSVn7tZxv* zJhdtNZ~NG9y85 zs%KMA=8jb|iPlQAU9P35oLRqA}5^rUOtmcSp~+Ct#r{Zw!u}?@z;pZoy<=#?XGGlbTue;4p$xWx6yyX&}6& zI8hDI9s9(S?l!0kaL4?gin*$`Edy!l!eiCgh>PM=UX`EYo3T%nn2x6|P`t;2;&o6m zR-*SeQPukRo*N|1h{3eFI&1l{bkk41_W4(TUx08lZ#BsGLqS7dGCRLFqVq@;QyJLS zGf+k3m4+Zt9DP2Ux}XUn9VPzU4jQm$Ubf6M0WmI3j-&WOM4;pot{i&=NGwqb@Nwk$xfzd4GP&Go_sb2MP5g4 z9IrBq18fNzn^R#=%up^2c7EaEYFT)_q}E&Ii(AxJE>~Aeu`5Jhtrz#6l}cUSpHE71 zt9o50g~5Ejd=B#d+)n%Bd^2nVndfQPvM7hxp8-p3>5t=eM9u+5RrSsi)tB_j-KAGd zvz$=wz4pD#Jh`2jC*S>Z|I%0d!YMiebX z-C+g0ERX6!Xzd@1aIhmdB>;p~m0rQASTz`{WNb{&qHV zusp$7wtuLxmOs?|cqb3_g*iBULiA}}n1fTF9O()QbMmQaB^TykkIgP^V`-8Fe+-Fu zS{D|#-t*3fFFY?TSJDy31}y2mC5;s&GvOfI{=Tn>ti2*scT1EHIzh=! z*oxM%zr~@qHmfaG6o22Bg#SpS86~A>z8qWpeV5#$jw4aYZs8N{(wb5av!k=QUR*eg zBqoz_T~kVBCV51=hTu*C``u}-F0Gkw5Bdbje}(hJ9oNjGOU`r5np@_PhC5>R?@XYo zdR~Kifrb?40#@e)5p!e)fre-JMoPOEc*xx&~M3&^1(IE*{UwoP<&Fwc&C{B5vAAFEl_7b3so*0(A3nz zK_xgrrK0dvNKay$2@_%!JKm$VdoFQc<|pGL=O+ZLci z7!ju{F4nyhn_bR5{3>Z-+F?Slro>{!>~ccP^erZ3^)6a=IS*jQmHI5s0X5?4%Vn1n zDQnElL*5RDHh^Osy11O^7S(1Wxa5Ol7nc)L{zwi1($lS51z&Tv(TS#DAS2wVtnt@DV6KB0ex^rWeevo{eP}L9}{S zpD??6V5MXKIaCi0tqRu;hGal?^^RbK5q+{-ZwK&|?CNb72NJn#u_6b)=R==VJVRZh zsv<$sL{aEpGak+U;s}r&WXe}B`3M=;Eh8S*;wtl_p_&DxMRu_0%VvM6YXbs<$h1Qy zb~F5|K8l5^D|~E&9(b{mu|JR?xuu*AVIlBX@@dHDD>gCq$N7?Cf_V;3C1(%*IsTp6 z!&pv!oq&=^!~LB>N#=sk?43HH$i_6y$N!e^{g6+Ko<~(#u4znxA*FW&5|!yPus8O} zt(-Ih9u*)p`d8~c>D5bmsrXmu=rchuLw15WrH8`2QKq9sLW4>>D=3icUm-WB>=|32 z2vm24VD_&-QlkFV7SBk3j@iH3a^$PHIOsX=4_*8#Fo3u(1Rt+nb@8vZ%MJ66n*6Ij zYj$rS4^;k1=h z@LrLKlP?#ZMKj&g1rm2VkhpLC%-#KujuM#~X79hzt>rV|#N%KY;L_la!(agPVqaN_ z%mp#48vtAw-s9fql!st=?$8UHJu5(j!~tX7tr(s=1Th~Rwu*;dtouWU=f0$=S84#j z%B}S$4jrC5E(XKtb;bSK2D*e`c%j zYFF1&+$*|Hjy76`#7%s&`;p?fRT({ngPtW1Hr?~m1rN-w;_igi8{o)$cOT)xIqv>r zn_0ku3h*3e_MCJq*sM!B8dmu%zQj2k0_U4)dzMewfPtAlFWg8(%Ck%iYgoVXt$+NP zbJDqMYt#!@zJwc4Qd)W5Y`|Pv9q$rufZhb^k$yK*p;a)48$jbSOG`m^$yC7{Zh*8} zeSS>*-S<&M2{+K_2*raYQ%s5KSIprCU;OQV3G(HNi%=jgCLforEB@o(mq(ku2TYV{FdU zdM?Pua%g6@ph@?3IY(-}5Im5EkAiChSjC~K^;|HhGB)sGyQ>zh*CZQP29&<0V`53| zlQ4GFM3%9%#7&YE*uO~zTL$Wenz%`l`R)W=27dGY?kMcv)(pA~n6ds>7eA?8QKQS) zuYAsmE`oSL@~JL))T#39cv%j9PN|H$q`q$O#=)#;y_o5W@-5neqeRkM?91sNBZd9@ z@3}gXr35z<2>mK~(u&YBs13L=g}3bf2y7k`*2N8w|Er?jJua5Z{^XWujOar}##y=K zyGO?5-LC47v4xQ_HUlL?@*E2fr7WH=gk|4XC1lgbYD_`&(zjmtNg!(h*OQ7I{+I6jy zC_#<+Vi?hko-`300dnUE5I3C#CnTRxKxSpILAPN-O9Z%(HZx$z!QtD-YdHeM^bh5l z1l_-p7kkL0rENdQ?E&ql5>u{0O5DYiIReDcUu9+h^x6vgv0{z@k&@$dU_@*Coe?gC zV2J>aaH^#K4&aZ0H0?Ou$IFT7O zf1@rgz>DwvviCgqwzpi_Kwd9!U20?`-)!w(!%`$&4;xvgAEDA)vZ9%bdPb@SfwAD_%%2HCx&Xdn^e13gOn>O8k#R-C%Ym9{ zzzZcVfG-KmGch?lHip}DKcu8Xn&kR`sRfY44u)lpvlJJ=B~i~|%NJpNj{bGYS%zI~ zY_aOP5geYneQj|}zecDZ)>=MgAAp~`uV>5X`eQ-7eDiSsFfDC=$|u8PPq9ker&X3B zti#kUg)(}M$&cyg#6HPF*>&pG!4i*IC_A-$A8JxZzV<)+)SrEAuOn}aBbY4ahmJ() zeYLasS8H;*<>I%7N&1?fsQQPF1dafR1gZKXcTBu)DdvZcJOK{~9eDyC5IPcv#vE-a z<^e{*sWIiyNk`&p)<^P{kXq*e=A2G4;|MUM5U>eKA~Z#cv#yzO1bcw}Owx&c?8jr$ zmJhrCmbZa8XqrtvsQMaeQ`J=05pH(MQWqHumUNj$XYxeIO!OF&xPw-SLj~>4ez#;wASHW_52UBOP0(V>yI#x<`;}y6OvU*Xc zer%AfUC&m3T?NjG7;F(L$?*ytSVjkRwS0G?0yjojp9`c>fg2;NFZZWa;83;hAB}4& zaEApcy2{UaO$Bc682EJU`4&Y5?%^; zj`QeKW0wltE2jcCBfCdG-SG$~Ox&1D|AlY*CqCwrKCGcYH1X~>$kXuT&)0&+3LPz? zJ|baHE$4!>D<8<7P`{&=f`++%#a#J-O1Zv7lG3DjFD6PP8T&R_BB6rwiw-N=ZP_K zJ>XD7S*xZT)=MOMD7h!BFCz|5YHan;$L8+pH^Ts+g$bT0QH4J!l=#>FuZqp{_*Hg% z;I5t*@+$Tr=0JAAN4I%8pVGJc2(CDnN%&yq^a6tV(w~EIUAWVMR6f<8^SHoanLHBx zL9{KU&+^3RTuclDP&)08j-G@vx}{hz=82S4Q$2|y~7a2O2DhX&z`L77Bq z@hEDHumwa4kXfmnx&iwoChK%7Qis_3&wwmMr#WCQ^7MQNHN~hF>=uJk4i$^92&Ql) zDgeTrdGT~HCv71ugcuFAEO#;=LJx(B^3_a^n?9HEe>)4;ZBlB8222^R%Y2A)+JwZ1 z3}eSu+k`h4SKy}Eu2b3lm!Np?g@+$_&o4*uK%LTIq|tafr@L(SOK1WKAdtbY9;yxj zYfdkkYtZU~NCQKrdZ)KU8YKB3P8fNQL1%rAG$M9E&Zm!El1l>3B4cu(V!$h)*)BUP zsKFd*>{nahw^_MdE`fK-?zRpAYZrrA!zzfb#eT&aX-st?l`b1pVy|yQDj2KDJ|yj) zu=ruJ5vfEP=%&2B6G`yqe(gYwX@?OAsD}8me1nYa710Y(aOLMbsj*diIwkvwW=ZP9 zq~6cvX3=AfaYV^Cq-GBVY=4?JVA<8V{~ODUeKNIfsimgQBgF)?RYgCEZc1T^0Pb@f z)5jrj#?NYCeB3xhFj6%RDLe}RQPnu41|AF^3aTA(SmE=7K;2*7`_Sd`cE=_)eNydc z=RCl_AgWv7UMZP?z$JaVyZ3|xml?}Tg2`UO8zh+C``XX>7k@dRwN{LQ0*taGerY9c zgCE#5<+#IWtt1p2;zqK=2xZR<2DCZGLQFY~z{ofm6efxl_fEN7+z$*gsFh=I;~cZY z7-UcY!BmG))xNJ7xEkce72O&u@|kc!f?uV>X}?6bOLvZ`W{f=?tvfbHt>S8?2B{TX z4JuIAPf|-YV;uc4Mya{t6$RB4Yx|&ITag&k8C|pSUsa7cU1WdpZkaI^JEjQrAm{eh z0V@sh`in1prgjtJE0E;UiPJ< z=I%Ob=G~&cCUWVhxt)%hzx**@^#@-Ub*1r<&u9bT^dxwOm<0^G2HcVjR< z1lQ8tQ3R!UZiCBqOJGo?*>kbxjHe>VXwO$PCT(+b2~>gsIZTSXsrWT8qztQ3{RSUQB%c1QDRkm(?}zI zbEFBSGfZ=gm#;Q3j1L+^Ek>dZ8&CPidGSh|6whZ0qgsYyU)fKIe&Nl+fNybg6#bz; zdW;@Bpa#}I7>fQdNpNS_=x$8UP}ZWfrl3DuQfrfVhL#HlspwaDe8n-c9j|N#2qMz8 z&zMbXrU&>6Sqk)R@!>$X8GOR@aA3{!1YgDTwq21HIZ2-R7|Fb`c#N=_A0uqu;$wu> z`p1ZW^7iPl#|V?jZu%Ha%o-yF=Ps415W-G#{PZ{rx(>j7rrI3qw>b!wdTt8>AG-w! zF(@AN#vw{K>73dcLf%qaL@5uhPd@+Ym{?#!L65PL$=7i@09`;e=H(>l4a#`TI$LK_ zBZSb@{PFuip!|Na9S2-*t`N?p11_&b*#gSThyUKQ5UBfeJMF)_!fTcGm)T+Y_}%rd zYffN|^UXPd_4aCAasm*j$FDg7{9yTXlrgr|HWBq>=eCJ&*GLgy2ID~*zmHdI)KGkL zjc-vVM!2=$x5Lf66lSWW%@XjpnPIK(C(w}eU0YDn1icP;joj?j>2}RoJzJ8*8hTr^oRS%MGWFBb%^r{G4S(py_iG znYl?g0Wb+`)Rju=v1f+$tm}FMaC=Sp%7bHvj-)Gc1bqu^Ng_M>6ZY6nC6Qao6-Yxt zYv%D$C5hbFpLASqJ;{T3du+E`uCj^RQf_*_mEB0^Y%z zDRjp5>^WJ3IS_QYm7|}u?V64lmS`h_ZKJXcYl;9?cIxT|k#&$y^HU*r!oJ};wf`4M z>r0#N?ep2Da%_5)QI3_cTfZf&v}~4mM{M6nBt?9_WsLk@R^IoEMX!j{In)jq-F&+Y z_497grCgxgDRXYoIqVzn`m}%HXTRwTaM)#tGkU>bereGyTtKe|{0_-IT!uJ5XT2Ol zFF_rFrG0;T$QHc<-6sPKlCSYn^`eLOVl@*tF8#k!q&^kTl~SLQ+s&zK1ZtN) zlt=(UXes7gU2wZPa(F%Hc+s$@kxU3O=jwuuPY@M5tAvFhT{IU= zWFFFejz}g1d8b_Pup~7#gN`+_kli`*7P}wR`$5@fkRuj~=1Xk;Y6Hcg` zT`)0pNWWt_$AsV_hY2f$Jjo3h7rT>82rfu2C3u7+eV1O6(Uu<_d0xbV5|<$|?Ip|I zIc~Il;sC<`%1&}JF6*+Zr7|5&f1yujgSfa${!i8MI679o8`&fAsC&7|K+Ax4wWCqYXF9>3-|zf*6iQ&IPRC zuEj(`MX{LM2=$x`SUcop1V95ZBZUjWWcGl#*pg-reHEMIV8vX(+M&iKRBxc3CnSee zVl$n!3j*B|YaE(g5PPGE55el_`as7)VF(E+w$a#rD5&~G$IaRZ>0-%Jz-l8M*$t+I zBT16Vw5{ng9eKWkQdcf79ydFZEwK*)?QPwamo5l`QdAOq)QaUvwW}KMZX8|eNIl+G zM>DE&bX9Cy?@&EDN4d_C2~|w#gqc`Gmy8V9(edmo#Ch@{L|davR4 zrf**XZth2Ie(kxR3pOV8oq9JHy;7W7k32latM;B@cBHJxPH5whlC8M8?l>Qyt9pO0 zxVi2)qb|Paow)4ghU2_kQ<)TBGrPHLXcbo+=sB`H6v6D~f(y>P!VNjTv*3-@lf2cc zOTszS?c0Hy%~36LWK^lm_Wv$}tqTgQ?+laeq;SMqH)m2za;vuA$0pja&JU$6?zt4u zpI)--dAI12ZhtcA_7DH0pZL|k8wGTAZTl#Mk${k0)5Gr__w47+hSBZ8l!S8k3>Y28 z7eY{Abc3;jISK)lA)2~Ha5%;yc`Iy;Qw~|MIt{$`45Qo1czq_EOREvL03n?B_@n{`1GkMFPIxDQ9&C3;I1$I-iKIS)kx=1M zM8Cx%I&$JE-GJBtzphWTVAZ^C0%Hg0`uTRrck6|M=H@^KYNQ z=I9(NC)pe)9Dww?Kf{@yQvA6^HpdBMezxl{sMmW6#ja0z3dOD?1BebR*kBEIOTMz$ z^}`xNWw{F+r0c5WWq%p)d(*M9%&Ef$-GT$Cd76Aovk$%bBi)_?F}Z~W271B~aqkDDVXnu%sdzkZ*1&N*)|?x68ZKkQazI59gN{iGK_+Zblq+v(J}M)sakYKvdS&<;n>jbsci z<6LgBmF}*g9T%g1cSik^+h%*lN;$6+KU+yo#-t>W!*Yd6dq1#y^5)D?tUCnv)z z#AuH^yWnilPd6xO9*TNjuC=T*)>(qZe*-TK)c$FdTbyJ!d_Zj+sfxN%4%sdv$ zOvjRf%~uw-{=fgTzkl;%eE{Z#ST&;nKq!wiS@QR$g6*q{v#8tL}Fa#7~kc|do z%Ob)?WK4Jkn~CF~ga|vBD7J8L921CTY%n1ZOh5*LL6}z@p?u$Z{A>Mx)!9}1-hEEr zZWpNM?7Dl^Usb!R*7IB6iU14*I;XfyBLKt2oT4&~01Ov%lF5_+lk!6zHy{g=lf>}N z=CV@&MzH4|ka}1Yq*mGUT>U^P0OL=2)RHY5v)kW11z^TE-7lnPVUZ4`xn3|K|n|6_B`D6 zQHHzT1!pQaNY4kJneLc@XOA!NY}zfFot>L;cHa1gumA9miJY_EjGnWDo>HVB$q@iV zd1F(uv%}s~{f*!roAAGU753NOVJ92JgRsH zoF`lhR;F~>F*z*`hcTGhBM2WRTT*n?BG*8{t<3zb4xJ4tzt$Cp`uQV|0R`4|Xba|& z7#P-`WXfZJZh60NN$KM(Qyzov26<@Z7s99N!Y`Dam|h+h6Hf8`pBhfj_1c!$R zA<7$XU~uIjnNwzZpfo&#$u9^dze{{L(qv~-s7$i~Bq6PcQzx*v(QbGIG2#WZCWf@t zfAahOnG`qaS*ITrq)4bSiaKElBm~f`=m#dm@P3 zc3YRCdUQcd%Ro0PSA;%o3y~Er7Y(=U%t7}MLczN4epHpICOL(76>Xtn!#y&MI#JQb zjwNb9iLDo_Owg}1lbI!o4`E`7Li2b{D}_hDnkb;;$uR<;?KW@53X-e(ocXC3hF-H& z$<)4d!ei6YalUz?0(w)=n&_YnS<$>IKTkBzWA-`fCTA-#d)`O1Oizi;iPhUntWLW{ zts$64OI>EP)F*!1&waw`^I*W@B2MctAH; zEvf`7V~VIm%C!hOmJxfI$X$ zRSmEadyws83mApcM$8ryZ)Da$y#xH6Feoi}1W`scai*nhl2#P|Pvj0VBRG-EBm@)^pXu8hCzqLR=WILgzwqt_ovPRO zu>bb+UL3QXWke6G5+RMqSeeITcq0$VCKEs8u#w{nlDVnr=U&D6Niko(L$R?r z$I5nUoX$XWYon#(=cxkGi_A|Nwa3M1Rv*MtUK!Z(ngIwZli3{XU;0xU^$X9wg;73@ zv>dYKQ|x{0N9n}lFkqF%3MV5aMmHHLX@$*3wzaAVdvDdMHYGh;Rg}b&Rb{n0oiJ*E z*(-o$tfFohVZ@nvf3dR>bz_ITzZ9;MRc68{S!GNG+{{ty{THw9$vg+7-C+{unML!= zqN^`_=d8A+xW{ z@`m72yE2ZeL#J?;5ab(O1a)Q++<5RGDB2|inF20?%IAuF*m`Znxe#Q9%msJL75Q8V zFmhB(2r_DQLF>r`dNT5}6QMy)Owa3C~YYUwEiX?cqvnl}}`vIm4#9Fq_f%j=Tp zKjrK|R_9hnbzzbu6>5=O9axlvzzufDlzjpJb!eg!X|hG;#V} zSnDvb4+cRlW~Z-gN0+(k`j$IvX?&VugQv*UEB2{b@k1Bb?pz|fe2FYY&*@!8^gK@~ zy8p`S(T1BY8YvFUndh59xqQaEe(hiU^*226#GR*~5}#aH``R)t_ygHngJ#6_njQI7 zteeZYC;K3C8TVu#WG>?#)=R==$*axb_jH@!e#;0cuYKmDFJf7freKs{Gsil0G^Lpy-kaJaNl z;`Wt=AufpSL3D7lJ#f3GON_TZ1gXMYa0`+$QHn9!Aq1(yTrgS~y8~v4)++{34=Ib) znm|2d;XrTJ9y)+}%zPX;(E{hVSsABl9GWW353QjBRs_6+h`#D#sKSci9w-ow1RFSwolP5Ns?iROJa%ZahI!AsVVLNYY|1i6D_=H?pSn#iup%{Q;aukG@}X2uHJKb%W4oF4av$VkC`E zKj*0WRy7-KY zihmOO3zjGCkBFwq(qj5Voh6lmdD$OX)hg-9_qSv@!B(4Ie1uE*b*Z^l=nf`ZZM{iY z&=DH$+<|WP28}3brWYRpjd*T%A8o+*|3|;^^*n4?8-Y5ZvtyZ5M)kD9VaJFJMLVRAV}{BK<;8!dF{yzsCnzaV0aX`RnUf zI5ZyE;+4;zvkL# z29jjHK_EIo_D#-nPtLLW+VdSkuN!|Qo+lEJtz^DMU_4=`AM=kZBQi-x&-V!btSkqI z?GnLBKKf(}B-&cldg4h}8*q_yovuAKqEP8qsTbdqdHxrgvZ-aw?P;${dzwA}=USQ> z_Hui$mua_XPTbv0;_e%N|1;nFy%F_l`0O5!C&i-wVvG{)6w{fw!9LF8R4R}b)en6# z6)29euXu4vw39hQT2ntqM03g?%AmhZ1y+eWdIcJxu9n*tNu!`ZyBv6W9N&Vtb9wAF zsAy)!BwzcKXq6|S4#f4rtbT9(CBr4aCXQ^w)aL7S^dav-k2f6DPFXpQW}&S62-aqj z3xO^EMo_9LvXsy*M~01)0T}CffEj7TNTDPEGxS|im1Yt5&QtJO>QGX$^d*$kVp`fC z(9{aX3xRrnd9`DIf!(J45n7)U3b&|UI>RNDy^CK9fpIDX@@so%C6q1S-Z+f*=ChYi z{N~&L@j5^hdYP>r-yC6nO_A7q-E<^&a6i|9|cngJgfu#peNRL557W<8Ga zk%UGFe6g7^H5wK1dR8N-@M52$PWZz)+=f1huCwjqj;Ygf12SGOz1iksm5B&4r3gfBiX*Zr;3Bvrr zouqv+{BWyC{ip=dcosqB6K0LDPfAMT>4N*+fn;A0l*AovVys9{$puTf3X_dcHLC?_ zJd5CZ33Ck#hVJ*?InsE#AkZ=zUcltp7|A#^ji(EOGmV^Jr27PFqd7E7v;YDBT2iFazp+i|4(~ z;{H`M8d#6P)w}J>m+n7eE5fII`~Um&M};GRx-Bvx-in}Gpx$&Ni+Iuat?VnS{}#aw zyWpfAC$JfG3x*&a0T%=$Q7z43y&=S<5~L&Gf+V#P`;MwE(I}N59RU{vqn5E-5i8gT zQkl5m7GsnUz_T6T2uMu5A{~Ju=)lM$2*p}KIsz_;TDQWFP%l1e1BQJyK2xz+J$_J& z)XxOQcbCora^?JA*xvY*zvt)pCO+wz4>MWLG4x#;_l%%nqV62yKBas9eB)>j>4@g- zfl_AUczeci+AW%+TQ2+yi|Aul!po7Zq;Kqt5VF4qCzBUfn_9wbBY;! zEE?KDj7*kQ=2+?pUI^1ZJs$cr#y@ur5J_8g@#hpXz%gZdQtx7NiaB-hgA=?t$DCpY zY?v*Ah<$M}3XY1au1bZNY@$ryfeTKODNPtG5&jOCQ%$f}-XzCGJjM4t ziOaXfji}X+{R2Pv>xp7mJK;QP@g7|?18^uh%DXF&YKhXbuN<|yWv~O9L!dUL_J^FB zQ4EJ5-+W*3pbgYWt8Br-)vQRx?1INVsWV{{5IPuReyqr-#RV~#0j2<22lL}ewh6@? zg1mz+h?#C^hvvmVL$`;fVs=5K4Q;gR9-#YXMJnbZ2%=A1HR2$o8rwrFYSn%p;QUF! z3`qd~k?5>WQcS*lakd$4GNP4lI4zEsdB9aJLf}E4BMl9Q|fWr@``E zEk@u2K0V62<7_r+iIpJht3y*g`k~>DviXdH&Vdo<7}Xt6o1;%koHw962IKyuA7;q{WKy2D`;d#XoBVlcTTv*Ij8J^J-*kDa!FLF3YI zO{Y1k9!ri!Rg^*1b;I-_xS+z@t97F4$;<;as2f!b1sMDAa!L#7p@tY58-+W#@{o)k zWO90GR*#Q^dbDTxT=h7omu@e;H0>6(CT1otz6^Qs_ka9*zv5#bJ>f0HQ21W$Lr(M;PZQ_d@^tqblL9We zKiU1pwxBeu0})fSNM`89PH*+;mU64dwnl`sAWzk93% zb+TZTOHhYX1*59QajFiKOHe1vJJc9ni8)!_Nx5pwH6WP?f@DxCH$4eDOwCu^A}L^k z8>1ibI*d+^b-_LQ&9w-GWNfdrBQQEE0YvwQt zRBvuw0oo61j;`R?qsO^+>`4);%z`St`g2l1-pXECcV*t|6J3r1Vv1FH@Fwl|DyROBAXISB=5csn@9eRynKK zC6V-cI!1hD8;UL%QvdO9!-fD)REg-C88*r|atb2fbo&x^$4BSZx_zbUo-px15S&9? zwU%)JX@7J(S3~h2Q1=9IJJq@PipM5UhzV1Vh%RXWM098vU_r%+ua+!2oDV9rm+V|K zF=u^mFY7z)7PY2!&iY;^>wEv_zvFA3j)+cE@NIF{VDH2Xi{Vc7zqda_gXo3AqX71a zG}$|l-o8SPmiEvEOBHu$jyBDq3+_53ASLU&-x%UPR-`p_!ELGH4$RTc#aR%yDWl{O z6AfTCtBc9SSzM}CJ&&MCQ|w9{n##%#&C9i-G`?!hQ8z#>XU%(=%ze{euV#FxJ%DC4 zjI4ZWeSqfcbd;eYt{w_GT>Fqqzmr$KI$6xkM!y}LCzK({hD+mE>Wc$KBPPd&(L{3n zG(9GEsH|j5F(O7d9Z)*f(*d=EJz#>3(*dh{p3{Nz-KXkT3vbSWTx8h7*RqJ{8R03 zByWhF%#$E2gH8Bfujw-NANkwQzb0JA_$zn3q# zv3imQ4<*w681}bip7o+cJ|}ub9hwG1V35nYPSD<5*T?EJPOq&PlHy zESL9oPGYu0Ul?>%bup5@@`kKJVuoN?v*B?uqP$EnNX#&kt^Ro)%7&)!rwOv;n;haa zHZy<4&&}6q;hDtjmItlgWCQl&MPfEJzls+J3sPA$CTDds6kd*Cwo&R zwDtEKlUAfW#)(#1eF=q-mDc4k2`3Q*S!taF5M-rw8b6RR35QGJ2a@`+fS_puP*1;w z4>U@w#04e%cmU$1vT-$BNt&V=r^v*~P`VEr$cdwQ`qg>dxQbdSA%G+@OX3JRPP$?B zT|~7Azb;DOUM+$!!l&~CglS5sM?rD(I_|Ij@aKQer;oKzMV1~}o;D+p6Bm)CM+Z=w zxTw-fr<$^=v{FgF-+Bub+TKZcz$^^L|5iB*#a)#&$mYm$Ia4b#A1Wm`kOHEPbIHh) zpWp7J3MumBx}64eh|UNFHL2aHXgT{3*^kgb@o9?Ynj#|yo1Ph;72E|C++C_L4zH7J zh8WZO3_6q_RPSOp_MK;X*Lf ztjMuY=MSoIAqL>G{9Fs>Z~@EM0Nf3LYK>sdacs)~+zsG_jbP4k!~on4XFk@4&f!8C zfQylstRhv(kQ^?Q`L|ot`P)N_DfJ&RhGQTX+#b7vFz*176KXvi+%Rgkh*xNQO`_dY zQrH{n(-c3^C2d+L3FT2ht1f6#E=FwXum#tD4K|!?P}Okere*t=*JkI^T=G7z_;Rx3 zjmRav?TB3P>+T|QX*M}fWcLtWfV}|MDDLOp{oZH41p0mU0;YG#T)dHK+AW$Z9n){o zYErM+YRRPGw|(vNZ~2)>8miaa;xxbpLX!lr1n^%<*<|iDOi~q4V`z%tG>&9xZ(`6Y zpa!-akO3e+fNQS{>^iO`LQv-;@5hp45Gao=NjIr8N_MJw?=~s?{9tL&;8kZqhU`61*TyS zFin-etP0N^Yw#d}F$kJ*3v^f;0OYU-I!R|g9k&>EFFL~3fC^2H5c({aWW7V5B^GQC zU4=bBJ87RK24l2=R1SNNm~8YMrV!{!v?1yhKRSB+#&Fy3B*aSko6p1b#|B^dwsFa7vG{KSBO>&<8rjKDw} zO*dGkTPv0mjDfZqNOomQi=-I)MkQsrY|UM^v;l?Kta^gk(gqY_yQYyxAP}}YTiToi z?OjZ^v<0|;K49zXjTN({Ex-lD13+Wfw!5;lfn4ZtzO5v2qjrQR1GxYu+BUITaU>u( zJDQyzNLuE*QwY8B0q#q4p858mN5}}h;pw!AV$B#Cup|h*J0QraHAj61bs4S+f%MA& zTj=)qFie#@mzqKMGGv);WMqc3F3DF{mz;Z*qoOv*nJ4=44bM@LyzvnR$$K4}e0x(= zB(U)Fi<0&Vo*Na-KEv!Y{Hsxv{M`TWn(7L);plwA*DPkp%2N#zgcwdrT#fOzl#hdQm83IiKZqHm8T42+qEU`W*AR_ zD8?pV(PB&I=-gl?{=nTC!IrRCDS#5KTMEpi?E3;)3IkhG(G<#ej#WgL4S5D zNK5NQH3RXo0JcWvyk3!(wg{r=rd_>T$y!%sx?K3<*V=qco{DBgTH3N=hr!xXA>>Ik zg0!?Qhy=V1x7=ffKqFWc{>U?d--lQ8(2NseqG+8oQtao!_1|kCZP>N4dp3o-%W!jm z8up>UwOMp<zX=z^H~MJ8YdFJSm>1nDEVAf`QX#w7&7jH`&iSdl)03z9=YKs?8t zkfm3LrjOu)D3g*h7^p=g?G&{bE7C_Of;wIk_798?>hOdhqZ}6`r7%{6NnLKNVCaIA z4W-zVVvzVj&EECHOu_<{eY4@x-8~)=5&I`^85p8yXciq@c}OPy&CH<%A*Ee8nZxDc zm{VYa&`BvU@qkh}iS%|1o1ORv^|sR}L~$`AN7hL_t=( zMJp+2Ems?)#W_@0wrfyhg`!0Lu;MKm0X1rAI!;FtgoU>VKNdJ`&o7_Z^Lehhn;MEt zTYd;s_|JcB_u#7o>Hx4G{8|r1bPHL7HK!`V%|8@3-@bA+W6am^b#?G4*uUC3|Z! zP;|-Tas`S#Uil8KZ0*s-3iy45wU8?Ubtzf->hlyc;F3DmgI9FmEYDi9xQ> z;S#iWjieX?|KV{Z`on`suRhQ7^t&kF2dQ)0b-aG@NJB1kiS6k=ZWV&!w+~aK!E>(C z`;eA@xY|1`6yJmah)-q;T=fs+r5eDu0=SbcP(ts}t?Pz*6uS02>QNbl8@7b3d2j?$ z{e{m`GCtZ_RkW{88BhB^PJHKgNyLg*{p<#Bdmqm=1~Zo8_OKMwZc%GcXA-;1kl6j| zQy==4&rD8<;3nJH2S9#c0O6EiB1Uh3y_h}OZG}h%?E_+X(7~X!4i6X1DKSA%e`_fS z$vs-qDx}G=Paq$bVD45^rfa|-%CXOG&zv74l@*e-jbM&_?9kASyS)*-#yRHLXSd!1 z55j1wRCru))HaqV=fSgu{v!g3D1Uf!f;&n2#T7= zLZT;kzjt_SB+HE$+gfG(<`_k>OM>hfX=8aXFsi0`FeHEMl3N+tNy$Pckk(A0`+^Z0 zt_Y9Dip9P9@fCckuZeJm{yd#FX4|vm^oQuGdWf*qK15fOA0kM$4-x;#9wIC~5LV+K zZ}1^{XX8YbxLPJt)?IfqAeAaFJ8|4j5xEqC!l!G9i8ta!WuK6*X%ukq~Hi$j9Gw3%iz4C#%8y`OO^KDEH+g}0|NE__4RLXzRSG- zcCo42G$By$-}}MxGF{=1>bBxUmzC|)~X?Qh~d z%2FG-558HYBA&bd{PP0#DGA!h*c0XBZ_k|~=;)nm#Nq%aT4$;&y$l@mWi7Ky&v-6m|&1rVh%}Lc%)0=b;mMD6D3zmHF&kBExGz2 z%>f9h=FPkTqD;~pz>wA@S0AN0)K5~CTu(QY^|+1=b9;yjpzG%P)u2oOHOoq{FnD_?&dO89teR`0qUX-S2(l z6HnlMV?uePxvp7X;@E0YVT2l1Pi0AxPcgf?L*b z8C~vHOC!V&K_(qsaKFW%HCb`J?;V;Rf(wGs0d-bJj5tY6w8x6nBrb^5zH&w2(3};6 zWM&A`LvTS*=&`KRp%An*iv19zm*|4K|i6kHw|09PxDhfpLnj{!+!MzqT$mJ|=c zB{9Q|quBr*1MXI#R!K7792R!)n?n+dD}X9V(nIhy!DPXCw_8Ydy$ecne{jQ>qyv(p zqr;}XqI`c2NFzvX2d$~bewU7(Z_pl(hF%fk@7{UlJA`@VWGLtFx#ujQ`uD7F5kbj3 zPu~7kGT$RSz{<}9ev97YA!gTqrQLA@M6?_oY$45>u$b|C8a5jI%`5z1mE_reRPl9? zP*9)entoUPGNae*Up#M+A#C#1Ro71$=obSC22Vdc7^>UrUfuv3xvi_6XTEG)ZMm8U z`{xI9T-WBWJj(IEF#Jt522RL`)6$NMh1XO?p5j4S+X5&5dwR7RpF%}Rd811j~^^Y&g#>-m-X~ho1_vD4CB|ui{=F6 z%_jDhm+Rrke6QnQo!zd-0Ow}vE_~iW&v?ClkvYGP%vrZ$~EBJ(A4D)*S z_&~0l|7#ZQ9kiUukYJHcRVKI2{ulLuna1zDT#U9$6sJR34&6!{jh~uj$%Ul17%q|I zaolK6&4t*5JfiV?+`1E%rN7Upc3dAFH8jS}AMD||_642(n#nq@X z^ffVQ7iyCQ(={i`R@W_AYTNNi;IRShKXp_;?+Hg7@XCB4wn$Kzjg@@O!J&+!Djf|W#DgL@l!wdRe$MsluYz>wdxL8 zMx;XkWa>4_O5Ve2oJfTlBQR#TY7`OWU3vkw1yj9bs*`=hr)Rk0=`cp%0#=I^=>_3;L@&Ts+<{tH5~4_L_vnr31-M|45u<~-z3RGF zUD$}bb#MMlQ>*s8?Gm^tvF&l)RjnGCdL-0gu|a;=`_0wgwJS$ZX%xrcFz%gazCFQl zjAm=i8vD^FdI9$yEY&!xHNNM_c;B=+zQU??w-B^>?c0hWZmOQJBe(^3k+BRxqLU0f2=m)ZP+Bn5(q_SMw{tUl@s zyt{PFMY8uwYJJ@#$;~bGCiIl_Xa%%Iz}H-_I1+)p0s`4(dRo82-9)Q$I#2xcxSKpW zid5~j=X->SNY;nH1)X>$IqgLQb}_$b*t8ZDdCsxv1e;oovI3O4;k)uZ2r8^fDI*|0LhUkO zg`mQ!tq}tC@kJSw<4dI_E~i5!BzJXo>qS>pR=TFL(shfj0zn=ZT?Kf7Ky`fCP6ymA zx?GCsHjAzTL3VuE((fnRY5&8b+o#{Z+b+85`n%@(WjpPU^Ue3a+b_DR6xC5B=HrWs zEWaOqFzAA^sgGAlGU=~XHu3G2#myDUpW8ck@$Gh1HlKcKoAlqEyVxqiz*KV6g}Euu zaanmQx5YQBgi?V@zGg6$pZx3pW~6kE`d;_i%mHF2abY4f9;LQeL{?VU4K}k_SSw zV_S#d1MGr3d@Z()x4P~*8MPrL)1hurwjHn>;?C$dW-_u96wZ!(%3qab8yQ{8QZu%3 z`z+6MlX|7iF3_az>I?8eDjk2I$<+m@rKilB%}OJkKoi<(BME|6A%p(ofA@d={%=X; zL`mSb8FbXZ2o$0{A^0jMR81#Y-gmcW_L_poCAVp8(McwVb{-T5p_BIeHHhcy6>|oC z59}5&HCVA*TBK{q7C5Y;lYB*Bw*-81076Uy!w{@8=-SV&sdiIdx&~Gho#Yp@M#npq z7cRyiX|W=$rEQ_~2d7^JI~z+Hf?7_rCBf~1);lbTA)+>jAW6z&XOb|z>{5r)Mhw#a zKvf3a>Zsz}vG7>u+N%t@R$L*8OnzIos50mWgmBQ?aDaq&pjorJKTz@dBOwH-@AxAs zZc(izvL4XgDp1^eckWvqu3{cF*RH&&Z|@-AMG(*^ec4p$NA5RwFW>&=g!|0h&*7gb zN|BH>d8rzr7Pqt=&?Y{ydy8Z`0etJ$67CahftEY<6(6w$L*>p-d+(3`xnGEhW(ve{ zW$h@$fZQTM*1}m6f(z~-^cGd?ZUs_wQ5?1g6d{$huV`JlNUZ=>(h5=;xZn|qHYhCu zN`WV7R;02nf}m7aMCTffJdGfgwF?$V2Z5*UioDw{2vQ~15sCZ+ZBnx$m9-19z$)G_ zdOoeq$G*Zu4 z_47$Z8)!iQ&>W$ag4svMNuAfP2bu0lH4=3`^$+6EH2Z#zQH@-lravwnA3$nar%kL# zv+pZL;SkIrZ8=afnszZ!Hyjv^TGuz%*Um;AHN3^dmJez)y?{|8hx9u)v0k@mB9CHq z?L&kp@(-mPSO+#de*UjnR5tVX<$Q+(i*zam4g@drDAV(7y;i`(*77ASYy$JJlzCW+ z;qd163Jf-V-@+L&>M5sF=Gm;4cT~)m7?^s)IXgrY|Lh-m`pZ8mY%LI^@VvdfLbpI8 z47w0a`0dI5%hHQ|g&2~raS=qvpyGcSmNtU4wJwO5l%*Hs8j(&@L`1Aer`rWlD6xDS zr-nBx($>15p)mx4%X!?@IWoj{LF3p&)u;1;C2?rl+9HS}BgBT_2B@QEMcP^y+^r8< z9y%ySCms4c3#t~b-_}TLrQcZ)Tgv}5qG~7+ur{`AioLs(jGkRR|HQ*ouj>0>L{9LW z@$;2pyS7B6G?Jt(2;+pw&|=<5N#}ofh)ntKSOX2l4e;ES$8$yaWN_X%&S4&QG9}q=-9mEs2Noo zm@>d>kS;q(OxLWiaeCaT1kO{etsZ2uC7pxN3kIRLJxOd%>E3oqH<1I*VdgD{nICxK zzkkoq1{6>&K+lp+pUEk(5)!%*T%IjOuH?d!A|Qjwh2XkF8)+?AS<BTI-E$6{l-G!5X_czyE&4U2$mEU@RTJ5ij+)H2wY*LYqO{Hincy1>4|ZmwIw|@ z4>VPBVVy59y;Cig5gk@g_H*HgYE7-;Y8x49=qx;XL?4+w#i~w`(N$~9>oMy<`gd;Q zzkD12`7x}$9OuTcQ?V=4mT%)PCf45c2S4urc{+fGy3oyQJSaSCAR16)S>vIIb-g01 zG@fc@!UFr_inGQ8fDaw|RAk7kwP8z+9l+Q|mH-H%w;!O8>tlygIJ5EunPcvaxE~`bUsU(r7`mX_WtBxAV0+#(E z3piQnIfa=Xa+g)EQ<&+2@l`2~<<;Yu@k#d>R;R93{V!62ZH8|~t}rE#Qj*#M7db$_ z%qc_3S2m>tflUE>;n|~Srs=yLHs$8k>6d)y%l^O*L@Gw>;VmWr7&3Bzu;-a@YhuWv zjGi$8m>taQ1q4?lWvT@;CIFp=Bv=$oKo4agcfjiHC&vx*-gpHcbe`&}7uRI9UUD$Inw?0)T&KuMH(R+oJw`G#PDYSsX~TcfyTr zB&kmQ!r=$A*&A!(p;m;qAAK~vQ;q5s1-H zziAIHVUkN?N-CS>pqQar)dNUt=DTy)f}YcIXGR5)B=hY-VM`;#1A5IAVd;{izJl87 zOt9cNDOy-H(={$JM>6`oqbkUtVE^(O=m+p<%Kr*P9abzXC=5K{@(FjKgC){H&(`G6 zb2oBSC4AZEM^*Ohp6dlnjjE1Ux=OWJll_rkA#1CM9(rF< ztod3tb>UlOT6BHne`f&L+in5?mKyp~+>OXa!Z43)CMXrAcRXyrDV=3HV`TXuo%Pf^ zKI~V&KQckeG~kJK=hwhX%Pb4abRb%l+^rKF)T|;gB^K$6R#03LV-g0MEEc8;}8^&(O1+-ZN$K?tvDHi;xQIN6Pr7W?(~Lg+dBA7aEm5; zN$?!D2eJ%QhZc{~1>r|S3$i$gTzn%anIONK1JtSdf$(azj2S1X9;2-Zw-#v~dW`6y z*E(jBTEHzP@QuY=z>`w z)*Z@J51|iFud;M}mT%GVsRLEc)ui^=kEps{PJPY+-Cho8+AV6W(VPRiOb+OO{@6eB zyMJpe2ebwIs@PwQ$1v7KPYKkVJcUr~0Jw}sAt9KP413{vfse4qT(CB^BWl37X)xT3 z2pw?pAQhsGp|N7l0g*OHypHV>CF3T&QssaSWYCqI2|0J_)K1O;;dmhLg;70h1w~XP zi*gPK6&>Y?J)kAEmxUq8oSicM9!+wH>n3yZpipCdEpP(b>#lM@Ha3LWqNUZ-;un%q zxgUMst!euuTV%mxlFYXUff{*<*)~CD&iXf!T)wY~5djDe`6KQ2Ms=Ll%r^)V?~qBO zk8(h%uwApdL*POcoEI25fmz?3PnegF&7iX&4%% zM>&_fP4}_^WwxQ1fW~QRHhI#if;E7dYT9U&JtC!}dTlVxL^Fm>=Tv~yU`PIop%C28 z)OW-8JQvxy9VH_jrJDA~IFTxUHZ~wl=rGd$D9cwBIM9^V$CngD6!|65P&W1F@e0;m zY*HVbUF5fy<6MViLgYvb>567#`PP^+9rgBi{a=6TtA1M{HV<4*z;d9+wBG_WK4?Ku zy`X|iOGnuj@RE|jfgsGgQgwwOHH`}*aieoSKpJk@<`Coq;ezPjpisPd2?vzw>x0AtH)o&z*phzBQeRxGH&UoS5k*+A;Aka0D3ktR$?4#`slBBq= ziN+ds#NgVoM^7@}BIFCzDg(*-Uck=4)VRex@tNnu^PAlBDl}OhG}^Jgj#@H@ zCcy5JP>*2*tLe>}l5Di#4NGBe1||2Xj<=He?x2ZMIa(2t+2G@Jo>gdqE7s5iBs?w5 zpbkw+f5mo-A0eOTB>gS=)s=VJFpYgly3knt$~!Ccz(e7K2si(u(nDc`+Kv5H0ybam z4N4q~SoqMUJVRM4n_cKG;K@$o*?R1BP~Nx7PAAF5IN`Iy51|=I`@=e&V{F8ciD(AV zQ&!dtre0Tcq5tel-@1G*@<^)ny_)SFRnUcEoRPk}_Ej4`?6xHLWcWaU3t!z3tiuPA zMAJ22?NKtW1*`A@3qln!d^q%+&g`8Q0@9dT5{J&=!*;)>%AyO8ri$j!**8V)Mh7Sn zO4WMs*)`pDYZlJ1;u^D6niZ??p%8ZNF>|*)c4{)iBUk7`2M(TEE+ARxLZL3(-#oQk zAlxZrr3eD+@L^Q3s-kKTLaL*}GZwuRX_%pw3ti{oh*xyqTPef4LLJD*%uN@sTe{Gj z_l-aH9slP~rk-A{=)1agOb|S%>u15y`*J~~%sHuvP#?^z62)U*K`&F?x?pO_z>tkb zP||nal7U&Q(97tw=+F+*2vXhpiU13sP%nZ62T_5?p{Z_*AX+Vi5o+6U(pxP^b?bsh zkY|V%g&G^7X_N+Kf`^3OsycMtl5xbaD=KE#1WpF4CFvXc!IAFLgYTAy2Cp@$8maJ# z<|`jmH}u4s+^p!%D?iD*ZvPB zPE$J0J-v@ZPp>`5Hv|((#@-| zj8uA#Cd_zCMy!<}m7WV)es2oi(gw(l;w@3M6E|-ZZ&@bIA#;fEyE?}TZ&^moAzjJp zW-TcxQ2&9-tT|9Q^wyLVs7c1KIp9-j4CknNRIOyH@v2dg`ei>CDgWLK+6av#pQx7d zf6dpjX&Nyr(+hDE#L>ppZ4Ybw8{rHa@Ti~hK(t^WP_)>Ut&yOUX`Dl12>zE!Sm#Bc@^&B+0Fn1$(RpZi`Owpn$+iCxnf-n~Usmpc2*I;<=WQ%9o|-dj2yx@ZB2z>fvWjM?0UE~N?;1*+Oq)M|P7gnm18 z>9g;@_xMtCPxDCHh-&T`xJ=K$8@~E?e%n`%Mx`i=3ibn`RL_)D<_;DDiG4Kp0YR>W zqIP?&_~51_+6C8w8%(A|XGFQ+5km}NKp7B|^}Du<+1%MUTd1HT3eAmRHg^Z0HMJFB zy=JYqI&?O7ptS?B9c=e;&#AVxpa65sfbOBEBz!$$DsdY*i(d1qF_tH>$=Ib{vg!id zuD4oCLAioh{B)L+ZLONdh&6RPO0(JW_H1io?nHJlA*ZW&6~ea8;J14yv+L$|pcXr) z;Vz$sOD)kUw-J;M)~T+7`XJ})r+sZcy<3>2=~NOp^u_=J6w|_bH#A* ziZT)|7MbBVl7b~1#g4`Ic^$-{|I23`{?I>;`j{5lygugT>3s*gL|codjI9DP?JEOd zx!?{x1QM)n*X$RsE8ZCqa1vusAp-VGZ9E4epjVcsQT9W_b^#=-h=3kHWJUzUm_;4^ zR8{3A&~Pw9nX-uDdsa=y~0-(;pbvq?L+28)MEnsWFKP0V`IE~#=V@;kYJHcHGY4){4dH~ z^TdqvK(GSPO93wZ<`UpOUSk`lVxH_>yGHju_wM&T`z5BLzv$(cixfN54x69)k(VOu z(5jkiIIFQG1VD$#zp1YC=PQDxL#^9`nU(LlePv;X3nm1>(qQBvIE(;T?+xu9g4A%n zB0PJr)DZ!IKxG;NUdib;Ngl z-Gmp@Zqc0cyP0u(pZ@p%#QUCoQ)v&^<}5e9)~fa7NeWoW`B`I_byOqqwp;c(;v`$( z^dCEt$s?7AEE!p~V5RL~u+mcEazUi}kfFnhfLS0*RtZYZuN?YSp;fw~>ISX%tU*e*WFtAroe-}dtMB!()%d4jbhV$cwe+R4da!q-_Pr}_>#L53 z?`2#5hdZ8%$v{#piEe$I7VMOi8;bNb^VijDB-K2-YYRNWpyuS8xx~}jN=mBR)XzFg&^0mv#MeBc1wmdGj{P z(__Ebp)F07!(nADU1`mHk1+6>1rw}^mabMOuB95`ce8L39@f3C+I7B1XeZWR{?v{(L3MD!sG1K|WcI=NItU8@; z(LqTSG(xvqbf~(;@7M9o&dcF^GoM7aS#%Zrq2tR~?~o6;#rbBqN4H;W@qHW+0@ePq z9p}GYFSdEcM|WIotLukAy+60p{y5)!|F^5f7H?}hK7XKe{@dOUmY37Vt1u+#ujQLh zKebJM-JQGG!o%rRAa3HfE}K|tn@1?!#bVoCitFy4JXn>BdhY)7&)3C)2k#BUal!2puyAp__P~8h`8Wjm=odlQG-J`c%( z`P|}b`2}oXlV^A>;hp-Lu!g#GPOI6!^bKVgxcHP^Xnu}w;?oovJ!n0>4r+)fF-$6#zfffI zcZs6H+(>=FM(VqO_&wCLC3~Pl;Z=F5P`OP8tx~4LYMScN-|La(+w2du=~F-QH~->q zwA$1&7kbu+0ahG_KzfMny2)WH!)Xx&$tK+2^Oz~j_qH`GbKxuQL2f2B*)e8{?P?9a zQs_SPXj>!`D~2|uNd}RSoFkN=hGroc+7wqE#CD=h5k-YyXj7~RJuk4P-G;$A!>tfZ z+Ely+FttJNk||c>{3Myn279P*{N!k=?l5Y!U6Y=Q?FdFWGP+U{g_F9#fbf+*iA$ok zY>nC&E!aDH7&~~K_}S&hBx4y%%dFJx(0xy-wqEWmG3;Zv5o6{E^9MzDlKsrxdu$j31=L6 zg457o1pW2EJIsrzoZ*qWg0j!00}5GNa)u=Xkep%J4rdy{JoIMTAM~jemRh3yygwhE zw7(4aOZ$Vrs0zkL=uRa$6U%|02`^)K-;x*bH}NjE@M{)#yV>Ndz=z>BwdF^2G=1r+ zh;p6@xDK`B7G}rizmXnt}w^ClPl@idK^r8!<^%4_HA6o_b&Hv!v`ipP+h*JGi43GQJ z1wDt?8R0R&g=_d}@{Zb9mXUBl)E3AD4?)a|ZUyU1xvr#!6cb)59&F#CYVa<-)N#zzW2a07xzkkJ9GW2VDAAFX`hhr zFMh0i@PGGo{)2lJQF5<%SM5|Ggzh;@h#$1_idodV#xD+UHa@j}c6>ARiPERMU_>|R zDPJI9vc8tX#%LMRok@@R0<{?ysAR~W(P1aa^qeou>p|KVubSqxl4N?&7f1^$)#4VJ zwchiap7aH3a_sp;E*7nS=norg?`#k!B-i)0+7n9g&$7hYI?#L2XZa&roZ!_Y75~^2y&b{F}XJkQ;o@ z@bCVf@#9r6>|o!LE|l7uJm&HyK{CH*+|2wsQDZadn}5$v)Xq>01r>NiUz?RYwNVP` zQF}MVHiS^D$fg~rQ&YIt6q~d-mkubkth_&;s=U8EWuidOFS?){U#iCuZld&t(qCK-Ds3aByr$TOQejsWD?3`6bp5iO4k*RBbU>+P zrQZ+LyLx}okLCPPnyYJf+w*F7sI{Tin2x_^EiWHm0`RDvVh3omI6g~zv8j)jhwFE> z@L4wTEeeAgu5a(~Th#r06P2~3{!m#%RnUboH%*I#CDhlFVp$m_Km1C*Sw-Td99EiY zJj7NMVu>w^;7Hx9$dv{AT{;eMq(3D8uf!2|%D#S#>vk>e%}g_B^U$;Je;?43&%Pwh zujq2lea-i;@^|;I@^{?N4>zz|`KsEBCo04zam3WF#9k#_nlvmG$+A!-7~M~k?@F#C z_J6Usd&6?&gol1w?qc0j{9zT2+CRp1yBdLq?#e5>bF$m~HE>s0S@=gk@PB{&H%D4Y zt@rg&QO6$QIyA|CcSJ?ZD>Z`I?Y1#q+Qij5V#yaF6p|1v##tPBRv$XKi$qmjPZXOx z5$NL+M6tMM8zOd1$`TIh zDPq@rcTNzy!b{J$r~H^4c&62gbVb$uLH~#Ob(?K{$EW#q#jEouYNb(%}d;)vYZ>j_^ zZF$0W2~!BHr!@ieNw4sU5)xCf^WpEsBK#bAoNhBt9{NT^;N5| zvaYtFomg>s>~-c=x|-D6NV7?7+oS)kboEL8Z*70{4Avw5c1-c*skI3O)uyJXR!B_&k#}qM}LK){s#a|A6#7t!> zeohfnw=x8&_+1cjh60Mvk7PO35TxQSg1{5$p@G$De;!f%E(szfEyNrUrKl~5B}MVO zq)iBAGt~N^73w5OC*38DrAe}DyPPD{C99`=iJne-Xe^~Y&o|{oPg{OSnSRbszTq3w*cq)?x5)Gzd_U+&mVef$ zThuUV#?-nSJ3Y|FMP&+78lv?oj5d{N5yaedQO|&|1KC&$(o1tebgva;x^aEmSJ2~h z(p(UjOQ5ZJjw8r)OvOS~7oP0UIZ~PWiU+ih;T-w!IBRPhn#$A#!Mwv-5>~{RtsaUT zS~Nf1RoA#_YHv8&7pJN0Atv-$purxdgb#fZ-D8qdT8KU)M<`$tn&td-)lw` zkVu93CCP*b04{(q7G6#Vy6omGtGoeQUsV#hhBLFQbjpe+r;4Qs!d1Op+gcNzWY0O& zJ#&e?E|A}>mh3r&t`f~D#BW`k!WlYvLFnK^PhqeZ;asP1p2Bc@Qy8Y*qSmp#Efygj z_w~$x`9FTwU%q5;D2r&l?hc=MfSDxuHg61;X2u+_o+GWY~ zm>{MKv5$!eI&Vph6=|yd&;Wp1C;_Hxb>~BnrrHI|9HK22v;>YG4Cg4B9y>HhS9W4p zLBC=Rsj;GDdi)&mHS8)Q2{bQAB;kUS5+lj|uc;USyR>YynzKO`hOjlomga0n^L2Hs zYW_Jm77~IC$V{&++OeX0Zb!qGbJ%pqrKmn3$rybER>@`APKG#jhNG#k_&T599(YX7NbAZi%XJp zHT~#FR9d$ndaHYq=>aC^3#obVaFhnQD1|d*^1Z6u82$Bh=hzcVJQK40KP+2Gf|#$HrfA&86WM($VwXF=!pHd}rgklVrX<1b1n=_kcc`_B^ZmgC++} z4lRX=r25&VVBqJ879LF_fg^9i-1XNo;NMWcpToh56B)D1Jmk*sJS zV=Cn>0CfYv8F`JyfXk*Xo$a$*=j)}7N=tX$XIt)kc=FX1zG@a3cJ*=r3=^qx9{@<_ zFheSv7GHd&|jm5;U00sXl*)%>&d4Fz)iGfLj zNlp91#8xI^1S>$BTA4_+u~&TnVng!r8=E4DzGAVYyQi2Kv`Ho{AT|ZRX2GIESiEPT zG~a>2V|OZ5KFkF|)$dv>wE1O|#r8UmEZ;`)G!@_Qt-rqi%)lB%{fBObZoxq>#N+Ut zwW-m*vIx`#F}sM015_g&Qmyimhah#43!>?fnh?2A07~0tZ$2(A7{nk0o$E9eE{HQT zhH{W!Xz&n+rXq5|S93-=iiThV!DS<1ZCHai$y6T0>$BP@zIhLvt=iHgnQxAj+>lPk zP^$LAE2qSi`b1Y#l%l&u75cU=(91-on! zN^F1#YXrsnc1iS;ldzA}9W*KRlC?_hR?20K7{DN5ah4Tz$F^H)8f-_upk0&RQ8_vy zBK?VwWUCF{s7h5Ocp;Jx)xZv)^)^ZGs9rtS6w)`*2;qTj`*)_pyDWqY9&CEs z;laXO?eM^^5NRU~LbAL}OFGqqwI}}ZQ@5Y=#ozfo9~nd+>Iz?ExYT(YIy@ZMBXAnF0fjrDB7?)Cp(M<0HGCf?`*;Xj+pVtV@E|&H4 zE;&&#lYk((pC$VrT9QoOd23VL-TbefZ6Lpg!(WBSTNQJm97y{-K#SV-j&P|B`Kyb!ui^&FhIbS3e{xxcWIc0>Srw1L( z{tU?RRHDLV9jkt~A(@`^MX>NMLBP|j0Qx`$zbUBz+YvM)g@s?xkttyXs*UudqrSFb zCKXS5x9JVZ5Dq_I6HH)MHQR4{vkEF^f9%2d5APX>8QWJ^5Wc=|Ig5N5R6s z!l;Dy+LJ0;fC`x0qPQZI`PBWg!y(|8^o$=JRX{S!;XL6Z)@x3C&rsXS?-@3GslDnw zL$Pb%J?oKrs6Q0%8P;u^MEOrA-ZOk{A!m8d_)p#<8a23h&sd0i$TrcL=pzz@tkmQL z-%RxJ_!519%0mDIT89C5ha^agw~0-Vs+3K#f~-*Q_w=dof{?+u{KH9Bk;+#s{2GM? z;+k?>5p>pyF}bqf5H|!93T3dFZeWye?-6IV<+CLWal@<5WqRW6VW=aMI9K(Fzj#c0w@x2X@Wk7HQ6Cglqu|eW`n_zZw z81Pgpia%n47E2J~wMUt^78G~H1=YEv;ELRE)r#UV`k}XLPELX#>Zb5pDnW4=P4EDK zlQ=ji6B(1)WLS{;?L&DRbvjZ|(6}m%Pfx!h|EIeAlhTcfrmkMrZSYKrj3M!PWWETvJG_=?9xj=|;p_;deA_g)Td4E0} zd4Fz)|A5e-BI4$_g{nZPsFJ|I$adNv=UWv>_aj8!5@DqMX%W*VV}(*+yo(U|`5fBMVk~R%F1gU0R@PK|eWI)z$VB;Jamijqvo$GYB5{xf`%@C9X zj7uURZJG;xCmI+gNj_>W8C<78lW0huB$4 zmg!HD`S$EU84J6D3h&U-^ZnT_q1LIiw>`?-X(8l|atf)opU@1bfk*a~Q-)2dI=A_2x=dbrZ0S5}eivK#HA^(pY+_#mrAjO_Rk7RhBbxW2 z3z^fFA5!c;@Spzly`PUpLQVO&Vz&ekkPHqZM1NqLD$2RrfD!I?=%KE&c{z0(FhX!- zw+X_O&jLmQjenB$TdjL}x0KGpIXdXCBb2c|bjmnB8KA!olgAptlyJlg=$OCl5&7_X z#guP+5_(-Bf9Q8$A9$5TtTXE?)E*?~wq5t9lcs#*lL7hLgIJ?ov&yV{cJ6>##68J; zcW_HIhlzZ-qx3DNpR9z%qf|B6%i&$`6svahEO{ZK&~+XiB64x%>&muO!gC$-M(UWJ zcfM2|(-YTz8}Cd*;>|sYx7U+6?H08bYwk%Dw&0iUUwz>_pMBSRf)-fPm3LmkKfkAt z0}n_sGs&#c@A6Zfr+)KqVMvlkbsXClHtU97|h>b^Qpcu6f%;CaG zl0ZoWIH#Ul{UMUT0>vQw5YO@E#g7o~R` z5F`A$0N@pf5di(jCA@wDq0jg)-|~;%9&OsH4m=QY<#0r9MEa>fB--OYNk12Wie;A2 z&bpsM)8!OO39T@5s7Jk$RpLpGmaGzi&jddT>-tEPYqCEMomCnPB8B?F;bCM^e%5YuJlLFXBmEl?+bWrpb$Wjf%;==V=ch1%$CXhbTi!2$&@E z?Lo$rU2Mp3p^2fjSa}K#Id;&*B^fRd&s4T;RCTMO9^txDZF>p)eXX}`%bJq(RBk21 z<=%Pwcg}FV?V=ejGS#B_N>%sv4iaAJ<%VKU-?G8B+PvZX)*Nx&Uc_Y@ZX^XcM_kW- z;ky@03#AGkVIY**2$Vbpq6^9U`jkHGBm3zckh-=aXW8~U7#W8h3BZy)YkbnTv zhka{d(riy>dwTx`*e^ik3Rq4*q7LP6dz}vNqZqk^jmFZCdEXaKO~K-Kj=+Rqp~ zPk-;{-v0|93f$YUJ&h(&Zpdm2%AAykPKvptRAdb8&R_a#()mj+CoYExn_?ajil$T#Vo&_K>y%>z=|~2S-*7NGVR@=UuM}LP zP95S*(RSL?XMXBmzWXszcF}fA2kYtO1G7;ZuUsXgL@KFwe)8gZ|A!A1+ zNTuZ~7F^zO4XxD(QfU=IbR|kd65JtXEvpr&v|JEMQb#Etmy!pq1gW%K&FMfKWOxN0h`BDj=RO9+C;ANsOdQNR{1iTV|(2JyCmj#3fD zv1IBRM;pqrV?L0QEKoIT@{#p53EfjlM1HCyM^&aOuzr?L)#%DfGMRAD=>~PrT&xN8 z+Y35ehx*^ppz+DS^S|*;eDd%7Z+sJ<^weuod-pQhzewvfkYU9DCtcFhg)PVz+V&if z?<2T%eoE6Qw(F6I_)Tl;k6Y9}NZ(}5Je75-B8>Gohs!#hnujMb711`{dSKb7LpP@~)nOQ(5nIHH*{9pDNvH<( zxLctOwmEe6>Gt3flD=^a!vn2veU73Y?Et7~V0?!aEPBlQq8^JR8btvJCU|#4KHuuP zs}c-=a=-_Q-%K#8)L4O#jnvbPS}o9`pD$ zr9auP=m^uH1)!efWz{&9r>M)m3b%jpGJ4kIs#Z@DeW=JS*WO!|?%Po9jiy%3n^ij> z((ER-sugLQ%8{0y>UxLl7qdc={Zgvds{cg#r}O^uOch6T4GeTuJ4d3XN?SpoK4E#m zV}D6AMcU}1!|?9X(7di-#y|Mt*ZuI%1Y2qNVc}dMtlI8$+#N@Qs`gO9W##$88!Hra zf_V0gat??}N({R-+hwJISPo-bC!P&%v#(jrh_XhApx z!}GTeEW<-^a|AdzU(94(Br2xSHA9Z1`*KAzT)F(!z}HEM z-D+{zLU24L+6DntGdHSL?9u`%N{X%l8L7=LdQ02*9OU!RjcWGHpX)=;`RUusPfxo= ztsg!kY%hbb{iR>|d;iJ5_NJKnA$=BJ?=|obCwp!BpS;wrEwsWX-y5Bhhu z2|6k`cH#&weSTY#q=Q!^m9-er$_5Qs)vauZs|>mcf-SJ5wUUxp_chVK#pDJeo|Thq z#?d9I?vjVyLFdeo=1Ge@Ns{ijOM*^;(|E8ZlE1C)kQPsuBww*6Yr)6a_5(`b<&tpd z!BdJg513b~>4PJ7|&S(ahQzt6PGha+FO=XH@Y})GximCF+Q^5YN z@UJ}bJvOZCUrdPli^-4qMX{yGf>l1oYZk9jd~z{=F>%`Mi;08yi^-4qc(IPXm?t=~ zIMyWB+Bl}9N)hzNq+kw7hH`*sh&lbJRc-jdC0ALtBfrYICOKWpIV)AIZDg(q=8Ird zN#q2`X;r!EI)EfNDP%j2-{E|7P6HfST~%v?Kz07I9sA=4%PEp>OilIp_QwyFFGnA*5-RDh<(uHdO1cxASeWUPN|)HgZ(X`2u+$b> zy>v_5#bR5g27(idt3__VK3G+mETl>WoaiM-JRL~dmq@t?IwIp5xyh?DR+zGSmSeZs zXMM{TeCdylVKuwAzviAKUU0n{XK}5V0a+7TpL$q2qK+tIb@AJy2D$)Ys-?M;?n|XA5&>q zA2(%cNs48v?2^m)-)2$S^zZ!dkWBm=rA`wd&B(_KA|C{Cec;BhZx-BsvFlK8}3zeV*lum|*i76T;f_ei!dnG9DwFyFXX=U!%^$#r( zkguqO8}OUp@j}s5D~faNf@N+fMvbZ-j*AiB+62qoP|VIkem}1`s*qG^+&B-LsiM&y zs8A>I$<>eljcHYV?z-CKD1033uWKi3e>@cjRx$Y{x9jRz=E4IIRmEJ~Yn5CjO z?V9J=Z#Cuvv{CxSMk5Zlqx`FO*%Q$HzAD`@#T3_>+`QBn_Q%8?bx8I!5+};K_3gBLOivdq&`Pl?;(AaXO%EM=}Hn zUjTCA_((sWgXg2%rd-1)m zXdmng&%Qsk^gR3iGh2E-^wJ5X3F%YQ)jb}3ciQzAdzWJG&B76BOqOX=wDn8cogjq zSyi=nOU&&SR{}}Do@7c?qq2xm;8JEgtZaf{{N|O}M=5;``gBwbK!MW!=yg?FvlW!w z^oyqX{s6u}R{{wTKzVykN4`PPXwhMgYV|9PIvwFTL~ojU5-UkQ>SwQgPj@@A6A{O7 z46d#U5@GQ#)S(!H%AIsS%CZk0W`Ohw_hZKAo;QFR?ozG=X%-@iKe>1e_XEE!A*eQf zui3=D3_nV(XM(9?X2I3K?2XLc2op=Mym)p0U8wNMU>eRr(KhZ<1sv|hh-AkS zkyMHE;e8+X9`Jwjsc-xFPY!QH0gs+@*DXXmXd6-A;kY7T8~JSe%5v^52!q5bAd~YT zMr#D=jkq9s9F^ZKSy^$h# zgcl-$a5mbDp~iB-EhtrxTVO{cdUBd`q&HFomupUeqoA~(SBI7)giF##!__cd0oY=* zqIyrk6KB3BSo_7I06`wm?_-;=HiXGBpe{tFRnRyzCFykz{lX42Xc_t zSCsD$=11yaUtsK-M`MzYkqmtX@}z31dI9EP=teG=+P~Zo<)*BDGE!sx)c`AXVECOC5Aht-bv}B@ueM^GlDe*sc2c#$Q1aqXX(6XKHMA-W? zI}wks6EW=;%_)tWNoo9!|LNEM_*_@<%4 zE-k_vAb1-=8Yw??5XqqZRdfIL75o=!7#GCs32>Rh+rS<+2OnTtFjOgOj}Rwu8^p2v*>vXuR!7j+$JxmU)nDg`b7l4I2m8teUS)fg3Tj zZenj;@v)({c4InFJ(#gc=T$GXD?O?Qd`F9My-W1bEfUMjuz=fx1(=c>@poopdNaoK z5C7l?e&LxmB6nk|eh%Bb0i$I$AL(sN0^3(rM2lu{r6O=_6h7#{BuT1KUlSw2IMRJCQ0V| zgYtl05hQJ7t4mIH57h22Q>K4q*kjB1dvne8tu;Ns!8w`#VObBu_3V5ypgJIc9rW`4 zji=IVQv6g`+Z>IPAEfGC8R7Fd8I1#OkluOZ`;qIo{ER?*(nn0!3_6@{x-O1Bn0AY1 z?_&BbI)_93hyUoWyzuuTRM(r)%lCIjc5)KBbYK`0ksBe8t|i!dIGeLDHU){ZoZh@W`Mg|=15@L4;0K_4$d^i^SYAINRAMAR`e%Z~1{BL9L)6#W5-cttcUnGoYz~Kb}hj#(b z1Yg*ke}D1no>Y#t*Oc=FQIe)lBE@2V4DSeRu#~2;m2iWP8>Gc91t z7ygTLNIy5dep;H1lG`qG*AQlFI37uG8ucQGE?LPf0R3*WkV7!%-*@12fx$#teZA_< z3aj$(9hfZXcx<;TxB+$QKj+_14!6wt_cGFYXt-t0zn>g#S>@jWyW^;8QhB04(VV0h zb^n3Dnu?siNRq;%z8p=jdYM3Ysznl&hgPz>JDX0soylUPpuYIgmF(8mL>dQ$1pMmY zC^a6VB$@9Ia0}XPBQgBvF#poeD!?`Dcsi`QLGpL8Zj`4mVUfJAv8+#mp z2nm7@;hxF+%PU{X0LTH%q@Q*hCnN6dy*tx9vM2h*FZ%E&KM=eR+Og;Hn)UAiZ3R_C zwRyx}jo0ie%LcokH9F$8&^T@Z}IgdYKp3>;UxB2|YABDOj}$sihm zvQacutVqpK1P>U_DT0VbG`b2ws&*FyBFu=94r?+HSLaC8?t)N&xCR~?u&VkTA2Ga1 zie~`X+{6_gZusBk>$H{=1U*Q+U8=*7*|ZNYr~0a|=zoV+j(?+6pPT_Nzp5Olnwj+T zq-GU-awtl*`9<%EVNc~xX$?#BAf9h!IthT4H6WHu&Vc2( z5v056R}BD(^1&m0W%$ncdF0V^Np!Xv`5dO_woA%W>5{uWdPjIUP|0ksO`3C(LR1wL z{T`xQs8;j~EB#yp3sITDl#hJ%V8|H@?G>L~+xg%4CO-Lh{x`lE`b4SIno%YYg-@h; zpX3vXU!8oS9#9k5l8WU_N+I+=e((#v>j#qI9VA446&WLp zwgKTKvNxJXh{i|>23|{y5kZKI0VfLGjH^+@YnKB}XoSeSt<5P&l8nD?^T`7sEN~=P zFat72@;pgW+#*|Zhx@4XRXDn4nOt(zn5)4ZLV3~@x;2l_HNdlP$;GG^D{dcxgl@>@ zs?e=OJSUPXbN%MLs;Da}URX|-LZHkF9u*bQWlY9}PZ;txi25Egm>hg^p6)Xv8^8jqjCZ%;`2UnC~ynU?4ALTQ;VQj>gFQ~155(Z99u z`{whF|MWX|U-bns(^74fBjU|+Ip`XgHNc))Z#_HKL4`W2WC`}MnF>|*W=$Kg(>REHDd_m{AEuh z#HJB$7ZqwBa&1Tc>A?_LUMS9?PdtZ&TDRCIS9#``=YlcM<3*A;S=H)mHxh8Q-aJb? zU-#6%_a|SCPTn%OV5E~5)n-&n2oM_UwQtjE+VYE{uuKV{57hXPb3IjZbbfeht%^qWWMu_8l7 z7mQ9`R2bBM4?(7NTyVDsz>LNeESARG9fC~jxFBG=F$*5??#Hi%X+aZjKM zKvs}jtGXB(Q(v(RmENx~uCEakW9pJd@_}P-HvOL8+{qjLxnKj)2rw>3;uc>s`g6NA zh|Th8KAeG8OB&6zCC1P%jB%rayVB!tA_4wW{;&C3Hr0q*X2&*Oh$foCX{87DX4<^! zz=4|sQQ~wkGG}%!nAt%vlHPN)(BjwK;!QEiOcZY(p}l4~5-s##7gK`~dzVI*9|}gk z{e|Cu{C&ZMpvKJeK4#j`mX9g&+A`1)E(%RzUzz?af~8vlX&+TNA;{m=1(DuR-E`c7 zm_RbgAxQn{g6PB}pB`ciOr)*d_nKBHItDOyvpq-Z&$41^7yutY8#?4*1mVI`2o69} z*u(bcU}W#@0@wpOy#9HrV5GDQ0Mcv24C1mSE$(NDm6U1`e~j26Nz%Bv%|?dsOhPuvcaSg&&doWAFP&K|jQ0hzHp4T94dP_RkoFM0HI{_?U%nw>6D zT#iEgoX(hR5gmbC0fQ;MyEITnvJqHN27L&{e>-UP3KX zaD}-6jo@IwwL?RXn(X*_s(=dscN`M#4;-)_5tKL#-jnhxZH@h$hWzaF71qf$RwlA+HZDBxSg!HxRzn##RYJq z{&)pkvmL)ZJ8qh5w&Mx1c>gL*vATbi*eG;7RiUbQ>HgIhzVq34y(c6j6F+5&)oRHq zD$fQPfM%#Ihq4bqadQy?ko3G(%KK}LRUoQ$wIET~%4u_@+ij1d_Rgr!{7 z|7*T3NA>Ud_hDu9Oed55z$?ekR~qAyo>*1ksW>XhlJwYt5P4Pcq@bzm9V*k)vi-}n zzht^@x`_wJ1nA^HgX9+&S_Ht5?3(p19f3&qr z5vb|t(@nD)*hl8&8#K6TIEO)wdfcJLu3IwGb4l@nB}GykJ``BoUclK*rvT|O8}mEu z7R_e*X3X@Pzx;3i)Eff)qlE#_MO&F5&BWJ(96})Qb#=kFQieiS4ZFejMTHN z;0mMa0NDc#e^ob^N|ysx!TrOUh`Z3@{U`weFor~9{e2kV3kVn;m zRq?u9Hp1gougpy|?LT>xk3qnqIe7npMvbr9KFcsOGF3xaPsELXKiQq7YfU9ZDOT6x zV*3ZHJQp;N*kC;?u;jY1{~fZ>@o$t$XdX{8`(GrNXPT7rD%MkIQc}e@y~hIX0Z*TU zdv3TyJYjj-34e)3tbS`!n9}?5PyejH``y7~r?#n$T6Q4TgtLvL1fmVR@5wRN7FRQA z?D1r?Cj^nvYyI~sY5_Bx1|t}bsR=k+1K~}S=IE2l9Cbu3WXo(09i=%^dZUIVZaxx_ ztzeE?42Fq#04uhULlw0E42e1#1v>zb>Z{IC%i*x$ScF#t z#AYRVt4hulkN;K8~4qXM)yw+a+Z>k2H zw)~I=d((rrJ@;{eMuf)!232?HbPEZLH6X*R@T1U=H;^8SePu-n7eu!aiC`cIShqSX zAxI78g8R~i3hmIgt5Sm%!TlcnOI&fiUa4afD^i2GAZX%j_Hu{XKy!}NU@o{v|GVWW z*1b+pYA_c(ERpljl+OyV#QLhK!CVmi^k@`?-iE3}8KV{y4d#+T5O4snyOk8OMei+z zs*~~#^sG&fvPJNdOWwuT#N}}E^EF4WdW4l30rq8kFoa`TGv6K{IY3${*)AUh>5}JZ zT2WR$jFDPRwbyOH5nYG+-_W4(Nl(wFES1xHuy+S^--j&XyV#$*B52ap$M4NSlUz0GjiefkPD`L&UDdd1ma~7h+p|nKIad9 z=^LMT;?C1gMbg2Y1W!SLSK<1(2?9@YQ2eR62`O5neYKW_k*rU2A`Hwf$XTD0qYHA@ zCpr-@mjLlll}E~1pOZrda@Oa>i~$&Q!IXOr%Nr4f5=m9d!ih}MsF|ke5<{jo@E(k` zeY#4Sp9l9a6S0W$ga7Bk&Mg7SGR^=W`)L~A!Q-TS@ED79uRj{EJjmD5Rj(oNzhHWzBL+KU1QUL+ zyt(NXOv#nsZ?ju4tCdcN@oM@xHaOpX-eI%Y9I74ouGKr_19mvy+>x}|FFJf52ZTVi zzih|#ck4x$XQ^zC=v%7xZ>}FZRL95p?$Y^pt3_9Vk30TA>HK9oj*lNKFQ<=}gOBfS zhh>HF4pam$Is?boSLuR9IVQwJa_;3=WDiw z=idF^XTL;dmeJKOcA*G9|LjZRPF*86d3B~?rmUXjm~8%xZ~3t||7aweRVTJym~jkeEojeSe^gg6y1sbSt_vm$S%DQ7g4pq?dqdyPhrMlhKy zOm12;H4Fv6+7r-HnS$(v?e`!xR7=qH+9#92dUCsi?bQ|6*52VJL-C`2j%Dn?7JZgI z%T{?|7{)EhW-?UPCpp~;6|Y2{pCCNs7xHfyF{$6VnRx)yQ+5j%Mun`fW*fQj-R+Mq zy0z>x7s~&|dhscLKXBj>luwj^7v;~dnH?yQlJS@m&KFELBjX{;S)C|kJn-vA{fxCs zl+(_KquT2bYN(=)2?e)We9_Aq%= z1<_RATy-j_!B8TnE`4@TpN21pV^_UyXo+WVk2Mit*FVrCZK!H#pgp}PmGZ&$k?v9) zap*@8G2LfQ`GdsgC0{rHqtZjTK3)FiMpVH^Eh=$F7+fAtkS#@ihxkFz3eK@dnlm@Vv#nP(o7>4XpQr6 z;W=qZWRQk`gb1}4l#`b5E|G_pHMbbm)?QJ*KWIOIs{`i{ ztvOoMXYh+Tf<6yPNn8vpXd8#;fZYa{4)crVfL+J0O7=lo`QcK<#Z&=1sC_`mCz4JJ zm?T*i@v}tXj%SHP#fH~KTbv}BQ*z1u0qo-z+8aAxlFaE8Br1sRV-f%@iS3#>pR#oV zA4F+L%r-}_?@xyYL|v1l1NC|4jEZ3kNeZ;?5f_Q}_bUSbDFm=4#@jX&KBImJvk&3` zBp*b#y*}P|L-hN)FGBwoVmh(xq`|2ib;QJJ84QiUXAR3^Jcjz~DrtBObjhm#LqMK-5Bf?60{#$Ji z>Bwt0r!c4cx))DjPP;{|W}H))mq}s%>G%DezxKZ9PgXP43r9-*u#7+sk2gEKDID1v zUM~c*zq{W8p{j*O*!jkb&F=2DTcT@@t|`brTF1EZb~l(cAc8Q3noEmAQ?u9>I`_QL z2uxvn=p2reLL)jldJ#eLNU$;nV)D@NqWgmc=<4~gk01sWTM0?hh}6z|4o6DylB}91 z!;wUgnxtg0#qvR2fT>^( zl}lKkt{n z^K*YD+j_l3)*wd?70Y_41h1yS}iLwI5$QR2u9HF!u z!Ab$IR%|?kre1MEO|9Fq0*+hWVjR0_Bpx?47yZBz@XqG%%v{nutDTG2-yKgd7C z|M)-q-}ojz>B3e5DH@Q7Wz|c=2?$@&|HgVlpD1-Y?XaxfZvmF2tV1t)XgHKmF#)4IG+UHUas0hOTxldER0A)Gj%d( z;{RvwZGdh|v+|(fryzhMl2~CdLaru7fc9k0|Bs|XGTli?W=P@fNrpsgZhC$iZVmnQ zt?srNh?J$4u|g2R6eIz|2!@D^Q4B1Nzi#2P9pj)95>O&K7DAO@$T1f$Qh*1O)d z*1PxFd!O%q_v?FmHdN1d_C0IAd+)RNUcb+JR%Jx$8>vGbXBSf$k@_a;i1MYfpa7?%78J_CU z$hJFm5kBFuUerc5yiq0S_47>4aVMmwr3Qz`x`UNU&2fmdkK&eM-baJRndU^I@vMEU z(Ww?%KCu;PullG+}O1*Lvb%ONClDQ(mAp zyw%YM-Vnmlf+dCSRKbzXxGGl{>>F&%rR!*8-9U1_?rX<5w`-kj%w3;joU_C;hIlhW$hPf9&g@ z^DZSd>aEW|h*p+DrFp+YXyxD`#ZJr>Sx}RQ6dWWZ?yVCOM1hk!G2zO0yq@Uu58|JL zcjTRzefa0#9Ko4G_m;S3eemb#^AEtEM_r!kvD6RhH#>-jU#Jr^cVe~~Fub(4nE``K zoFKq(&@UR@0Y@1bzmS0gvL@jTMj+4{;&=v)FKIQAk5?z=S^FVoP|Y9APcU)87`Z9R zJ)HgezuM$t2&$n;O`ZMwR-;@jLEU%I3Or_v;}&+-lTR0Jz7Y*vYL>mFTg2lQ1Fatc z80|mP?k(aD#X{@HxbL8gbc<87KK1G?U*}CpNC2UNYqnOmP>@y{8l=y`LZisk@>R*N zncxnjJ^}{@Oyi`f0~e&NHNgWgu86bIYYp6)W)57Cwzdi$SW-qU>$nmg1sG))qqEdc$LE2gqM8c#@IRQsk zyP^P$O%iBb9jJ+6A282!F?>lWxKv4`bL8MBP=a>WWU|O4QJq(Eh7%@=ch;nmHObQw zwKlo(J^GE?qsQ9=90do433~;0Y0fj=pVHz5Nn{~AM^86sx6u?3=!HvFGF*GULqLo3 z=*P{W_*W)5>XE4bQBp9vQO2FPC(_^qRjS1lsM8V=#vyBnbd2s5umw%#CP?>T3eHOd zVXSK(UapxTJsHqtmJboP;4AS819^n0Df^4AC+Tu>3qB)_1z8-5ezNV^oI#{9N0svh zz8E}Q9_BN4#4H};!Pmm#@wgxJPRX=eM63Q<+#>ZvB=pyh(XmMG)063x{NbPZhHw0y zF)Ai+fZngc51qsHm;g8ck}fU;P=uwk#p?tq0RSpOfY?Fqv|Dv*c`*Tiso5ZSW;FwY zwp*H`kTfLxfk1m;{gyPS`5a>c0Ob=MIRLgn()p*{Lree^=0cWSRvqTTm;l(pDUp!_ zWU9(5jv7%nl|!IBwNf_&w%4^v&7y2X{w1qdsQuetz`xWnj|Fp&Vx|&^*k71OyiAc9 z50$ogm}kP&XjRQac&P~cCf(8)(T9RD8gcOJu1m5V33Frvz?*ov@thVec8q6A_U5?U z3@%k^ErQmkBB|3T8WlgYmjC;o`TigH;;~4oObkIdb6A#ot+}?^c~iE4JLY&p#y#70 z;{z+Vk_p~C)julxI3nHe?V-T3{T!p8jz&#oCq07SNfV|n7$YeRfdYFeS0t-N6HdfP z3Ud=VI1z#vMBIr`n6cgzyw{D+VkC7sY|xTKNvP{K z%$2gc9la!4^=5RFvvqpV^yDmf(j|AHqdAbpjoCjW z-E`p2SaNo^f|;hl1cGAUCjVmYkIs(T^{q^8PI=FuT96LL6gTxf1o@T(qf2oB_e?}Xgf@E|l4yPr{ zu(Sm0vE(d9LCbG>=%%HUOQ9aNI7w}iebiA_4qG?)6^VCKcW0f0hV=OYJ(uP z4n)lXY8LLfPjhX|r8)BLUqm2nJytplg2@&HWAqW)0xGE90hA-J_{s0&hGnBZ>5_GFl@{R(}^&58_MO%OJleDiEKJai6CFTe!%2eb!rMPxxb z&4Kg+OtAL+9o9&-HixDcPz9}?3Y*#KB4lF01P>T~s;$%*H=SFQfxV~NHpvsplX8-5 zrB(L*I>%|iD?^G)laTJB&tJ4_>Ovmi3L zmoy7LG*s$gExj~H21^Y~e@Qk${6%*h^yjf=MMU%AJx4T;UpF9`Aq=nJ^2BU;*k?Qb z_}cMlw`h*$A5AOchkyFN|KsBWW`=5zv8oBT`TX=g@%ydu5V#v^*lZ*x2+UJMnwTl}1NT}> zxBm8LQ+gFF9Kvz|09TnLTFwfY%a~q83RF8_`ZyqCdUY^s8q=%8Nz<5KJ%IL2!ZL)0 zoz!kluL=?tF(G!r)Ex$oemT-hXuml|a~G8D22+7r$#i#4zzS<3b_G~gTyuI*s8;w= zj8$Wyb&j$MU%hh0wO~ghAyc&(fy`=Ig30OZJDWq1QEDOh*Ag3+{}mICErEw*d1Q{@!X zYqc(j9BpgjqG{i4(fvxh1#;LmtSQ}GpfB#vD$cr#n-)yuQN3!~3xHixr>wwJJ_e)o_6 z-f#b19?NPi%mBky8AGj&ktYg*t1Z|Fa#b#t7?0~MX(-VTS!o}rOrWsEN;vG9Zl)r5cYGXv@=^hn$l7!r4{eaR!^q%zRQ(A_6xL`!<46+qQQ+mO)_QA>Op^u!{Vf8h7C#y@tgb_auPF9b1=V2zR z)8MK7ZnzTsi(=6G<`U|j(UON)`r)&~y8P{6bmyG#&F6%Tniw#m@Ja)+D;Z-SYMM4D zP#w^|8u)G`H%ZagqPKH)0Vdy~Ieq+S(#QYTudMc$wBLfMPl7;$aAZc0GGJS%KTrZ? z0P2Et0m>G7VA0LO_#7mOrWkv*79B#h_8>99TpZ43rfK=9CI}Iztw&Z?^35&e!(-FQ+bCQ|S z1D!eOOd{tXNHtnXx&XFj8L7_J3DmM%NxA@464NGx8}YbD-%~3YZx5K46()Tot8I48#C%HZg_6L<;xwa=F*PaRE@(XU)+#Wm!a&Jx9yY{VDQnJBoENt#JeynrHj0@_RZdoWj+1Q$tAyZ{qCAgeAbVm5Ls zC|*DjWQH6o!nhx{^a9d~OazoO20!mwr+tEXxKtASVwec1f-7R&up%PuGOEc1)e9)c z1~)UEAJ7tP_vFh;$5t<(O0Gy#MoF2%kR{{IDa=}sM29l`g)ABG4$2qgC?+YwmbN`h z#@hpLj+AC7C!lercyoGU^lRe%0aHo&k(L;jrT#*Zoa`^O2?pKvf`KFrJmGL|)PnBT z$u@Au_@9d11kYDom7l#%(D#WFVPt8K%P_NgE!L^GO40(+Wso$1*WD$*=bLhoR@)v!tcONOB#ZlFT0O zc=@WR>MDo{=ukxn*uX`oYS0C#3#uT(Dv4ZuGeW1Y6->2Ho6Z_p8fSW|u_vg_va zjCTmkml!6bztX02Me!B|0!WGb!Of)RNimf*G2=e&i$-F$!EHCbeTA$O+)1w#+ zyL;*C%is0Bg^kR6={`1}d-wZ%5}(YUxii)BPP;{|<((_eH&b!``0x0yzBqul)XOsO z#UiO4#=60rz~Ez!jT$qLq+%#@JX-t+kZ~&*Bbg&enbd{A=-W2hi-8RIqbV)n zBds_ZhMN_mDP`TV*j!A6Xau7vMYLRo)vbjXDH-QzN|~=j4uUC85XCktMpFuttjKEg zCV@aIoBL!+Q3u8EC!si?<*g(W0YzbfbJ9X_q--^1VCMN^qpPN~7~C`9X)$dBHd5E< zo93qG8-Z>45GSt11^L+xsDGLwlchuE(_&Zq3sxo>MdKkIGR)|}4Kb`R{)I$fX8-~$ z_aW`Trzh_aW5`a&E~>RDhJ--gzlkB+c?y?y?BaZPp~aZ<6!2aJu4Sf;!uc!DQjK9{ zT!)9m`t#Hn7MtKx4Ct!h5DOt<{dPYkcoI0(Y?L2=qK(-ogA3*UmFEZU$QWA7ALOqz zw@}B|_aqm{(L5yuv05@a|1W&&-~Qz{`&74*Y(VVj31C=?J-TdZLihV4rnWJ0rGm?p zokt$HcH?f3w6#gl)4vqlYN{4&7oE0U&;CN0z-CKMmtbuLbNit^`3u1f;86@Rkp#o& z)qH5r{f0wh+HIM^W-E@GyJ}d>&WW|qjX?M-{~C>r8%O~^JqLszm#N+YF zi2HLp*ps#rDFhL5{}JJzWT4c>JSV)Pit!|XASo86{th0Id&YKoZm{X96`lY26UKgxbx>V zMR$I%vYHK>HI*#6vjEdb^)nNhh?U|%qRg*Pc8>;$R1*`x1gjLC0%TuR^rc6`9 zA-tHen2*CKl=2QdehT>BpZlD*{;a!h8tQcNDSN(#f`J1np-BUz(gd*J;^RRpY;zW% z$)_MSj}-~k5L)Pz)Sv<`F&EM(R>MHDVlba#HH_0{i8@M7YES{!6}Mc7r3r9~wdWY~ zDMt2=V$+>?-M1u3NIEi z=6uS{=Tk1n1eC}6Q7OD>%eP5*#;U*i&+k8Tefu6~n7MNTYA8EY(c^TQ$sX4<#;SGZ z4%=&xn@x^n^f*g(mosX*qjaLj2|Y(_8b*YGaRVvowBi%tagM4+st-|zsO_Fpi=uX1 zaBNUs73VJYpB|%MDe7^jQa;*>_P0+d`oB@Cs~!Vniu{ZyPB_7%_=hpP<--`m<9Xmt zN)O1-V*&Z&HE`$AhwC5s*WU9x-s#?=dbMr1jy?#^cp5yc^d5=!d5ULWepaUr_=7Oja;a>=y2Jh@(i?lxarM`QF-E;3+)~n4YGnC z)k>-nJ_cy_q9U4U_b#crNf_Z-LP^#Hy#MXe?mc=%^VXmHin}W>^DA44DVoAvg4ppy zTD^k(eijz57PDAu3R5W$PA@&n08WV); zTRN_G$mccZDB0t}lJYRzCrKmeMnj7&K8Jp@ak%ywFU9`Z{<_2BpLDH0w@ALJwTiO! zLieCt-Ph~;M2X-YS(?oLq8cyNiQpbdG#TBarZ}zT`mh6%=tN)u&B&nr&ePH{7X2xC zjJ%rtu;qqkQU?bpUZPhBp-=uGs`+@<{QLzn9?rjkkc%P;dP#mBbC2#KQ;fODp&HMx z?TxytaDC%CnV?iyps?L9jVxz=v$H&uH;y(hcX;F$wbA*EHoqCP`FH=dzw`2k-FB*9 zYbo90vV*{SrBo!K4JpVs_vYP6M>%)Bu@<;)XPZ0e>_gk@NWf!HXPZ0eE0n3|NuTL# zb0-~#h7y&s{c*d;Y(S=T{T$s%$DzT-U3#{yfh69I&6A#yd-D=3LG=~l~ zHC)Z+#N;m|(XXe8T0hB9Q-kDj1K_%>iN3>jO=?EFIR}{TBDqDeFkg76sqrT=$`X)$ z=bz{yqYgE*-czRAeMt8nvn#?6UMuvSp6Xx|8GdbRBI{UivB<#!>P!bfDsd;aYxoGQ z$wf-#B9r^D06HNCb(ezxx`dEGS6WKp$hO;PP6d8kM_#N(Y(@v7Fq`$;GwVe?johNy ztUns$`$xZO_rc%jE%54NJ^GLsecNEcfVGBADtHxh;B}f+*#cjf)K&XqXPR{rjGW>f zBGeQTFPCgf>nB2uAu&7-4$&a_I9sxF*d9Y-RHy08pcJwcW|0)%Yl_7vMpwuwNbwg` zU{L&7l9gJ!oWTfRyM!zt9}4lstlOG{F}@(4P4dQrd^Mh>Sp1;a|Ep{I0T%Du-@ce! zeT7?%`wL7aIsr3G=H_8CA?^>aHBk6MXg0_Fue+I8MJde=Lg-$+fAwnZ;(xR#{I|aU zzy8v1a%6*Y%b9~a2Gv}*W)kKS8l`rb&?1ZN)L=`?d=;` zz72qhI{Uic^?U#7XO0JNg_aCC*8;jP7PaVRbNYdDU`sGUEg(-7aO#45`K#b=3oS;$ zEt#jO_gpa6GXX~>a95)|1(Ug84Bn1l$I_ZB0KBbW@bZx5#WboCYIOpr7`&D44!~^D zY}{6HWAFxgGjvuUe~QUb%l6PIc*E3SQmM%3DDs!3%hrlj3CRw?li-)c!I5L`NQP<^ zs8OW58j^=C=~?ocvD$pv9dW^26O3nSL;SbQ!P|Dt@y0k$q`#m@3Aq*M*=phV_jEo+_;K<16A;k1dI)HMga;w%-Bp>oZ1%J?;*`y&4(kJJ&~!T1Wtwu3jOpFOVWa(;=t^gWHJxQj z@1kpwe4z9tj5s>189f9Lx`5AHBYwix(rBy{g0K1t!YXk+>NDN0RGH@auUmhJlJ{+i1+#f6|m++b* zUW=C_E$soFDy*j=8ysG4_!HsV>6|R(*&Z=gok(vk7vEmFc-k#$qvhG3xEX)q?|;ko z*MG=cHS}g!Hn`jxBs5lvusZG0VP>@KxlqMIh4iSO`%$G!Dz5TDb{8pxL~wb z9?fq-N8Z@L=udbs4+79O@Q(h3$K43L+pI`XIk1oxcB2iXbv&X!f%y&`ut_iB0DAYv z`f|jT{g6jYs*r34v|e*Hqt=(?g?BDc#qC_`tk5$*1bo4SmLH*5Ywv`IU(bl&+F?wp&w7erDrnr9CzP+~-dz&`N1sOpTbe%jIb?2!AaH@L zpsQ2-{_x-g#Aa$=Qz#A4QVA&xlw_wL*wrakT&+>`reC%vpGscQ)hR>LBf*O} z$CW7PbTO_@AqWm$tyWJ&fZ5{vRA_Z!s0T1n;u z$`MHTk3^YB9!omN4=yI(BzOCh((2>b-J`oY#nC}%EGZ@^eT-?%KamunY|T2H21T_} zgbGKG_XoF!JZ!ikaQf#PIax)kMjIy9SDo9_Rj4~Zl)u}0u(~985X*te5@0b9ZLozbOWOyk5ayQ!W5HWh>DA?6HYfd_3&Px#O)_O-D0KQ=YSZ zo31=(`*y}zdgY}Sz)G9^{CiJ`F7SaL@f26xAyZ`Pq?p{mCg0u8gqe^2@t^+Q@d-0S z;~$cJ8yf$R?OO@l`obNl*LQo-OFPcYGcNsSfCH0Y3J&kF zb~Tl+3J%Hy(b}VPAddh}t9$B#)Ep*wz+fmqkui!6tyOKuDK$qGJfLSy4!tsNTVIiy z!vqVhE|`8!VB!#m*9ECLOb~5SnwC1DpH%Z#F37Z06+}Wv>Q2Y)5&T(np8(L({grArseMq9I>)FHV$a%4l6Og9MFIQ*PoQ``e! z(n{t#gbF5)e%#o^9=oE^*hO`0!8+(hPfxCXQA%jK(E=UhU$4$5>2$B12P__N_$L+W z3vXP;KdE$IK}}EQV8IYdZN8U(Y66|EA!w<&)`H89IBe!nBOsswUb8xM5tr7(07)^BM*_({9n6%$t6TE=lHn$)EhC5B-`~ z()4C@T^2MstIGld+E|-t6rIT9u-vs~DcVFQ@&K+;Giqj)G?`bno;n~Y2r~KRJ(4@ssikY?!~H5{D(E8%Yw@}z`dYk&(Y)Ef$Cqu{e#nhuCb0A6mJi5 zvEW~$)37}>&dsB68Z7l#1LG;Y9; z{ZGIAC*I`U586gyZh$o&0o0H2fUqAZmz6SD`KsyQ!pv=gOvxFu>Tsga&e)2(C2W?g zxB=W$91CBOnF|x#?^hbZ@6kftb}`cpsDc}CyhzS-b?P)*OFUx)nJ{cf!;lYU+Yyw& z#RS1ghO4IFy3;yNH^2^!wymY6TQQnZy(GUFaRW>eO(8(sz`TMmYp32%kfa+>C6R`Z zS|(`*q2QK0@h*a)U!K)sn5a+*Ft6dBhHMBKD~r4bzry z%?umFe(Hyx{-*!eRisXZv<5NVf&&PAy|~z?704c>v#5M!$z7f5inPcMAO@&Nv?(AQ z(d@<^m0c=bam9<^@rdp$5!@Y^50x~C2}*L;1Q9BUc0Qu3pcRxz$qucvW^w4vh6us5 zqC`qX5comu05~4DrSHoxMj|CU^lpbJf%s{Y3KInxiIH-!J2XHyzX7ni=ftXF-)brV2{cUOk2Ls z4t&uU{P_2sKDEN%G;T3h+0r*C&v4`o(pG!YC+12{%E`bDh0_Q@3{`1!GBG9N8M6`U zThEwnm&H}~%u&pk0USqn9!VYy3~nn}F=K|lHdZW|4Z@uA=3-*TY`;8k(mDiDl~Q-! z4;?dR&{4q8Q}BQ;vu4GVF*~yNO>$N!G`6Y7ROH(uQzlL|4&IQ@qWw2!%ueW_#`UoF z0_NTJ=;`k0oB>FJi!$dkNA)WejVi`_(5`W7mA0%|_}+u!UQ^Wmvjt40KDc*fjEN>< ze@)a>YTZ7AgRt)tY69!;i+uV10ZM2*MBO}(qCcx-&B?aE@Cww377Eopf*h?&!-f}Q zuU}CzRInEy#?o7N++q$xd+Wl}y}%33&I%+u(P`K|w31dTkLF@8ZV)7a7wxJ z-Z2k8()>8!Ji`ONz;g}SP(0x13N3vd{w7G}tF8$=0Nesfu1NrpYQC^NH6^@2=&OCB zr?t!n%97y$UmTVzO>v)AD2=ykh6j9s0vn@XIXcE#G)E8mXTj)KBGDt*+1h&~luvd= zg(({^&3e@&xu?H0SLvm}R(NT!RbHB_^DhmA?4{wyLNjVFi~W_S8sDdvhTB6g4Zcq= z4Y%VafLx3nReOs z^y_A`plPaHUmKKRsW$7xNeJZqxgGZ3EvV#u|Mh|naM+(OcPJTexE=OKi8*qGZMKU| z;tJdFm5=*#+xM4>wr_5Zi%pzHwZ-M6X<8e;!(qAYda;cq@67>Ix#Ialpxq%Jf4g05 z`RApsU$LWJ*k_`kzCcybfTU;SCa+HaaLVdYj=6+i_-mi?Km3AMT@{jTK4bxC zv-VbIo3Bot&IrC|CRlR`U?}Kx^6`N(!97|r;GZFZd)n+&+n29kXZhxtAjoahKZ8y$ z1k^6bN306MD-)~0ilN$L7v!^Kf(ZB#oa5yO`FAn#F33A=g8S{7h0pC%pa|$R8}juu zK`0ftWVjea=mjX|D~bsJ@oQS~5w!MGm6Orf9A)xI0< zyKmU{i6N0*ZUULWW97B+t0oGeJ+9T=FXBc z57U#`S@H>ozw;fxCzKE*#x=8F!YAOv4Q#bFR?G4gj5H0431W-`U`gViBmLXNZqyDY z==gA0>o#bnA~3-n!bL?DuZ@4&4^0hXf`|1^^UlZJp;$i`jHx5A4Qc)Ih)Qr9H3oMP z8ejYas<>lm+VmAeWc`$rh$G9x71JyvLT>FO_bb5BB(?<@Z7_9&5(1-OL^hyNYR@vI zjzFf(vJHLuRR?OXB?L`ekhh4KIp)Gh6doh%%gnyo-yYnxTlr1R7x}+M%kQR{bCRpf z14Oyp=(EVl>X(h%>9whGFAUL4GIxVkU+g*@@XJ&2B~4e|v1xWaMN$3n8RH^*U(aZI z3(@*g@xS!aAN#sb|CE}FSO3HGY4Jvo-3A3d)#M&wR2%d}N4*ROBFP+nqsFC=VHDEk zC|*JdAl@qJHS_3m7yrj%rFoscqWUd?P#V~5OL2XdN zD5ULj)S)F=`*~^?4Td&#t~!NLgX}jsj9RWa>4?Ezm;NS^%pFlam1qxyF{N1H7alk} z5qNm_M{pP&#VsT zRdZI=a;n9eL_>u1sV{B;rJixhtfsu`MOjtTT_v;(Mn>zqo}<+H2q8zeZgPBxmhu1u zR5(*oQ-Z6IMU-ui#gLRlcohzKX&;VceZ&5eB#kHBWHF_)#*dFAF8Yqb{>aQB%jZc+ z2&B+bwtasoN`y`Mc|5d~6tX>@cd>gj=& zNu*f>frcXN0fE$V!%}KOLrJ7r1hr8D9s(j)>vYwx5addvX@WqrDQfB{JVI$ji8M_R zT|IEh1c*gzngk`%EP~+hVZoJxY}<)~qsFuvDb&e>DaDqSCqtmsTbXPkEwzOA7?jnI z-UD0yiM7f4G4`tRWa59#;<6dP=jT2d|2IkmY?Qqa_7}HCB60m87nN%no?93CCXslN zJPE*rQ8OH8cu(oxL@?jN5hNgQL{1}1($W}k2VGBWW+LWr0|br4*LpadW(4ysz%Zp9 z&^z$q7l@5ZhR1h-rfZHU!K1N4Z+?69@ceR3`mK;ejr+O}5$eX_A;MPs5cQY0{eHG>>7R3W{JM0hGK`dhvR*=fr zBV~M)-JuA=1p`))%GeOd$LF>mUrNcosgIX=tZX&M&UF*tZcw-0Y&S>JY~fqht!aiAmr=CW)A|B;fv;M3Pe&HKF z?8$2d(p$2n!0Lf*wz1Oq`80=8zADLU6FjUARLW=(+OG>|ybH?HV^fp2OIDaEXf*UL zNR@0W0_TOuoPs?~M|3Gn5cy$^rkU(jE$=JJgJYdPII?&%yPobOx)in|3e1Imf4T1r z)8^An&Rk4ohu|RNYdf6HR}u+%rYq8xgZ&D4+aiszg6$i z?9Qm)rT$MBtL_F6jY?_=n=D3jfcgw)Z$eyGy2vcRg)Au(3+~fC=X{dG_u)DYPUbhO*L(g`k5fpb^mJd6)(T+QWKV^o}Rco zMdR{R>wn=td-EUqxKFD&bS<8lTDMXf@IovM5(>z9^Wtn*fNrcwVzdbm;uM4@-=c1* zH*Cd^Q8+ug0km)m3_46k{vjJUJJ9wLN}tGA(MU=pR4R=(0%u3e6mJg!{95M(I$Cqn z<<2p>l1RwvoUmlpMNl~LO>$JNS52t;{oaF8<+M%H6;x#M%5FmLwa)CS5 z&9OphM*QM53*y&K&4?uGcEJm~N#buY9D55jLiueGd~>)PymB~F9f)^^x5FpFy9-Ha zwr(CI$&8fx-Y{fdLVuGSg3M=lJ0OV&sSz#oK;{#?eHbzy9{9y+5HcUs(FkNdJn)M> zdK?7^kNQoS{ArKz+n<#LzWwr;b+l5jz#8?V=EW4VW0J#=d0Hfs9DvN@=FRV>2wf%s*q z2l2(kiIl>TzefJa_UMP=+o`1&6Q_#z)|Ze^<;5JxXD}R2;i;hJV|dJ`H-^V8EFL&? zq>@4fh8i~S0O!26S~DJw2^7ZXm&C(}OA+FRcpC9}N+4+9x|BAP?HXaO1Tppa#LcIC z0KnWhKRV0(t+=50)MWdAw=@15E2_o+d%so+^k* zJrKkjg#mg%ohLzR0uu!GfQSJK9ymEL2|8Q>=eUM>5G%0)$yp;v!(%IA%pN=UvEt?c z@{+tFA9@qS;8*y8v?f5lw<}URm>__B%BynPuGx~F&N1Lgz?HB;T}g827?BGPH%sz~ z4{Medf52q4wo|^MH_FG`BoS69>JCh=w-WL@Mllh1%V4B4Q&MZ%>evW{A9I zyg%q;WZML*0=%Qmn(+qJ(T<$|+qt56hY)coWdhEU$di2Zc#8@ilvlK2hhCP9_ozl8 zXgX$ha*&+l8mRFs=@|G1x?TQO=fJ%8v#c?)+XFNtG!|F?y&x57e#<`?zknrXWH&wb zZiqBMMGRIF_L|tIp!@ev#)VnJ|M}oWB#fJ4#f&F;7(B^cLPS=<03{PMkZ>vQxu;T6 zRsU?lWrm2{Hbf+J(DmM;Hfc4_P`sHLiu)h=im&}6pI8%r`o6TzPO%hp_J;@FyH})% ztzc4=&&cfV$-E-iNDf^}g3*{(X9rjk0#lP*#eNtS3Y!lx(rHYQvJMfm|92*sQYkJ>Go`JbW^v({e(GC( z=iY0^Wg$52?z1CtQu~|%hiNHh#diJ2e9PD#OUDSa}qe+2@StThfSr zXm{2bu#~xXD3}+D4i^jo6)(FCzU!M2E00>)5d@w#`3_~~_PRqrg_~1H-+>J-OHNjW zRXyboswt;-FU!8Viy@sN&Pl#*{zs*eF)SZiR1_it`Q~bWDI&@bM6DY-mrXI+-O!yX|Qma0zHQ? zwpgT3f!_bhZ#(_$*sM*`a-k-`5t{2#tg|0TJ4Wrb$9y0FUPRD}2QC;DXlOGMPboDn zMFomqUipK-zSU7qQGr%k2Q;vBm=)Yf!>tA(nA8*ZJ;S)80%c#NC{QHLN*%^7=9-#G z)kGiTZS<}D-Iq`CD}HXimd#sKM3#>ze`in49L>kcQybF+9Zzk}O5AoR%6iU9 z+)P&D)8G6H@A_ZITYAwQAa)GkP{sb6>dywx?HF1VS|>Xiybv)gJ8pY4co?#xGo&9!i0Qx!Er#M-1>X;AC)E_PTKTo8&~heDA>s z;}KCnYE$oB^>7~$|KmL~)}mT=$be7uN{mB$BIW?lp4hC4Ls?zHPRJTor#J@gEKqs` z{^QlFB|23K55*1y(CtGLyP+4Q!Ci76c7wNf8m0G|49+G zZ2S2){pV=5!3!Cd!{rR3hm;Sk7LiEXSpAL)W>6TeE0k?{9C$eZJxE1g}>GzXu z|NW#p$v5@!s!3bzJ+e)(UO-fe>ld13Ctpau4HA|g3c-1gNP4e{ylRC-Q8_en?`j)}8PIOp=FYCH_9ePn} z&m5c*GB72zQ`0o+vb7W^?v#aH`*O~Dq}o}V`f7FQTgg2SqQ_+Q0u&F` zDtJ#QXN4V*PfeO$+^f7pOxD6vN+W_%4Fmf}IH0&vaeo$qf@+1Oi`7Bqj+3PuVZDT< zLpjgSpV*tE>BSVr(>+%vnbW?szuuiSqfP9HR%z1D4JD9Q`>uL5=H z{VOLXy)%Wn^pYXO|Iz#Ysn7l&=G!#d`)*S3>7DvD&hZ~#erkNxh<$=a#`e`OP(AYdJen#}%Is>}BD%qoH z{($ad8KpW(LM?m@qAi!CI~Yo}Y@Hb>(Ks8j`B0gop2E-U1>B$)fbL+r{^cPB+!w!Y zi0J4e#E341XajK7vfiVrKu=q~EouGRL7c;8KriC=Bj0(~|>W@ah#IN|d`C2x0t(fb} znZA_pez+{3xm!I-3(sQgsY5Uc;9ZC$#QX$LzQYxaP$s$l(zTp-z-#PKyp~b{$dZ&5 zYhxwZ4*NG_1Bp~jNyZUmAVaSh`XE-jDD+ap?U%#As)jC5TGByQoEG*d;Mc5aDEyWP z(>&|+#4D}8@j1WtpWJiUjP|{n(kflBnP|Q3u&2^8!5!PJm{~&a{xH!xTq|U4P?;DZ zT0daGsF2#A?Fz*|Dy?!bxPLS+cIZ0(4iB!doJF>CDlHQPAd>7i09s*OwH8`@MJg>9 zJZd{Qa5$Zdp+jkchvila9q<;}=a$Y<9Lg#Qu5-yOf#ki6v-V@lb6F+f!wR?ukfjc9 zyu8sSi62_)te|WwN;s?;?+y@|%Eruw==Qgi%u-zwRUYkO!?krJX;e%SLws4hB_aG0 z^L(3Yk2h$CA4zP$>4>+jm5g_YV;k`+!BBx(fsb3fl~M|`qu1gdk)Q2@DQd(d@mG1H zagW$=7VgogVNo?-3QW4uLo%NXi*CexS@{?1%!*F3e07uKX3pEH(&AlB<%5HrkhOQa zVY|Y2z7lr{@J&mlnO&N@x!%TN7wn`LdtQ@nuJXV?D))HW@_p{{Z~uXJ@BgH`$I4D= zT{MNO5_V%?hPUW4<)ei1K49Q8EDf|{XEZlsV_UJzwwOS)s&LBwS<<4&%Ap5FNx%JB z(PKsMaKdbJdcBx$feucY+uJ~4Z7;e=r-x_0`Q;HYw{zNsphNI!x8Qh%HK zSSS4LmQP$N{?~jR)+#P9h4rxL4^=H@F0Tt;d&ZTim>!pRZl$@zVb+|MJG?@fU}f05 za68~WtA{I;Ui{h~ztW>y{a=^%?JXTdAz|wD9|!^L~XiICyJ? z#=w3`_kKa6)}E%48<#70?-%ov+ABZf{qEQ%lz+@b>E+(l&ckue`(2e>lHbd7-tQ7bo$-oB4U8)I+54TnU)TlD;*d%?W?`Oa?|1GR zxV^4{qM|Oe)^pdu&2$ZX@N+-z{XgJQSbveiCkL(pg%)$+wFi1Uwf3+kX%eC^)E+u{ z{aB&gZ`N8VK=a!Y=@$mdwJscs6s3!nxu0$|gC36^8sK`sy`(mav1QFUrXmGU&_KJf zh`T8$dp~q6QlQ#F5^D;Q(vwEg1!DpEfHPOf*>czLGs8O}p;O z!8b#`Kjc-!t&8=EwrmSm|2C-(I#@7NHt`I2B4`W?hVunYWo3FG7A#RiNJ%1Gu$Cx1 zpv%xO{dqjT1G4LNRkHZ!{?dou{dN!9^j)){&E7o6z^s`b&o6USVFx(Gs=}a9T3r`a z7>E*>k*BT$V?ncGQehYY1oZ&H8ZWvYi7ITrSrLbe{LpSq?U0mWRAHbs=6qJHh#fR_ z?kh$Wc0hP1^##mx?UZ<<3PUQs6l5_fASdTl73uQhU zQrzK{%J`pArEI~FYSS~&S^cshb&E>Um7#pnMIU3_tm)>SOk7(FmfCJmeG;%{)dk%c zbRAItm*_g;R3ohnRO>~Y37wW06m(PZdj$7N5KF}U0%i-OYq(%-LvTV^#lX0(miO8> z`S#Z0^Iev*4I=Y+(d*9<((Oe^MZ?Vz(yK3i`}FI*uUf-Z zb1yteN9+JBGC0snGl{3(QchUAfgy-cLCvQNN}Z~tCrbw=R|Lbl*jX2hIbk0hfo|wl zFy(}IoMA7edCbpkR+KtbIW&wgCkzo0fnr)C7<0lHZU{60d;t(qbuK35ginBVsNkxb z7iENGKjcn2Q|SZkmz{YTDJKkTtz(T(7zotC56}g!Yl1MZ_*FvMnzP68?jW7TDk83Y zkItm#%2Q7Gh<0|7M7L2_GT)!I0+x}9FFoacBU4WJgqC1DL_GQmUHUOA3nwhzA(upl zd?$MxZ_yDA$@t}wnZp#Q&K0G5bX;?A1#af1UGri$h|Z0AJ*!d>ycxi7@r;~~s_~qH z`*djokuD89MET&y&?=q;|VIC%C^hzz*A zW|LI7*NJ({i#lR?S<_7PfU|RSd(P3cThtbN=k)Q-q>tb6MgQZM{`6S-7}Ety0;DG^ z9CI(80O`pJ$Kd|B+JZ9;R;@299AkTQ_9Dj%qlUVAsaku!Amv`bi=#PQ@LH;KD2zKmI6HWUh)~bF` zLs!xg7)Rqq+c;t@P5c1p#JZcCJYC?@JOvku|M`0UDSqE`U>B56ln5E*o~*>2LB1@j zqS-mmO7JDESqVVmCBa-F@%VMaAQa^5kb!v}LE<00<-IR{XiUe!44og18g(3_7FAt6 zqfw)dt5F!{f)oNEd)eRqY}9ecZ|d9-#CM&dThwto0NhK7Y~4v4M;(XwPg^HZq*`kL z=!cFvuCU=E#f#i_PMzw+^RgRInLu(<5AOgqZ&yq@4&knbiflH@OI}0AQ8lRB?&(+E z9;=U4%@TEy?s_S2L8GpESMHPXe=8c!f{R(hNgvK!Bb(G~&tAk&|KL}B*2~@}u1)&} zw$?3-3egdpMDg6v$b!L11k_>m&~=(p&wv5yFcU=e2^2NVQtUa#ku|dN6)=O;VJ7Hf zmrhIMbh03ImV4b=Ih1(ulbeKu{G$Wj>;>C=pm4ul3x7cMn2lk{mu7#PtxI!%m8-sH3fswh!d>J>InbJMx{W%Mh{zr@y( z$qG{UeH7qxJ>S8>MYuWqzjrQyP-p=MGAkhzf!Yqp4nkmNi*f(*A=zRc!(k3T{=+}` z*01&wf;vT3LZ~hhqCYr>#1mkoLK81W4{{IWGTb->zdf89(SscHNuvij=xRm}60{a1 zxI)*Vy9`FkrgaW9-G?XWRqs47ygu)WkXM#`w z8E6jCM}1AzJ&9+s{1aeV)$Ih!X$Y*bmEBJF@Pg_Teo0#%)L7<#Ho|F-n(YR+r0-!T zWaAphxf-+AwzB>0JA(fkrACNWLtMBhbB$2V0P~C5)$*v*2!;oGQD^vq_p^|q2FdV5 zFA5U@9(~LR$u|sZhDUmVQIo)j$V*~mqhZbPOoQf?Q4>QG5R|r$sGuzD*^)f z^c&T2STj7;m`S=S7{i10wg$=YST7I_X>Th$1&)zSAVa~4l^r3u;s^qACM-K)o+7}? zd7>eW`8Gslh`RDyI_aI98gZsr3ixvL#0QCmEDl&OFDg2d0B%COJpua(-lTN&X{OQ)rMg-L?a%|v*Y8VV1?Ez>V<1fT z%AGCwWPX|bZN8RGU8wqh9Wz;tkM7#@Y!A@%V zNu){pNB_)k{(ctaaXM8)i_ zN|*zoDLP*HSei=isSM4z$;%M^ z0?Bk=TvNB^1ee_8W1*U7l-cc}%!+;<0Rqk_Gezg$G|Tmef9ofG|M$9!pyjK!dU-;q zg~}lE6uSfSc&R`}BV<)C#2ajiW7iPd=&~SPges_Vfi^XEO4aX zT}(l}BB12q9$`&D@j7e9TLiDDGytIgB0ol>t_&kT^+3G0P`4deuiK7q3I0jz%*a>% zKe8vMS(Oh><>2a4po^Z#^&?K*e`?jWEuu2ZI@~(g%*bG0^>7|n0*0DaMC%@U13fLt zpzo4izqNGph5eC7PH9aDq_n1NyDP%9Ftrw|&Wp{Rw=odBBrUAv1izfj`-Kt$p7zUe zd*y`~F^w}ue!6KqEz6vU=bwA&98CN9_d;qy>`#}(H2cUcn!T6lx9E}?9iQ;czv<;S zzVQujKr)_hoVt?dGta2qwaJ4RLLV@=6`lcM0vz`p1LKAVeuI><2yWIikEybl6C4Iz z1r&;!#m;dF&mbo_khqYVDtgM=T4hL4Aw_L7IzWh$gZFbYI+jMI=b4b4;IJ7Tpn-2Q zAvwWOXLO(wv%Tyl!GZF>BqNT;Zaz6DIQ9TiNrJ;hh52d5QZ~C5%Z!dK0TB5|&k2qV z!C71renkF_M!fmNa4A+&FUZAGl;+KVtmAa3_vKj6nXk3 zhPsw9u(o3w-hsXGwd`#S51BDAQ>L?1^iwDNZ|MbWT>G;kPNB31pt+F0+}3K!?SN5jPR&0&?^Hkk!!-C zS9j6ZOo)Iz=Dg@5bU^UateFr2SQ3yTK5R!`T0VM2^JAFop1>U?oeN&LY`b%RQywge8WdALIUK`tG+9$+_hAOeamQ{0Ks!nUmWB78HOGE(ZvL z^mCw64@5z@ehh|9p^TRD{rqLyub()87|L+4YYJrqHV}S)g%Q;2-yS)B)sGLT0H&|T z6K+Bo?2r%|GRQXU4}?YvWwum_@%W-5{rJMFRyXzWs!3Y?wdy9m-7&qfLVgGc34FU- z)y-#~-UU;C=PtIYFdz}!G+}P?xDLhGr4TB)<2R0w=q~umOV3@s+)Nc@oOShHdPMU7 z+(4jE_qB-P+^)~ZT_whN;koy}ibYB!f~_#kPFOhnyEN%e_OrwF>{h=@d+|giukEY8 zc=h5dFJ9e$w_J~0TD_#R8Sv#oE~FbI)gPj+|DN}L<#S(Meyac-u7Y-+V{&!DzMqz% z70E^8-h+`$>S|5K?1MnP#D(TO&7B5viVeb z;CPQ1#O7;{4m}JDXl3;I*dnrE{v{MhxoyX?0=o zl?7<_SDjh&Nd2f+yD|sj!KF<)dKP`-Y5GDz|F;qpT0Ok|3-pX+iQV%cVO#P%1-u$O zk51MXOe4uv8ew=_KV;Sy-V5HAaKdn1@mdF0m#=v^VR44s)gu*3bd}+H!gY=NH@+oy zh_0H3ht<{6pQrj(*n}f*z7<$mLWl@3YWNo3Q{GJO(vyf>`syG3dq457ds$LvWLR0U zOw0f{M%sELoM+2|k~UAvShqF;CR-K4iJQuqH#ESygf)=XkG+Vrk&fP(;~_|p#Ay)0UjNh%JxT6 zX0RfOK75j{LQ+}L@_EKPgc%WjXe{q=A9J~bwT?i>niP=fN8?VZhlN~G3C_?(-D5jYMbHYR5`9-5T2?LUEyOWBRF z{u2Q2KoGy2Z_IAc1g7X7HZ7SP*>;no?vI-cF;H$Y#GqYXXe`h1NEK@?G)}K#-FTsK z+AW$3jni+@C56VX{phQk-#pf4e5_?bG%2?AvEaOsJ@Y)HjQ}G`bT!yL90N8l3j)^M zhG8+F2Cj%@6^)Zo0!h(g4A;;xq9r_-#ZFx#Mr%7Xu1Kkbts!lxBnH&bQl)?gOmi!k z3XLeflme?{Q>!k#g-iBzoa{1sfuhU7yQMy-a zO%1LgOTF-QLSP+owXvqw(+wP+W%l?MzPNQy=WckI7hY3CNv%_84o~x<*3|ICbW;W4 zaSrvbBZuSW$2oNvd;LpY`}E+)^aJX2aPH|5f(ExUlRc&%7APW?`WIi4boA>!rzl31 zG=kK;_z7rk$jltpPknBPD{j^iqkI%Yd%Dq4! zIij-djwq^dDC>p&Q8rADECllYVl=Af+ScVz6}+sG80Q;vFB_D-QtqWw*UPz=wy&Dn z&#O=RYnfk-R^Ol;QRYIT%Q7?U?Zs~&So-j!qJZd5ICC?oAclX?XW3Tg_u<$ncT;O6 zwFctF61w9xlB$B;qDqpRygEI}DXT|0mL5L$o8J3J!_+HvTieV{q4-9g<%nc(lN{i$ zQM_HGS1{N`5;ACOYO@G2HBfra@I(N((XJRXH(+?cgn3rPm1!cy`!RE~Tc3DntO)LK z1&frkLP93y1;|4ILFO6`1y=2XeCX|BK-3P1rU(O#bvtuW=)YNBy8$yr(_@C`T#A5J&i=cu zjPJ*l0%xf`#P)Zddi6FqF(Yv9tShxLRaLi?pIldB6WY+#TT(Ft$>;K*x|Zps-0LJA zCM-{#WN+Qb@@>FO74}O%_Rl`|r#@{2RSKBqK{Qj}Jig!{gQmbZrB(n~CMPkYNN;ky~;{T5}@X) zn_$maNrAr4mD@=wOkR9ZMTo?#bt6&g)QC?+P0{dg*{xRMAZd`yC>BMnaRZ_tkaDeK zXHe+^5?z$b`KR^>{!QN}N{>J?W2iR_v<%)%pom(5uVkxA`0%r2;82kICr&5J#v*YfCfVTmc!Lw&@iqjg7Rrclqxq)>FpRbd#~@H{)2 z6bthi8-C$aia4x1rHJtQh@a%{$A?4hEFMiKWg2`+iCA>e?o*00u&0zCy*26Fa~Dsh zPsabPJf%Z;#yQZFyo(2_im-D$VN+yQv~e}QbD%c|dS@J^6zJ7}uttu3=sE5(V;W~n zV|_?~JB=t*B2+D-@ERgb>6O3u_nvrAnI>fC~-66)#w=M@lq1raD95nN8V>*IgkRx zKp0Sg7&Dj6W%Yw8TsD{IL`pesBx!N&oXE80`*I?m`|i(s!;7Chk`r-Qch8jV_zfec6O>j>=48j3xy7;_?} zs}R{*3<7TzVAQ^jzwQxC+Sl>d$cePaPTIHPuhD*pEOb1}QT<1qRD;d>K~>SEnP1)O z#_-D?QTnGCh1OH|ubWSl2wC7Bjkj5>VxJt+606kbC3YrM%#dSPMe14DwpD_DNfILO z5YfKKC#)j%Ff<6}TZa;zBpl-Y^6=EiPqA@caYH8KqAV_|POI3M27Em7w&D1l604+% z>hZPfz&H7xhAgmvy>Ct9o$XKZ?xge0PTuO2)6;zsQizXAqfs@QDGcl1w>Ev1PNTZ- zV3*N(uX_sp(~U#Vu4gsoIgsZFMx;Yc@1(_6FWtZT@^?M=?)Q1@C2e+$dtUiJf8Qtk z5ucHUp~yhETR~N~FkeU!W$aspm63vK`KmzSO%MoglnjV)U(3j3ls{dNZ?p*lqXqV5 zDX{~f-KUy@;@ z6=`{^AR7O*M7uu`Clmxnjh{N>g^OP|EG_Gve`^cH#zZ^RV{`_-?(BZfjKww1$>_OF zWpsjj5j$OIyAoPLoQi0BwwyfZ#s;=)*f@&U=Ek87Ctz$7xm-MLxveYD?W6MUr!C*--T&}M4}WtM zv;hwjEgwWqtUwDVZ<}Eaa=XoG2~s%GF#uyI%+Lk-oRlpfD>&PpT{Nc+x(NCHx*&Co z37!-X0j;&!lz}HM$S21HL1eCti^Sr#coFIt6ZCc)G!DYUPKV~RWr9bb0HrSn_zIgb zU$Gc;&`c1NLFfvBdPDWL%wa5FwV;g?x{IV2aR&|`&4ZZaWVNMl|1!Y)x>}2xL*)$O zYQVwNjQASrFh4ZUHIz4d+;!1dK_iHQ3f`-t61&sWgO)|m3>55cgCRoNM5qGvMm@Z$DD$E2iI*WRQ9fKQ^i={(Tg_)qY?e$DoqzW^^ z!Rd-rVO4Nwx*}Cr6-57?AfM4A(BXL%lW39$fTTr*p&C9shH;M`21(2yO+wUvx^77i z+nO%9?NYtU+ijA=0~_P*86Mb}?vGCB#Eskor<2ZeR4G>%O|)&|Fsf*7PpF8}Q^_g% zSS1UbuB!A0*8a^>zpGJI_xO&2@jv|n{GqMvzANh@{z>;A^fuum)ogN`Dv{JjRMw6A z*2!%EO`ZHxse(sknGtH_3yuCBa~s30=aPfIVZ_-WY$B^c*GZvZ&PHkuAE_dm<~^QipKY0LL1?@#=|w|?L)W7%bdcx8gn zdeiAhirHnKAhbP@WjU3@Bu**qRnAuelyRH6rE(~l4|*eohNLH7Es;xIaUfqEv&)F7 zp$+LR9x?K^IY+)6e&{{g!70ely%9{=<;nvxmR%mmLyxLD)kaO&ENVi(IWwF#sv=h^ zVZVpfZ_T2z84t?OeKP)Uln4o9Q)c#eh7CU^u;FRh$WDoDP8iQ49qzyIUT}TU02RhC z{Rq#tzU3o1eeO^w!}Md^caXE~{#8KIB#_ONoc<-f${+gufBo4B31hh1CG|qo2Hf(J zK57%jXq<%q&X5UHiyA>1Q%lBzYnL=03|aRZ4RCx#8q+Gc1#7?Lk(M-V`Oq|`CU`oM zjf5*=kgMise8rS7KEn2CY=wGgvm%YDtq6i+wYo<%qvjQ_XZh4E?xtSU7#1R^XouY1 zOg2$*_j6`!ij1CXcHv8&rMm9*J-CYpJ7q8CgfX6@5P&E;+&y+7g!9eUdBXUg`K^ET zFMWnP?3%u`Y>Q5|PM$|DTILPXg62|9AeVQ&m zWNpO{KkdP!EIOtf3<{GvrXG5Oq1>e%5Gt1H%>+kvOntpoe?&55Boahp+@xmFwJzn4 zo1$x6%~3kI)vdyTTRkh9o32bbXPl%>?D4y+Sr+{kX@tBvp|6g5a*OD|e#Of#yVNa(Na}P8?XG@|KJ@Fr|Nh9Y{=^^n?|di;pc&*mgOwnh zO1I!iuu@648tES<(2=7mUlsq`1PdDp1h+!>mjuN&%KAv+@0sjEf#HA&XPV6N6~#81 zAX4{I1UaIaQz3&cD7LW(mVpKEzqiLi!{UNcOsy-PQ0Jwi4*uWvV#GEU!Q&DodKFw1 z3Lsxmim7(!70j&&f}XFGNcAiHJa}IGhr|d|z*Bf^6j<7;5mZAt0+@l!>`COA2} zBZk7{M?-KEcAkahkiWYn5pB&&U5z|>0iCo~uOM5J`U&?y1JDUt%2%~FQ9EeszjliY z_EooR!H!zvw3QA;b2RMmC{?&$;h*g54x{b82Zy@e)uB`R!13_CuTwmg)_r*!BDDXT9}j4c{v60StZdkBd_rZ92xBu2|2AvDjPRmI*^(c&ad(n z)m(V&7r0=IMC9uU*39;?7m6NR^R!))p&3=1jj>aQHG^IPk<^MW9bfrv8Ke><<2^#O z&oU(;E348$6C~q3ay-a>M*v;^J>xw(pj4pB0k^e%<)wGit_b;h-c4RR##lCM3Kg(P zVpyh~CqX3f=oh}o(p@aiw7eGBEU&N7N!h=hdyyfOn~cj2S7(9U(rWDQkjdMNtgXiB zMdm-n*RHekgZ4$n9`+*R1iLRX|1qvuUgUu$WH9c~^wx2II`bsdCqbW-qW#WL6Hxvn z?DyDE6EH(Z>^Iq$lGhLVqI^JZJDv?>Mqoz@CyXH>sXjWwc0`Jz2!;Xs(iSN_Z$cJ= zqmbT^Z9l$H6!~U5BSmMP#O3I4#O2zdCZI%0cs?0ol6Q#LkKrH~V&d1oJ#dsrIG|1s zarBRD`w8o;k+A=1u}jx4lS;zzWjpLILtK1+Oefi;`tpumLEK*ok~JUH%!tv)%j&y# zG2#U~+a|`Q1PzM8C>Wr#1xAB2RuHve6Z2*2CYIXm5R`#&;hg|DfsJYh{=E1Pg9l4d zU$)wv<{JyXK#kV}hD6<{VOC=hj zcbd^w^r0$*N?GJVT84f(h2EC0;K9*_GC{z%h(Dm)80}6I zK-(b)jBoOi9(9M@!=lJ=zL67?D6-TwA)!(55_Fbh^%5iv;7*7BJmwbM#U?OmZt0Wh z&W&ttjwg>O?j&p{EYI|vy@Ku>q!gZ=NtuNKjgPR*-f??)8#vNgV7Iho6FJIgclnH{@Uc$TBf~v1k7);x>5V>W&5#`y@&9_vk zNrBni{an!PlkD5v{d_as&tLx=zvw5f#(^d$aDKr4qHm=$-%H9hW!Mvx!+AuCBwb8! zyJIm8soV9s^ix|E{q4_6F|7y=B2px2T?9SB+%w-xa@Iv~aKx6RtW9ue!WMmma>h>$ z57?4oT3NF4F5!pl&~0lmtwQuXRDsbRtMceO!ZcwDM{& z>w=!?gdhevNYqRGMSG5(>0~|V$ZRZe;z@x*ww%$iN?UU@CnPFq$4x!VvG%Jke&s7_*M)`5YPgx- zcS={%jRRN9Eg!i>Z7z9^wQnZYe$$t{;m?1an|yVV+ole5T5(P_c`QyFSe4M;kXe9^ zAng$YeL|NPa8gMy#o9Vc8`1j;WD<>FjI~Sj&O-zF)drd_lY=!5C{}5EIFrSvB0RbGWPdc#E}><}MT(TXJdSLo0CABIp&;>#`)f%Uel7 z@R=lfRFI6o!BI5OUcGX-JEP`VEbfGixP{#{3g!p<&n=;R(lybsT|PWsDRBI9B~f=5 zPEKY4n<+l&y~hG1w?oc5qn4Bb)eDe9@u_xrcL!EFyv67y3E%GTOf|}L9Denld)e|n zZbo_9Et-w;^i;_u-P}L$4S)T&f4n1(^k#I8vb7-~CR9+1S%}6c!y;;?{0JaHV|2pn zOsHkal<&42DUd8;a1>@0{&jir}OThRXY$@srfDkQ*5tQ_movr)eJ1o&fNlqcAHS_!L5T^QvNG4xyB^pC$h z%2NsoWYck7`q5MktPu*vv9?ZqQieOyN-#|5VHJ(akg5Pm0m$&>rhp|~(L`mq+pw*T zEB4TjMrC*aN)ZZMe1BvoqngQW=bS!+6)7mtqM(nO2t~YO2tsQzlwqff1{%oOCS{01 zB^f=iBu3z7t1_zMs0K8qa1>6}Ci=ytH9pzBXtkc$!&Q)fGL>b2{Tu&e-`QXPrtcG_ zpx!J6*rVQNp3=*x`xH>-7)ZXM6?VfA?)5cX_P_bDzxd}?o@7w~P#5$l{u}^>>s<$d zCox)q)eTOer3$M^y)C$g>3u=-3=dLSqOc(4l9d%V4D|H&XQ{$$#naG)SE?`*JZ{bo zcx^zBXp~gYBl-)qT;hjLphvXziLTpi!SE+4%mhajlPZwO1a_hWOf~RtqZHy-JztBo z9@0i`#(|rv2*Ju(K3obJ^poMShxHOnZ|oq`+(lISo64yO~ea^4~3 z5?T&W0Y}IE`H;l@<)Lv!(1O8*Vl(c~MW zki{SPhubiOOshqf3(+l%LNOm4oE3=W=`okD5+(uNvocAA2?>lV(6qV>(stU4Cp2e^ z?IiDX+g#+BgcYncn6{-hf)OSfQ22_powgzvI_Unh&;P)g=jj}&22Bucomhx2_y(DY zM$j<{8~}sy*dhp^MSIm$jJ6^w2&AqiUE@V<%YJ0BDvjlBxCp04upP+mfQ;U zNVuHI_E;@o6D+9ZypZ`ycY3YL6M7x}EmA$v!ki4b`DDngwMfk{{M+M{E&6N3Ihrl+ zqp`fN{@w3=`Ct5$I{s4=MrL_S`Uve}Yh>4%{Tdk%G?<}FiMOE)T}-@@8y~4ERw25R zgks_iBur>3lPbhU==3X09^L^huU!l%WzXmQVif|_dtxB_^J%oaw&FlKtxde4=}##n zK@igc5hQ2;l6{(@+jFK+Ob<@9y!Q+o06HYIz9fTED|+v$elj8%$gKq#Fcun@6$r+! z9~-CuDW6L4%a50azf*$mvmvs<1ne)fpA6#4?ON>D8%22n8?tW;TLPan$%(YX>MXEO1oQBY-u-BMqJq zHfc)IdEGsFc%~QNDr5BzeVwZfIZShoI6Tw~B+lt~LK1ufZD~yzt2DX1IIdZ7q~p0l zmuz#Mk*AVtG6e<6wXnp6RQ9xo8iYaRQ3f?o{bUa{{;fRJkc;d{HGdPID}R3Ele%~J z>O+ksIxV37P~%Xy^H2|P9d$;d$f%Q;2jLSM^C0MDjB{?8%t%~EYd%zYhnOi@V^CG( zIzp=?yM06Ag%42NpWB{k0W%ivcI{<0nR$N5ICBXDw2-}~`5lFD(e zAldf)h3U*UL7&6d(7B>O-IVfRAdgCyv%&dB766h>C9Wd~v^&J>2R9SAp~3+VuT@=4YID$X#>3m;|O!tbPWQ~R4Nc#ll*Anf;e zDcC!q`SbD>R`kpVcUTfgiME6j`WM9xxS(e~@N|NG6JSP=A%bL6i;6DjnGZZR`(t51 zKv#xZ78mr)2jBmVPK$;4W6~w`cZ?c=s>s#v=%dlDA_KZUepTiB?7@S=v7(3DzaZ|B zS_+%ERkmKx`oWZy{XmoskP3T#?C+hsr$yAafThRxPSe;m_C&pa&@GxkW{%xwf4>O3wmqd z0@f+OhZQI6hBR~RHl(juhnyct1(XEczCrK=Q>alEnhzR5w{OhsAAvB0eM6HVFfn;W zw{KW+xn-0i(O8-OP);AF)$JP+_C603#NEw`F|!Xco-%j>N=lmDX(Z%K(%4bc#G8Os6Bhd$Of@qJA zq8>@jz;r@Y%f93=Rrh)nfCatMTpcM@k=nQ6eho|bF%r_Udhl!{Z4f*a?LqL+2j=@C z0yc)KF?3|?e90KkwG8I;RCmCiKG&(Y0de9C?WmXdCa%rybnpG z7*%YFTAoA#xflMw&-uU~9k&{YT_odsV2^ctIUB1{0qSc&mKwomH88nTM{=SCZp3P! z_pMlsj-HK532;$zpHHwF2*YGWqy>kq21wK;FUPS(?Nvvs0Ts+dBZ*v=T@BAngXu$% z+u3wz)VZ7ACs_@2%WYJ19$-IONe@|3V_t5Vj_1*->hsM>R>SMo$TW6rR=hnxo00II z_66N|?V8DItfc)9l1!$ylIaFnLKJ-grEenGf@s%|80aCjaM+hF6KS*>K)V^V7d3?T z=p?X#c`tCotC6tC*$;8`5VNWWjLeEL zUC`NrIE^~HNf%P7<5tv!Ae8P!Jabg)F~3Hmb*uSRnT;LJANk6ZFOVSy!K%u3Na$r? z9E>v3I&NgZG!JjE@{vFBM&|$i_AmI+_kUtdy=mFSLX%R2!P6iE9FS(ltV-35;Tyf`(%{-`<)FGfd3>zw4<_{`Oz+o&onf+?9d_+a5r{#H9WTS&fu1 zkA5!R-Y0;I36*M+N1!saUUrz1+=;@)EY~{z3pmO$s!M;KB^0mwP!QC9O{|C>P}P1e z7_uXHs0MkH_?(p;hj^0;n7}1Dr3u%AkwI{cV>JVksWyYQEK%p`#zx7}T!L!9rnf=$ zo+lHkYSS+Y!(vJ#=sI0T&y=P8b>-)ubl*PGc|G3s-8w%;QMIO8TihZq#9Y_L+lrr6=6}4 zl1VEv@~DDlQIU@+=m1}lk%tM^JlGDXH#L(kD5+8#Cot4}NV9J%4mKaEi&>ULLFRn- zp?IIRCgP`?X+NYLQ>&f&BBn4Tp->w@{=FymxCL!3L*9jKSEL{1E zJdAXKEN3j<&0+EG!aYOA@Vcdhi_vyd^LXX08!?cr_GKRL%fI;pZ+LFZp&uM3CP-^@ zST`$$8Ub-r3fpG-vqKLI6zRRiPaov|7#vR)9s2#yc(Ulw4~`Ox4*lRHvFOkb4-#X& zy8H-GZ`U6rR<&0Bpw6~qarlM#==$9wf#UEm@jv&q{Zss&pZ%M@Pm~B~V$)=TOG1WVxS4i!}CR|*BicG4CAy|H0}0ON$3S@B7U`^%G48xC5S5QlN$ zG4O^DfyIRVyD*tmEa(z7L=YhcnX)Q>=jjg2C|5uQ2Nx<8d`WAi0yL@2(%YL!Y7qRI zjwnX8d2f&|~#XtN*|JEP+M(0h{_r<()GZC0=OnMElVqus@o56~<7M(SysZnshD-$y8jc#nM zl9zJDQ%5i+&%itmkS?=q0M2Ud+*gdYwz%`K-XQL1&oSCsU}VWYf*R8rZ9PpoF4|hu z{}cj?@hzkPNqM_yYj=R^3J_oiD3jLA{2WvAYzLHCk+hg2NQ$~FUJLrKISB@53AjR= z;!{mpB>7WoB@E}QAyim1-W?}`#ffTDQIHHd5$TZ?pdDIFf&7k_J{rlWm2awRVqsg~ zK(`BYq2D=>f4$lo^A~)Fuu_3i>7K78n89A^_Ae57t<4u39&DySsk6;ZSY8*eP<7XH@M}k6zWmtt3$NQ2U0h;p!0(6?Qet+{}1M>?7vdl?>zGpjB=+ zfilw}-8LOkaJwgI-+5Bf%}h%Ak>B}UpYd~J7A#CC>>8$M!Qf_-HC(`MjdjTF_&e~A zOH&6hZ0tf9w_tr>w`jr8F?doRdyN+UX2od1(EmU*0rPT8877x53>z!t*PU~f7MYnipO+<1K77sCg zWiu?-iF&(RJPKlFuLvUlhPW~vn*O={Jb=@c2FeX48#RKGPBTd~cQV}wy}sRcT2aLy zm}L3MdEG4L$AgcWTQ!=-kLK;?V!UX#>Ib!<8H`r!YRF(!OREd_H3Yg{^iR>=)}#8@ z^(3NP^R;a1;d5`fg_k{McSfRJmOzs(h2TdpwGu*2Nv~bk@CH(>q$_7;oIDzE3c~ot z>sUqAT$t#aL(Q8BHNWdy{?@PjiW^?dx>~Zdje z5s`5tnCe(oNkSt%NNV!Wlj>NfHCS|Lcz0;;Zcj3Uz)AKhl3*Ne51#5+=$0ixFxK21 zmKqWJFQi)4DqgEC>GnV+yxoyyC=}*KjXABOTEHGdu3t0+GSQx@zy;-~vj+Hfx$ufz zM}g7scO7;*8AB7}V?LCjPQ!pS(dQw)zNAl;g`~(I0ION~nKvgCl88?+Xv~OxnV~E* zltnCRfBgJ@5YqRBCFvQ-FG>-NIXy4l;dx*V>>02rD z#(r}|>wV6NiwjUMe2LizH0 zt2&bL9%16LGVUKR^|1Yw1hZ-9iPK}sh0XkMuF}!3-Bx^ng1KlrV9ZMO$_uQBE_!q>J9lMEpZ;4qEuCYYmmGy z*>MX>fpV^|wdkhDse zjM2XPQ9+*2lMIayLBwCJGK=~#mJu;x)!4%3WYF2 z*4L2&{U^TT$NuuC`|z!E3RLD*K`$bE*6PKmKuhlyH7$OlM(2dzmFX&YptMCRxSEY% zRG@?7B$5KH{y*65TD=$*s4Mx^vJ+86=W@3>Vv><)TF~D$=NJ_zpyc2z6_4@Qx$2}q zL6T>KCzk70CuaqUAV$4<(B!rDAS=)nZ557=VHV)%&L&1ufMy4gAp~P8C1DWT3ku}e z45|iZb0q(Na5zWu{|BdYB>#V4JO>D^;w=JKJ3}-W!zE{gG}oT)(Q0FH3JG?j1R~Zj7s|0KqdX+ul+lJ z@biA%2sdvXOL{zl*j*qpPG?Kc$mnc+EGgPG+DVG^jH+NAOS)c_-aTKDo>3L7V@ZMM zX=#D!8JS=mOL_#sI7}as7rr7rBNOC2a0ymX@+?EkU65wi1OXMcu_2he*sMsaTLqU) zUcx?Od`Lk~`HJEhnIxv57sB#7j66tEA67{O@mLesDa=i2e@XF-OcEHYGU^mVLE4)m zo{>p{53`Iq-R_u|O+S%%MkWa?SixBVtPF1}OUCI^F~sH5 z8A9An-4rRrOS}w?9jL)V z6#nucw!8x)X}V4paCRAP&t;f)i`q=;jHkL8Jk>kC?JxeszcE@&Rel@fNXCk(b>t@Q zs`lKMNWLwmdNO6Cm|ACZqL|vI3i^{Nqs3IqnBQ12wPszm8#MFBKpnw9dk`(1;c1uA zVydTIdJ;6GP^oLyw98mA^;pv`;6`unPEMxOv`b$(GbOt$?Q&Rcx<%i3gFNkmdDm^V zJ0(**?Si9sBx5qg(=K3(Yo897T>q6k?Sfg~?LA7xR8-|l+GVqAMzW4NLGIT$>P$V8 z^Jy3T{-*pOaZ!phRAxKK*2Oq9-Rq$;- zAhrr>j7CbEL!pUuL25h`ERiGxF$b|dG!>o+I&n1cKpJ=XiVR{+kdtbp>0eZL~ z)tw1q6ay#GARE5i7iH^$)OIGg!}v)}o1;YAUbR>xlZ1zjC>KlaV4FIUk*Nlgd~{6! zp?0ck{ooSB)-^$xEx0BCeOGE{f@Hiq;2T1m$qN#tOUoG~Ga9{N@bwR03p$nGPL zVgq_OyxcI16601Xyu3L-EAc*61mdns?{NIcyJYh=0ku`l?+cf4&ZH+ig?MC7!f zjZ&&A<|b=`@U%h8ej^xjlK^d!On{S$hv$ZQmh2=ENjh}QO?r|H^Dc9eOlg8G?}j_6 zJ(xIII;Uwx-gy&5iCPP%_`?!w5FFK5)G0yB+(30akxL4_M`0-^uN!dHDUQfU8FjYDb2ACgde-T%P?CqkWRlzciN_hM!ODJaP zhTY+$2-xEqBR^ZokiA{(m%M#2Qb&Zrot!K~FTuG zd8Qxzi8p=iXS~tD5^%4U@3cGe1;}@aQBUDS|r?j-bR3W)@63 z(fo2*qf|cXiWpQc0m)&%WrZIp4;Q57sDi*qAUA>)(OabX78jI&q#SyG;1n_Xr(3iQ zG%Heb_=-RmsNlNfzs`R@DNpZJ}ukMU$SJia)9wbiW>Pk~t7KxfX>h+{e$l#WUpDH>6AIm`a2lp(c z6c&E4>rA8X=yHmmSJ?O1KV^E|F6dB?d3Cr7JKLG!dtZ?|4+m@-wdk+9C_gx0)khE^ zG*7Rfam$@rAVbN*47x*>%hIVCZen=Q7p36@w|lcjb7y|X#Lu!dOPeT<&W6ZFGCb;w zBU%w9rFvR|%CC`>0+OwHL`WyE8Bz{~RKm|wW+#{=D9nx5Xj9U(K{7n*h-;U~W%3H~VJf&mX#6z@?P5sx*&x}Npmv=jT+_GCWf@r97RX#7~3 z2l=r)^w_thZsI@1M?OFN_V)0vf8(FZVLLAxR<#!mhwr{<{Kt6I@}dodw1^Vck;{P* z5<(6qN9?o#N-@bp8iXjk>tP2zYB8kYb_mH30^u12SqR>`&7HR~#7iKe4IitxKexmF z2&p1!iXq-5Ar%9k7*aCCOCAse^6_&FCP9~<&UPtbRlS_;da+HItSt>!xSZ{Fu}vZA zX|YWqDFoUb;`ML$i){=^x0}T_g`^P3`*S;-@Nuz?qhq$a#Wr34wz+=U4*TPLNiJvi zZ+lp56ZywhVn=7wlXGYxRE;` z!+JH$b$;&s?P!sDIv7F{zvF&(xXh>}(@N@BX)m6r;NpDMFiASjlCF|kBemb(B`YzV1v3Qe5oBvDcD@RIj_38h@dNK~B$bL^OF z=48g6u}^G&bC?-B21-?$6p*0_EiOt`K%f$+X;U=;HH4W$f8X-4RF5 zZ7~N?qhKq{Yo(blLxf8kgaqAA>sL8pd8%T6LjoD@U7n(O_%b!v@|!;J>wh*_ME*X# z`Av+fbPK-63h85Mo`43u8dcd>hVB%>0Fb-mYeR#hI2&Ku|&Nf(=-j_>#4NSyMBW)lM0zZyEtoVIFX+hzx&S59#Ah74DiS`fcmWY4V7{-7v`>=x=Ae^NX=>B?;Z>0^G&Yek z_Lo3-T%G5r{tP`AY#-G`!PpcX6@p`38Fcra4*U8A^l7W~5KPKeXe~)V|e=(#NfJ{B{ac&aW#AyPYL|`KbR@#)P%#|tnF{C#gxjI~3A7;gV z3@Gf`Us=_jUHy8VsvTRtPt|_olb`kH-xACor3g8YZiFHDUryLuJ5M~AegY!+Ks>M< z&_#gsN_a!^GKV1VimwR$K*dN3C=qpPrw|la!B!lcAT05-38ICLvJv|x2-8{gLjy*K zx!|F(gJNuPXh9VG$Dm!C^kYDJpfjwHs@7K=ocAlL)+L7r{$|4Fz`$QPpj5SGP4r(E zbP=SO4zE;HtxF=yq1_-rVClAw&9{fHtgeafc4}p85xT$m{-DHDyFu)Lq-dW5mmF2! zsy1(mu&Jx>+SKK_9##8Q(mpvlu~4^57%3lhe01&o^{jCvFM z0&P9thqi}(#K|SXke%GyDu7dT-nYk@S3@c8gl$ zcw4uK$9*L?e7*PozWkv-84iZV3oRODeTslyqhIZ^@)D+s~x@Q6wy=O!y+gfHTx3KEJ~4l5$y5_bS-6f^aeU`C@*X~E2P|G<-o zFRJ8gEz?bj0sDZV8+(K zHC&&LWW;i~Lfb8qr#L#`y7roAWh zE$?XlMWYS|BQ1%f)EB7O)t+3mzn)@LNzWuc@a*CPM1&EOr8V2^B6ExCGW3UvZSYsz zi&)+MY1)uC6|R9dixK(U;Qy*varQ8-(ZhIB*$5bv#3z{1;T0Pl*gJWNY0epv*@;-* zc_dE6v|BVg5z`y{Bip8T(i4p@-oJSJv(LQsossNZ(ElVL{I#F=?CZX!1%z8CA||$t zrnG!w8{48+CZ%yAwl=X1m5!ZsQ8rQ#!;BM6k|DgvM(WLP%1#6tA!?6z_$St+C)|?k zM4%Np7;NI`Y*+|cc^lb@IIXuESZCPW6A<4|IVqhje(aR;-)|G@@k3dP*+g z$<)VAfG`Yx89=A)hU+!66A=^WHoNYcIpG*1=+?Wgvr{_}GNKQh6;z;}=^BXLMCwiL z=LmeP!bU>Ujb0By7jvH>_((l)a+v%0)cOYTO?;Xnqo+~(1RlBd_yp|`RcY6oXhYK8 zA@O87{dmz_5pY!SjD%2E?||=1_Nwh6aCSdl8P66x7dBt2cshdj=Hm;r6~_mpn~7Cy zzQku8FFBv}JBk43{RQwU`wOozevV!q$B$Ww^biQ~W0;Kl%}(801s_il3XW zuT|zuEi+GsuDE#8-zMo8*-lD@6qB?mRK?IS(*O2+uyUFcJA;+eo!EIm0OEL6XS@p%6tF&-;gkl1JDF3 zu20NURK&apLwIOT0tT`J9&~58NrWMA|Ij^KayeL$flakygdwE*37G~|zcuFPHG%;F zVMG(UYe{Ab4Y|6#8H3dfN#He-?7}!eww|jj`aym5w z`9{@fXulDtCIrWre!anvYS?o*BB27~(-ieuODEOoev$u0YGIzbbY4qrX^EO5D88$u zApY^1x->VezP*OkrsYTMTWf%3GRXBbtp2&zf9bD$S8K#uED?>cP|*xa3Ni@hN7e9K z7;&Vx3mF6!rOmp85hodBtK3kGnHVI4>|kic>>V+@zGY;D5l7+!G<`%vfQ?rtmP%iW zC8ALoO1?!U`nmr$FrW}?yK25RUx_+H%8K7UxM*JF$xC}>x zH(APxCj=o9NMo+8V)4*`c_!UH+iiZ0OtW^!R#V({QJB0Pd-&QaiWmNlt zmP5e}hFmp=uEK2en9(qMbLvcf$wqm<?o%H~__pW5M9 zLYVC-Y!A16droOT_0bY$PjDD$V%*<2(;AT+^evz9o}d5SaZT#I$yWfbKvBPFPTsuM z1T>0*EK$o5$%RHRIY#WY%Fg7XNx`D56-Cyhrg9Nkp+$?4v=oxz4EbX!!798#vK7tmp&vm!Vl7uKnKda2 zGT1zlfshx3$H6O2iY`E$2}#L)$JU7N>UW1xnubi91M#Tp5{X;ulGe5!YZkU_I(oi8 z*2kQZ=!@B|nQstE|JffLlAyzOj-Kxjf@tHHE~P1Dx=L5J4Q*&wVkP}zV=-UmjjAOn z{jf86RCnqrPSY>?3)po%8*Ruy&iDqyJdd6MD^eJ@3!$*uN<&(aO9M^)ZIL%2*J4i$)Z6CxLqNcHpkoEpg>* zVlu8yKmb3r!%$895)e;;C`th~c6t(VD#RgaP0NpCDR}3^}T4%(@ z&CuA*Kx6wq_fy~Z#Szfy&G14zw<;LVM_U0y4u_%Xw^ZI}c_JNj8�)nBDpk` zHdhAo(q3LuVfUf&n+5Ll{9p$H4VgFe5O5k?oGCq^l22mFkBs z7#RxFsDY|;?8V4{01Rgv3PyGUSDx`sJcOsjn(y5c~-}BA>Wo{;&D^ zT4iGGaTPYt1v!rtAfa=FQesw1k=vE$g4k;@qGLMn&diU$J%0SOTQo-}Hxr%wOTYeQ zzw+y0O4TNJqLVV8X1hITJ|$e`9GxI~VJ(@|qO*fv%*5`81fb+D1lIbdu)Na5`#z zB`cV*%%|CdELYRlb9jzRt}!kFAenH8 zM?IfrHu<+_@~;;8&6VrdQ@Q@0{dfGDx(aOWX~Ev@&E?Cn*767`*?-_ zl1=_@d1O@@+s}|;mw(J83t6H~`Jln?I+*I|Umup&5TR&%%3&}+$2ak5icBq?kUF=F z38|ZVVV=XB7iTa9xx$k#90oXm=stXFINfaVW{Y?K-OtH5rC0Xgo?m?W!>|3N7~G>x z8{Oi03r8>kktaj!x&xI4`F>av`^p-wxge)|svvBH25})si|2xd?qbEwsv}5?=Yrsz zqg4qk9y*mVECgs9E7Ib*Af})YSVqG&^xkjJQRr6QU30rUYI`)0xix%@6>0H&MbJmJ z=RVlyY!g%cY6iJ3xI;os3c+?8%x!H1Rj=8xk(XrWI`qQausvM8qy)LXCJB;~|3f1d z;^fuA6%h2cqHDFufYKqgsQWABbjQ|@7W^RjMdAEo`v8a;SM~V2q!z^lD3fcL>DVMf2+O|gJP!u1hdVw5dY(3~pIEJgz_lakg+!uFS z{4YXIAit-~X#^*7xRR}D*w2Rlcx@Ajl22GBG0GEHYSq>tJyxY}Aa(Am{rNQI{pIP3 z{bejqB2l8`{2UVH8t0oyq$G7#4h#h979}-;}%9D&Jzl zP`x=JX-JgbSDOL-$G1G*ZurP8ngRWr0rdZkf9*f`!7mCxUvI{heVsw8UmMc_qn~}9 z!+~@EXvvQ|>q6@qLgx$F))QbGvETxND7@ELJOr~P9klq_kPaIB?CT8Mdr^Vz>s)T{ z&$G=mRbs;WKe&xmE7>u@^3MgC2hhtm3XpdpLnR`An@4>wbPio)8NDH-y_X+&U<< zZU^}{?4EqG72F_GqWqK1)WupJA?IB#FmpFA+_SidPmzg}qBM+gYlKT2TzA}C>;Vah z=XF45qMGmr$wZx8?1&oixsTq#@dV@o*2QkKA!r7s;}U)N8(yM-Ew$ zc)TatL+m5|uCTV`pZ|rQcp=So&^Pcg^7qjii?A4LOS)Ynlg_|YgMqG*xwZtwDi|aqhp3rK;+A2z~8H z^Z*Xa$%6Jz)1rkqnI;l2-w9W*v?ZD6I-J*5MWUQ=0VI@3bt~d>o=@qqXE`+gw9>no z(sO&1o@uv;w(%V=y%aa{mTpn9?fMbUS9!~;hpFoss67|IKtJYv2feG?8*lq@zxwpE zU;VLTupH~D+5TQnl5FeQR&hui0Th(slfcDyhH(dWJ}S!JfneshrAbkk>~Xcj#eKNsh)h)Uc|GJ>%hk@Jh6=eiyTF zI=Wm1G6BK>HP=G60o?_0)bYxn*e?3H%ZUy)&9-|rA|ZON@GN+U)^TtdpWXvpUwC?rx!o` zGr_>pN+U;*bPF>w(VSHjH^{$WYV#7PnD0$p!aY=r>t$gHo(UkT$~wL5R0mqu^<)vuj`B9I269@U&WDXeo6pQgZEzv>8Qk zx!0D6=y1MNKRZ_BGvk7I8c4tEgRaG{_ExK()Ih6 znjN|>bRaWzD!q$lkMa*pJ==$u8a3%IIR7?_%4YulG8tXEo+RoHcl&p4_Vg6HM$$dO z+r~!?T_z=+lg6iTyMdU}CSTcvI0?i2e06H?(}=$7sMA}5GkDyRK(efbKT3B-;0*3t zGDw!TDEkg3k}gjcJ(9U&({52~lIF7M>nWT5iZ}l3Q~zy*g38MCP|!GfEZ|}TK$LuK z$qu0xZ(o^NcR_URKs-Rf{f>N?N>JYSQu#z{E-Rik>g~shH0!=%6pq_#B#sUuu4 zipYeMQy-dU-38I^P$!olE<-QLT99Vl1rO^3Jqol`L1U5j9BI~zAbKr|x!f-?DYRKp z%(_dW&I?2x7;DtIb?VZpBC;L2Ov3q~r9!}%r^*wUeRTTmvgy0b_(dKJEUzxadfl; z)!;U*nQsv$#t@_6c^>G?RnMDx^YsJAqaUn$XRIl_CfkJ3K@L)H`_{UTyX1M!k9sL1 zS+?ZZWba4!y^Wy&>elpU;q)x5LXCcr{X>pIFLS55H2IW|Yg z4NrohRJ0Q~IO$tfs8yR&<5GJC&UK_FEH7B*wB=hTfLP|A`pS1a@!ru!#HH02;?lP1 zRYX1r*bnR>g^RfdR;iXw{S%HJpoX+9bSy?LLW1;0tMAH&(+Ex zUomy=Js3I~hmN?EpaL1BTyc$PUX)%4MqCQK6&4d3mmQ1IU$YU6xD-DMCPdD0zul6B zfJZ%6OmQhBF*j42lfc5a7c#}AyilbJva9>FCLd?pByPp>Z~PuKR#wzAN#?tQacDX% z9Dx8Sy!CnJ+k;wmVwq6)U`y0LeN>4TMRyrfqJ)f>DDR#KQWa-kDU<47#}jR@6R_Anxc;Z~3f%W9&>%Z~3f%5d*>3RNdcc z+nyCLYC;h%i3-H1n&wwm3fP)3L$Pg;C}|~`O!7;P{*CCmfw*A5*>&1OC14PC0@IL9 zSspqjN+Jkf6MZ7UJ)>F$U9B}el1wU+q5iu~@P$s~lEYo_s~faGLYLdoJ7y~1Aw(r= zQueUU8f)0$7!&1NG~8Lgx<{9H)*m$~s{SoG1+x++#u8PfZlOt1>5e%vh+T8Ks+c5x z?f+fBY0=Yp=#~|&u|f7knnZ=p*CL0kbE)HNom)H9aL~_D(hoiN>tTx`aK6ZX6jDFN zgq;;oNP$fl88wM+WDo%)O(0DvU_pI6G<#I!P3$ZB_S{sJy-_13;}KY-kA45w|Lb2I zjggdw;i)R8G9k!U)&lfTha5$VR0K=pk<}J;#CvW)PgH0rWTph{x@jt3dO667AOf~zdoY20$*qLkWi~8SKH$M4y z{x`nq`$VZOXi*$u+-zC{yO5|6Tmi)5&E9Mo|5Z0zEVfHxcna(J@Ur6tge|Hi`JTEY zc{V@?ffKC2|7azt09_KdnG^E(U@+@RFUh{5eM?X8!frSu(QZO*W|E{sD&Iypby%!&H5Q^9L>tM{iF=YQU1J&%UW{~e;xm;@Lt8H>mM0xh!K9R! zH47>5aovH`~lrn z;p9m9V5eRX4WFuTQtIRK0r^(N32S{}9H01G_4^@EpD?%6{sJ|o{dX)!Og|X)g!TU1 zj{V_T?-25l;QE;7gy$^TvE(2U8%ev}+$6V5eMk3$WWy$GSjDxOH_$C~v$g?~t$ z=P&EX@_jhqcYgQ}{M_FN6{*poM_syws(+s$hW2JQpUb|2=A(*q!QC2#pyFRIJvVqFZPHY4d4hS+IhE)m{c0$Y5j$}mt znIMR3stk%a%Y*|$5^O&`P4ELXrb&|d?tth|yM$kko6|XZzCF*KqCJR57a`o&8bm{r{yclu()`?owEPI5u7S9#l; zbp_@9iGSg9f8|G`@K6_Ol{Y#lfd@?_1FUStC*obs>H*auR8EYtR@Q1M1haNPS0ZRw z3ZmIit4C_(z%mz64y%rq&&vCV{1UVXu?fuUt+YtZ?naj-6f21!sv{f0T6rHq>JsJM z{V`d2A5o$&=ZKmdo46Ujx>DXdmfBoV)v1PyR6?ckit^wG)i`xMqyAebbD)d#IiY>3 zXo|X;BBLkMuhLAl!GqU)G~T(nwdQ%ikFo?KEzLJHMfw5@y@FKOTfgOX&;44cFfB;) z5Z!AP_XnW)R5(Y3tr`VJqDHjH(O6inva82ibq47406`s_RBLoP)s*p#?xF_VXgDpd4 zR#2gMIrR<|HrNyllKOK_8Fib*rJGcBdXubWI(|2cSy7EZ3_6SXqipBUczjQj#uHx9 z`5Mn2MzRMhpNpTZ8qd~* z#b6br#JptlRKHuSvj6bGylu|oaWu|liCbvqe0u=gn!kDyE>V5-e18t0xQbcW0lqM^ zU>9jrGpio%x}mCNSH=`iQ{wcCz8UDD!aIIxt59_j54de>lq?x4H$Js1$2WbS##Qy4 z)w{-c`^xdPy;nD;s`c#{S-wwIzvrL6?;Cz5RJDR(?wlH=o6D>~?h{>PUl};+f``?C zybzXIK_NDR)CEOwyXF{63X0CEQZ7^lE(lG4N*fCHWTdF7T@coaW3Y()9jpnSeT8$Z z@<&6dE2?T=5!pqg6R{#HbF6(xtVmVuf~d=ZI}A&P8Y=4w5`tC!2&K^I27)EgU8qxC zR_BkjvW=1(8(p2&tnx>vopH~XOBBO3*(-()ex4W?u15!-qf;#>s=7$}#RSi%JAJIW zKWHSV_6(W8`i@^&Rg0n%9eNr2Fe}MME$V*ff}*-tUr!{}w?|V9 zU8q&-sEf2(SNP2urrQx4wH~#uyEW?`;kkuiR_lNosMbLXK>ob=@JFZ+}ds*6{?Wa|tD=RXy=zvRa22QDvxL;HI5wPkP|C zAMB&ouWq4Yn_p9_^-{muksMW*)E}Zv%7<1*@(a~heeSGEqjWP=l6=yCKUZV&SoyzZ z(Q=|=GO-^eXV?KxRlx~BfWA4{0d0ela{z@1P{$jjGm`{R05eS++r7|~fBl{h!H zxV=`Y({52~R_4YQ*VEYID?k6&|LwmT5m#j5=+x^L0I11z!F$*{#z&)d!H|=Pi5^)ttugHVOML^a3qI`eK(Q%$&2CqgOBig+kxITCrlp}CM06BWjn(!R1#$^|j|L94qEd|01?5EQiBQlrso77YYd z9fctEfv*S!Xsu?~>rM_;k{Oflw^h<8Yq@n02B#Zb#MquGQ$K@tJzY+ zqhH}yOESX*L0HtJHBvbo2v%L5Dt&8>ypa_;lFZ*oN>P%pS-Qfa7R{0b<=~pkFiHFz z>k8X<^qgErN)xkhVONj}2am8hdcHl@M;r;Ctw)i5jYhSwsy*vOs2iq+83M^aU`UvL z(NnIb0y!mN|KhE^`$D-0v*J?@&GKFzws_;Rk={r3l+JhITg~fWWE!2$yVKFZnOz$gQH>g}btk!#5mi)pp&E*ZF668@bVgJ$&BMA{;77HIrHrUrYZOFID>T<= zeoTd^>I6|%WTEDv5v&qrJJdo5rvX2|(-p5mRMEAC-9(tB<7_LM?D#p#H1t9%l)r1L z>a=G0L@k-HFyOi9bI&!=WsublwPe0MC@o{#Df}XoF>5I3N1yBls3DDjOt*WO3SyPj zAm^AYoQCWZBw_diy4Ni?CJrxxr#KAl&R)Rd;04&Td#)ESAzenZ)v z&R_q!KM@>SMNM4$I);MIY6w>Z3j?h{UR(Rhf-n~ZW6H5G1g?!BU+*G#Kpso*8)?Br zMGz}yPzG)s$CR?MIoYMGTNhKy1JnvH6f;>_!7KZx;A z*8htaRzCSZ``_kk+0-N43WVb^LpO1LTI9B9Fb^waQKBuHSe8WYZ0W1$8;5u^$U7Aks9!yc42$#4eFGRl4K`{JgeI~|Vv*x)q(5lX z*&{QJd@A_(UKN*?5ScPzpex1S@c!Ie`W$<^-$- znxo<{3O?Y1=$j_*`Ef1v-<2SrhhSPRLE|Un&@&EH;2T>JY5>JM&>Tk7uT~WJ#sq`q zS%x{pj}iE$2m-$rIw*RNwm(MT8xv$BXYrg+Hbo{>b!dfed`ab^k_?VCLM1s#Yl%8( z+gnoq=of*C==Nh=LlnxAr$1c4J{J(3N5{XJ0-`H&H0R9q?Pac~-J;fkoHN(glezwv z|JmRE&aa4usv0b~6K2g@b{aQ4p8E#Za@JrQyz?KAzL{|eE*RLfegbHnJYX1S6F+ta*0rTEOcs+-#Tzo#T7A9Cqny5s zjecW(Nt?CNFDGI(9%cDo^>9#9VjuG8C|wv)mwjjlPJynhd+ob>A(x|j9b&I=R{B1f z1LN^m`xn$P2^u0I0&i`kyiO|KVh488t_lc8W-@iAE}&-koTduO_Ko8+rCxAk-BRs7hTa z2Pf1`gZQ-i83@#QgAZ`Nz#XFA8|v?z^68t2*X(tA{B+pA^UELno-Yi@sb7c1=(4r< zp%49#by#Eow;VoQ>xWv0d19}poFnTnuzSq?g}9CT6J8W${bR4?UVI>8(#bk!&SJ$b+l7d5Xuv&kP>M(VLhWt9wa4OcX%o>MDMIXD2 zn<+8)8FSYf(#ke>o}$nB5v#;z(CPL-CsR-(c4h{hZiaVr`on+s&wOLWF6VwXjO6+(^#flqn2Y z&pM3S8puxP{LRr@gn+iXhC!=Vq%kdr_7)-d&BQ(`!JNN|4k4SBb+d~@*ZG^$Ap}20 zD?MFu)R@w5SD(GhWr^=1G)SiPiV#U-du7w32mgCjnb?mj4U<>qc;Na?X}}*+rsz*0 z%M{a$m$HuVk_1GQ}wbuNSSVcV(Vd_h=@AB>vcig7FX&2;`vzEcZ0 zBE2D(Cn%_rJx>0AVlW`roW9*pnI0nk|1=DzSU)P$& zT%I6H$Uj{4Q!J*eT%Si`hB`R!fA8F#iS6g4ImM2JR;h^XZ+H@Te|ZQ|b_^BB%@sNa zv)d6GIulsCCEELpE8HHgV0vc+`e}9Z%o)8N&gg&gJHPyvzd35(O5s+I?WpWqDcoA4 z>qTWdv~1RmOfg%B<;MC8+E)PEvwedP!_iXcB(#EEYF5nl4V@v_h!z%egAk$-tn3>K zK_wx8W)s%D+7EqO_DxMzUmFOuUCyZqL)SMLD(qBzx{`f!d)EG)?b~@Yo+0~23wE1@ zTeAh5E!h2cKeu4Wv|3y|cY?QNAMqsmn_go#FTeQA#Y^{JzW>6-J;8Kzhv#G8=by{_ zzWe^gd$IB}FN!6?*1go5gWvh=-TJE+FW&y_J7d2W?_>L!x4tuW#&@gb9ToE>dZc(V zM3vt7yRUiQtFAEtJ&;$<3FyIeF)f(oOVB9kpoq;012x)oF)f%29)SIb1w%Vq_H(Gx z#k6297{ed7$UrxOIRPEBAAm2_N%BdiPOZ^0jYMC`=depYxi;IKutrJFjGbA&JZ0UD zoteIyp0MtZeh*BvTwq3ZfF_bP&Y+L5z!QnHnQM!PrQ*m-6-EMCv??RwpO$nARO&WK zr;M;NN1kQR6-QnIvV8tM_AMy)f*A!z9-9c*5=bPi!L$k$AW`~uI!H7v14*s*j+b5v z9XFf8+cSmJZqXdf-At1B3!nbL;+H=0Rj;}OG^KzkEmS<#Uec@b@%I_Hl~FSpbTUBdWIIY0MJ*&_d+4!*y|46W1F8N$J(iW-Zb%>KQ|MdM?G(PDi^Y56hdv9mu>&cE+4@^6$F!fCB` z(k}7>ZFw=ro5e)vNTn5#=@zFadw~gPtaGF!-j?>prAK>#2?RhY`3|7bO#6IE`G_AK z5DA`CKHK{Phv8O;z0BDwk{b*TR>?idkhNrbx);b2pw5cm$6?!jz|!NrzzX=k7h6k6pQmBc+sD{}^sHDm6D1 ztzW7qlbb2q;a6@p3z(qTf3sZRNlR`y1gc!DY^VKkzK6X3dVyy;@6Q)LAD`Q?KQ36_ z-0T;4DAVcS=TyysHZ)IZxh>8&hZURMVq4{6A<*uS54c?~wz-?o=Cs&yKK2Rf1|i~| zCm~SpFX`A4W^A{MZO#R6SBq`+`yo*8U;qB?Zn4Stf4f<1t6Vq)>is(xEdLyRyh<)v zuarY_QI`dyxO1SwdE>#6QoQSQ982*5N+I7N6@I3KMo zi{o7`hd!WTk_w_zf!LW?kuQ@A;wgdCh?f^VCoOp%f|*Qn#I$+XBbm+CsR5QC*4r51 z>PY)I3|7W<62+!p;qr*D=f}o$BW+!Q3G8OL{Me&@S@B=04{8>!mk=0^*TwGkhFiL^ zvAE!$zo>u(LwU1t#ud%v7&Ld`*K2R9zmSid)#fuYl63eFds6`@Z{`_ho$g znfC?6d`G@>6^@Pc)f9XhKWhR$4XMfu^cxr|cGq!X3929NHfF|6ZU#5`qWAxYKl;;W z^p3u5VrrQ2p4q9DEiGnz1I(c@XxWx>z9}pp+hm|>y%Ef|bcbR%HRGV$wq`urQq*>H zY#&!-Pu2ljdJ{ud%N6S5&&tRjx8wr^TAPRMlKcIJ?H3UMFZZ4P+Gz0*p2_}*aT6-p z_P8GP4is4#DsgW;g#vldpWuHg;HV8lRr{CcOXt{H)Kf0mU${&bfoVjwy6)ZwS@eya z2;sg@JdYuy=5D9?s!(B5xYM@M&{RSMWWZX^DjU~&wb&mR$hwLz1(rwwoorX};bTAT zC#7N1yA3el6+L712macB{nH;4T3u5UZDlgbkvN|XcYK8brcExD$bu(b(XozT971n| z7j1TyA+rlc3tB`9tsu2V5yWI5XblACK%`s2oEWwYG7i1ju!GyBiDAwz!a1VWc_TCA zDnZ_PKQySoL@N;?AqAkwqJ4$Hhg#hQ@z;9tuh^Z9T0I0MLMoDgO7Uv|4FI!8EL9y_ z&~TSTEX77|kVJcWG`H=>7J0hWIV?WFrWHf$^pr`(VAzaYU% z-9o4;ZFHS=V*}-Mlqpi$6<8&3bJA_H9lDJv;*9-3x1NAp*x)4#p(6!p|F!Orf?FoAH<55D)?e)78lE>f7?m7#9o z&Y;USoIS#=>_EhK*uFBj$OYj&q764y*ZyE_8bXi{p$neY$a08AT5*9|C){m^@ilN8Stj;Zl( zLXgVP1rIwk$dnb4m26iOW$2Q;PvTy~rf|-7^=ndUa0P7&Vymo)4o<2zi{7SlFQAVO@OFgw>RG(NeBT>iAo{gLkim=q9IdqCvK|@$7 zg9k-moj%qT614}Zl#6@y5axRbFC=&{xd{~5)>2075ubduVk5gW zf!pNE08sZwU{R*8;4!j^<8d#=o3YIW#IZ`iBLzpowHR4V(F~!{#PjAAi2$ zX_8O=&;GahS~k^X2~f!kI2g+l+x2NZ2s z*cEInzE5r*qsA)2hCqG7^2o&g5)sGwgRyW{qjTYCht~&k3?92!ZUeGQ6>xXRHe0f3 zVh50Upo-H4-!zbdY^MXZbQrL8DmoGPGS%;w?XlKTT59 zMY1eZ3Ca(VA29i@WVTNCipAaQmn$dScWJqc)pPN}>MiOXWK5Z^zE?7T||6rSr{Q+%A)sH~JRcyW;41ig{ zTXbeHdY4`<5|tgEdca#$Rnl4ryjMW<@OGfiV@28y|1p?Gr_%;70<&}IfVZ&28iQ5j z&__5k&58kUapk>|#rD7fTfqcxAv=Im(_eE!JECUE1aINztij8dgQG-1ouc?T3EslZ zSud^Q2{O|44~nu#W$Gl9eQse_dYze*Ruib-x=b1>RKT# zO9%MF%5(q#!(!bLO~6kKV_q4Cex46CuD`t_=n6&W5;o^p6>Hd21T5zJdZ}1;%SUbz z4ez74MalZR?Z4zqyAA+%F!Qe;q10WE+!^%0Zm*jAC*JtI%Qr;QUT;R5r?P?e%$%>u zR!*sqT*8LPM{BRimtP@kR14-j6)S-RWB|Yc451bbLk@>t3(kl}>}e#cLx&-kbdB1` z<9-E_aV;2z9OsBGB!ZNoBbY=nZsX~`gds;l3-E_z_tEK#wzN8Q7;*+3Th0$b9E+l* z_8gNTha}2uG!?%+A@f%+nG87#5Oy1Z>98iL($&F}A;+4>6^D1@=)hw-YlfS}OSH!r zKsZH5xu|)~$tGX%3oTI(K%>G+N|}#&01uoX^#GJ9Vj~SM;&6%oZN5%NDOlY#T73OM zbzTczAp=}oG5MPr)@`Rk={%U?UnxCH@o$T;FfmpI%z`M?JHUO72w5t(BVZ@sQXveI z!cY6lcG|xIY!C#W2iV|zb2$&vUT00FQy8%P9KAdq;orsPLzwlm^I8+U1}bXv8pf8V zXf{cVi$3(e*ZMi*g<|kR;Q+Sp(Xd5W4mHjr-MX- ztWF1s1X-OzJ)x?SpwF>hy%zPP7kxHL8-c_E8R$UMxJ^LjNQzw%gd)Qu_)D zx>BdeOn?nR)mJ8aC&?(8gp%9<02j#>=hf8*Z`A1kJ3*MOexAehgnWC3=?VG%4AT>; z8?@ZoaM#_&X8xt$UfrRMm=atiG~nv|_I!&Tmh#}nL8G~q5fkihVu|VZ2B_=Ch>cL8 zzW7kSxj2^vp?h6DPSpKttKqI)`xm@V1Q;16rV<@i;zEW>S|4nL3MLlrRMz@@+>+K8 zy&C(IbX&p1TB#SkRb)Hu4=Yn?{l{<~=v9NkF||GigEJdK$OZiYok77g{sL(G+x|*u z?e+bi^}~NSoA2jRUSs@e%J0D>dUDF=bju#O`*Xc)&47&L6-!=a!h zlu_f9v&S9}6%mhZ%0EPqlfUZu`i8@w`aA|XeRK2WDpQI`tjcuCc9_sQ?=w1L2bT@euZ)!2EnK0hV2Tf6=}g-5L0}~zC$c_IvG?L zho%K9f~S=hjN)94(H?@dU@nLh2}U3YiR8fkS=FJbqFfNDCyNbm=shMgR)VAGU$IMS z>T0UggPJOZ&1$KE-vFd;m+VAVU2{?OMN-FfaT+GToK}(u zg-h<2ynS4A?a;Y>Mbv{-z9PBCJZs*_-4YWqB^#V1>4CZAPFsDjgU8CoP$$Xs055ij zH7Txwq@XcL^NZ3GyZ|SW^&lW&otO~Ml4m|fADxW5c2vz=Z%eh8p$u+^AJvq|X$fs0 z8_K{-0DRjXODTD=0|-u0hDhE5aBV!c7;p zO<$_}tyo-=J+#VJn+ zI2|g*kSEcjT8uz<^|lhWbz>c)^{a5y%$G8|ZeY8DL*xIYd^f^+GUtxZsb-paBMb()O~PKO-}P#7Je`ldO@9HJqO$p#EixPU~JND}9mL$u=tyci1ZRxG+E z88rk`0D>67`nv8`==RqL<^bdgl%#1}K$wTlg%rk#9RZRk5fVj;V(Z$muLBSmke%~g z_Ewi2rzt5?UvtkBRprdADivvx%(rKU5y{G8LDsh;nePvdt>tDX6lT`}@2GMXO@@Ze zs?jcQXCbI)*3r6So21lbawDL!W7gOzc5aF?klFZ@SLNsUrtcFa1`*v(zlu2+IV%`Z z2-w}$yVyk5^n6s;UWpN1+Q~~!Rn^m$?^D&E{2Sl$p|=E@riFOU^0%@)=+iZo7HV17 z8|&^J6?^n#T@b{1PVr?KE!-+mS|LbXPz2F%6q*Qv;Kf4&r^-HM`MV&xh^ht#1%gDH zN>IW`tG7i*eJ4w-nX`f(ibGRX`-(BB9Xmh`RVzv)Wh)*)BNU=N)R93eu_9HquZT8n z*3k!JKvh+Tpr~q>i~;O$k`>oUlJsO>JD1=E5%Py93;{mQCZ6rHMLYvy`ke<#3>y5HkN zBMGhDud6fja0ZUf{ZjfGkARV~639V>0sn~O)>j|2d+S=cPa~1nMK z%3dM$p)*v5;z6`M6u}+a8&q&!1(l)qphixJ9$W5j1(l(sfW2jL>GHMHi{2aY}aUz8lq)d%PCU>~dMgW0U#o>@0dKb!SOG9vbW|1baG&p#Eo zfdUY2)(wtuoK*nX&iGZDbr(bx{SGEZaMsTF)r=wTmn-d(iIAK91}e0%f)Fv4OJPaW z(+@$sN8p#Rtq7l&Qd@@r*3Jr~j12LjqQ!DcXoIOfN(g3%7f1!UM`Yo!U1mPVQ7hOO zzFTME@c3aR!N&2v6y;*Mt!e8F*Uih^v` zgv+akNcujO^W1})CJzUwHJx9So?wiC6G^&}ETql9{mjSc_E|X9V}!%|W295r;<-@Y z=<1Krv+g*x4iy}!8BBR7FlA=p+yV;+B*Wv=pw1Wz$2UQp;eb0d{#)|f?q*`r+HP>->_JpLGG>#^=H5v*N<)mN3eCF{)~59ryLo+ z?l7Mdv3-KOb=g)FgPkX z9f4j3*8a0cuu4Z9!6Q<$g+`(6vBhZm&q07z;&hFMx!jcq+~0IUwn!opDW;1R19f`QW$ueaIon77?b zXU{l2pn~lhh6ff1z(2=cz)r@Bfz!h?+G7-t2yT&%Zv+FU2jO~u(CH6I#Wypyr`t3! zGA#vE3jmL)-^C-&xrquLY7&;e*K8I@fXI{Ld zZl0F2G`6*Fs>KPah@;(_d+u90+gd-hvab|>mIF7PYA z3M6eSV)`Z1qY%^~LY1HZdM3#3c8H-c0qX#x*sMqk=7+`%JJvgJMM+6lD+(m-E3V)( z(bOH0qg8TToTEU}E_gZ^j=DXe-lP68!a6oVm}}O9U`6ziQ{q>wsH|g`L`8@m8|4}8 zg;c=RB+DGC(*d1&n}aLhYLf8!k^RRN0#TS_?5ZEGfU8R?F&mNxWV&0)e0z+-4M}t% z>>NGcpW_JwIFwr;5ORI=>INNApD9-f0Kd8zew8M94jP$uxydxc`rQEEO$Ba|k*p2~ z29w%{m+CnB;^5<{4tYG)rOt(GcK?eIxfu+ly_8bK*f!A9=1NiU2dww35K{-y#r|w_ z3p6Q(sCL!t6fO1y z7m7{3!6ifn?=8Lhz!q_JQ_)_tiA&4p9BtO{7Ol>h%BL^lh^gzu(-UZQG>@(dN0x6b zDdU(=e*Lqb^}63v+Vkmq=?J2|rI;rohin5@~VIY~^Ko^aFT3Sd3=rsvdRDMhl@(CsF5o2_4v@!K1k)tZnK8~j3oD2yUMu@`t;TS+N?w<~$T&=<+G z9+52TNM;kgN5MU=5MD@xdL7ApchFyf-A-vQmYpA@X*WAMhbjsZd<2uKwUk03DZgmV z@RGj>UEUQFjjJiWEnN~*xrqhiJf$UWbCvQPG8~#JMyf2+^*qa7gnd{=mNsFiqH|SC z-)bF;nxy_#dzV{}un>KpEF^U+(f)K%n#)+0{Ew z-t<`N$7`@nJu3xPBWVE1Na1Q~T{sg|sQkuT|H?5Fzwhfl^PB$92o@B3bTeT{WU71F zu27K1H{8CmV8I0s>n#fkQO*KqxUK6D-@$82O5F=`I7$?V6=^1X#XTl;Y3gddCh4*| zG|hwyg6}|m#Y!5o6>33o8@xbfi&i02SLhOB&UGAG911^2^a&CDi%}gU9#n_sL*j>q zDFfMoD=yK>rV*?XO)Lk}w3%{et0k*MQ{>II8}cJ+Nty{iI_HdO;;ckT@q09h;6Dg| zQN_vg+yNSF*37pDa^xZN8tp7tNNeW%6WKU)25%n?F%y2Ck&f#~jw*juo@W#@USa{v zCRi%0nmeny8yPi~d2D8OyTb2ck#o3ko#6uQC_KjK03vq4pL#MA%D2;_1KWMXeZO*a zFm3t1=-{us@mqiMH$O3=1C$%=o^EN2fuwbCN1q|7eqkyQjodTAB8vS42V zuS);v#yr>Z#l`b4y!hOEpL;PzNtTNkcZF_B(PQOmckfESTv@R1u5g!muH{mb%N)~1 zfcWD-_@}=5rHK4BXX`GYZlNl4iRn!00?K@Ds3!Z$B7YZDRLKHF1TAt z*IZPt04hLGTb&~vo+7w8qOz4GE=Yd1=U5e_BJL;f%3>J){(4Dqc*>efX$eBfH8Zo- z!6i-TlE}!ikq`l5o^K;bHSLmUox#W-Yi>^*UtX`7Z;#z@CO%OxTuDxIw^GZY;?$}? zorjDUH_QF$jMJ((LhCgtv?1^w&zgC zFsmA@C$lU)8!`sOPB49m-ZrX8t&dmj)VE34QFmr)? zFG};!k#XmW6&1HgFHf=b%xvzHCs5h?{@!i0zWxG)VW}uM+4$t~R(B>WPn$Avd5Y%c zseQlv4}8kM`T3&+{{nulSRhdYcH)K^vKQcIPj?``-VVYImJDQEZ?F;|y9S`yQwdPvu8wB{s91LJOnE)*`V>49od_&_>B!EgRx4VJA5;lALa?^& zb;?53QKQOdl7juq5Y8AnCqD7u`M>xkKIQNEIlk%pM2W=M?@?jv#fsAz8DTQJ59fPT zMFtW&B^B1ud3f-vQB3lV*E?ht)&iRB0Z@ff5U4^C*-k1DuY8_8MWu0;3dQ-t@Wl^? z3LTzZC7r55X9+AHn-VyM3X`;31TzzKjHs|_ARk4uj=8P2Ej;c=J>PNKEo#lcZQUXs z_mw=#=_mfxuYNJr%Bj!W31ob1#Swi+TTeZ^%7YUtv!`rLs6mOr|Fu4K4rC?|q8ywr znFE=@VURhH8Jq=~1DQRDh8iGj@M|i!-;i4mC5A^qQX#tJ!;DxIE15zG-R_$^rQeK? z;ZV7A`{7M7sZ(U+=okILg9j1*cLs4E7Q}5}LTp~CR?Z&ebAyMuR_^v{<)+=D+49~@ zrNI~c=<9y(HwMR4-Jq5{6r3Pr30sCp4q}7q(-ZCHAa*d?%|Yy7-FpsV2cq3c@u8}B z9Tl&iBSe(gORbOR7V8+X3C^aNlk1X+LvzIx4Z1Dzzjy8)7kNr9u+3_;JAH{9*fpUe zdXQ9Dl)#v^d=&^vq?rOS3Ct3fqzXQ;FxiekuoH-)_WZ0s6z7`<(J&CLczb5l{ zo;a-8a)zm=^~r`7*&@gw<~Cy}%V6<>NUoYIG~Eb4xOd_8WL+kgTH$-8QlN})v@af% zEY!URj@e==V5xs0Q5v?Up}#*e)M5>SbDS+(aC{f8+Ij~CiO*;TWs2pBl2PY3! z*}}!=Ba13~kRX9=s#F35ssqY)c#t4n!AiyPLHat2m4!)-sH9B$gC$0_Ub3+xqZ}n! zn@(p>W>#7bKc{kt+sTYNAu;W+TWqUTjLcU|*H5c6*4;ExyIA^d@-SO`JzrYD)*9Y*s0zLa8cLsYrj*2QNmW2zI$w z{c>f&KJHbOAkvMQ5jQj9-u1FVQUf#X5lXyw;m=?lSMU)Pn6*55+c^k%ZD=ZT-|obfY0RNHU7%`o^A8+Z4g$R(m-^ zT^VcMzJiBbCz1x{qf+6N6}JbS*wi;4H7Anxa7MJ%Ll4I;Cz8;QVy%$@;-WS`L^rD( zdb!tTQl}$+_fR5fw?RZ8&J#*JI_$NaNCH2YrQ+nd!F_7iq#^L54-Q=wSJ)+or>^GP zGdy)Q-=E>BtN8|jHHh|_`irdhvYi-%qI`!iDV<>>Y!8axTPmXPAN&`USyf=}U1gH1 zdVd}~8GZ?uQDdW^y6Cng+;qd8K)QXq^yTa1zLt+?vZqlN3KFYl;yi(tKSVrBl)n(>z0J4oyGWCnB^v1dl5g=%6uEnF``pyCRn@7e{oz)f>3qTeHC=88HE}&j(a3bIk)< z11g-GB-4YtIASDptUZnb-a{aC7E_zW zDV2+hqT!0#KGp&W=bPbG#sufM_>w_MKd0@ZCmwtbF{r#!#Ly`k23%Ret2bRVj7r@M zuM+OAe*Xx(jKW`pBys-;cUSN#1nM8m?dpQ%O|2`45JRq(Z@%G40b~75zKbn9oTZ}^ zHi49vO)LduJB&NWp#HXf%k$FR<}As_F25iCsu zS0|g?9fzhT;DRUxMYkxdcsioflqmfWCBx&u+nrLYyss1BOZAX&U&LwxK zsx_=^iAg2Rn)&Xa4J^8T$R%2J8xiK)gRC)2cJYFP%C3e!oo97_Fm6_E8nyIQ z6sa3#>uKCI@-wu|$F!?dM#=17+*Fq|tIIH%sxp0zs4n*tTYuoP;V!y1dfB>nYX9IT zgkH9B5c%%nkn3@|+aO)Awa{vDvq|Gqn@n0KMGKQ$nS_!0QBCQFNg)bXr6?g#ACTM0 zqyY8GCW$Cq<&unLe8q)G$483v9Fr_4VmckUJjsS7b%4ehD9&An(SrNIc&qfusO-c* zb*#O`VJfC!YM16ygvQ7I0$SSCo1BqiF-gtTC)SrcoJ-4GuaDfKnc#ji1otocfd`-P zCt7UR{UP%xpV*gSFsPzx;fcry0(B_EV8)OU9|i+tgq*nw@SwddhxTDG2efQg+vt9- zj$w1b7zVTRhK?afMd*St3}(A)=Fp5<#uD7BG073|jl{ot`sV1`_!{klE2BkGZ~ zld3p+f`Oz;^|xYV`BwWflKP?F{?t|Ku5(Fg%up9xY7V266Ogk3j>5|n&qP}r0Hvj? z?t-b1#iv8rSH>l9!D!pi(}|XjdJ!xG9D5qk$`j%}7KZxJ^3m`WF+-TmX!_gG%2VPi z4tAksR>+r(0gj+&C>m&AF`q8rzO7jXIHCuxO#n%fbh>;^bV^qy19};Ed*J4~V;$;2 zLfsuK*z`58s52W)+Fv)MZuyOd4tj_l81bqgqJt9cU(~$L7~(@=h&3#QHs*qu=~Ius z<+!>D^lCP-@0Ggi*i$~D94V&>}UqNZ) z_Zx{SqAzSM&dEgs+83-ILB=ozz0(Lh-H#_uC$hIc zwfhcI_}_pg;*+j*D;}XMuQpExC5DGF)4q{j8Yz5iwH{uU3iY^Yr>;%Jz^SWHkFi7y z&Nn9ln23Q14W9W(*D|%ug(t0bp^kdo#U|1^ip}rtK&K`944sy~z44aZGv;x7Mg69a zM$Ag9_vdyxGLrJe`xkG2_L;Z7GpaC!4LyAT?yr5t;puOWzMy(DF1MXy6G4jY>>2b? zMJ~m5_RR0dwsXIM&7@rd8<<0xh_afSQ;(hxBij=+W!s6S#FxyU8YYvE0ZVbVRC9BBgfK-)oBbICQWX<*% zYbMS31Sp2em&U|Fq)r;i?Ae@-;Uc7OZ`?(g3M$!qbEbJCsPvm3_s8Dxd7+xMg4C(TR!@omU~|&EZcmXfUw#)v z2%>p>Oc`bEtkAMK2ZYLA^1oW*OU|;5_t+f}-_(Ls&Ay_|7)7Dp27?6~LCOC5ip!18 zU^;GuBUl}pchFZv#~4fzWRiNhu?EZb70!{W*#$u@(?Z}QOVgV}Q#BVsq|l|H0+<>r z*O_$Jvm#Vf)wm=05<|1YI95wMhot1=%FkJ{dm0%qhfXEFsAfMpNR*uEhvy#yc-46M z(I=}>)qqi`k@TNw&{E*u4;+QIl20C0`QPU2w7g}PRo9~8BJ{CCM0#%L6l28EOm((V zRZ^Y8TTTeJsL~W{bb_U3pen<$b0F)s^aBX_S<#I~DCvZyvLc!drz*MY!VI;L)YXE@||oU?mKz1baGdid)NG!%E{gB&3lB$-U?Pu?h2^`bqP1{O!MWL ztjm&e=}Q-?rnzQ-sA#PRH>JW{W%YP}GeC5n3jK%yh;H8dd)1eI`LBLMlqV~B$90xb zd89-_bQai$>zdi7ptcJJITIYXmLVzLpY!huIrA`mqBHB5D>|cif3{*FXTtIgPIL}( z=8=@sdPVX6Y(?}fGIA!|tM6@kTZeNw@b=X=~wa)GDYG2W# zWMJ-+LC!?+LT63!&FwscoQaM-?X?uMUe+w+Ob|~yl48>Rw-<6IqNxszGvA;fXCC1$ zG}pbKoQa<%sgXQ$TL=Jto{5}^sVeQ7`5s{pCucgJ#umBr5O$?#{p1`c>*K`B((xwx z-DIpm{o9W$a%SsL!s5QpuFb%lu9H!BkLE5VFHAY;mDq!3)A zCto92p%55Ag64z!co@; z$_iJvybo-RXg)siVEMoJCO+lw`8mGn`$UNZvbwwNf02isE1u6Qh|~N*0Uo3*G9d+5 ztazRlpLfFlIoEo7xz=g7s5Jv~uJw9yt-tWT#23Q}|S+7@|2UrQ_WFBn)NmxbT_@KKG?OCi?!BC(^Y1VHG>Ql2~hM~ZbguR26 zVxF))#|nn>Nrb!Ya#^#A6wtR5fvH7#A@M5k)dyiH6r~~16~PvUYI3bdq&qExiWF+| zYpPr;njPrDF%I!i=Wiz&T`^i}C0AxUyIn>^C>y@6wY7ig4k*+wyxm9~?qcV4V^b@^ z@(E0~ylqI4EUz%0B2rLVX9_JOcukOZhn5c0eHJbKVHr$KEJOxV)u~eov(gE73$?0z zE^-Da%}PIb1mhP|o6?(nPmARRyx-WajMtuK{U7i|ROk2LS zDTL*}@c;fZuX{RRd1d!I#8paEw&;aP5PiQn7&>8J0dL{uazRX%_f8}ZV}u~DvkR8C z;Cu9_R=gtwsSjQ70Lr8=MGmVC6eBfk2vQ%qATkE1o`F(A`|D0&4)tLOF3A+(T?Fl| zS&^ZI3*t9}Ng{&M?K>TMR3)h~VcaMc({+m;zci-sWMQ1em77wy;%WaP1mJ{< zq=zITNUx>n`}A7lU8KQJZg6|7JLWih4z} zDd4H47ag7&uI>&G>k64L&?n#qqnp7iNP&Lf%YNbazb`yBg%LU+a3PKz@zX2p(vp!* zpi&SRkS(fQ+R+fat_D+x-jom*6jx>hD%AXL>;6mrXD8N(M_UJ+`lT{ zhMrNa($_phm+uc9^MXB#b`E-(Oq>TL5>(rxhGswQy8X07=}Ig`Py4w}nh?z~0`wQ< zrhGVihenp~^WnbZAN{8v_~V~Y8t>{ttqKC-gSQ&42bu@bf_| zOK~t2b6b1zA*$aaz=+?S=p?)a2f3BZwuj0 zSv8-vgBnLwuJ+esYwAG#!hFgyVaFS$>$e@Rk~`-AR1B)yKD?CjWb#kF9Wg=~$EUn1 zKgTzHpC|z(-YReRE#cls!^aeT3MiL-Nj{y)muwjbtUx{sb$i$bjxC`9wS;&KQ{7_Y z{7R8R#K-6kh44ClFuP3+4-{&`eZJPQ96-k^+?M{2=X;CObZH0IoAYgRzU}_IpOZ

D5)<@~Sylw_O)^VDB&6S9*b!ePxzQ^aFcTLn7btDZlzhziNy^iOdwn z(*eyn?l;!y+WBWSg%S)GmXbhl3z$Y=jSv)@UJ()_6KCp)=@d$MA=*3! z5v9RCan03ba0(?{3h{xE!85=sN#@%V{VlJLLTM=l`khOXkO0@Gql;5#=cytp}n@_lKo= zguaZX?$w`A(7pP4T=w}m@o(nsxb8BqCzWuv&dc1}a-`jKH)Wc=9VAFG8}SO>j=mNn zkz>c(nGpBVl=tFZ%y z86cGilzoJ#zgx+SF6gH9vO`0cz|<5DDoK?vfjG`9AoVLK@T|j*OGK_3n69iaKF+aH zVW8(r76PTBmhKF}tieDE)k9+-06sMOQ_3{$B#|g!Nu~4yu#SbXWMySeOP(Aq5IU80 zeojTyM;AoSCZqO7qPIP|zy^MFRM-orN@W+ZNS-WDpuM?8B1m%#|Zk9~RT3+(4X?+xi)mL(|?o%z+(9=~b z*~k>#7_R|UIm>w1Moy}_Ybjq{U;v?be61TAZeVeyb2Z+Km)#y-HtiO*Uii#UzaD=2?|#O|{K!`XUZ%uOk7No{ zTM5|GCZQoqtL1gU=%YFJ0z-=h4$f2Bo%cINk+Y=O&@*kg7uay$F4Cm@nh*5t!qMp$ zxn#5&MLx3TyhBnPP&?1)HF|>2DAAEE!e1o5sYwUbH+Sth?5kGpj(W8|y zr!^&8;zwUESq=fl>=JGA+coJN`7c87ruBX3je)*x`RJ4V6Y&Dnn`jzTsKp<}!XlLG zgler{VV=pE={?;G5SV@FCItb3$#)M9FO_s+csG5YcxGMJMuxx;22}Yk>BX5G7=e81 z2nHrP5@z75o;5M;qhTm=@HV8N*q?t+dyz=NxMcO_1UQQ!o4*w2`Z^Pq7qrYyc4Yb1 zPLuQhm%sTdfAl>;DW|w?{k#d}+64A9&n~ z5R|O0DW>Sz)6>*BYq0(Hpn3_EvZk|gT?54-S^{mMYuI27)ZwsEaxW?#4AF~otZmG0 z&*T;gwKi0^StmkwGbdv^vX305nTVv9Fr+mr8&f*}qY0a0U`aCDn9}-xhonqLcV`=e z5dq%U=)g+q+H&OkgO=O)$+$mgVQE>Wbo6|KFh`8c=03^Wvj?h)y@SL>HVUf1{VQh^ z7X$LZYr8Hylnvv=y06xpT&CEwT%Sz*TfZ5=LsFVqHk4qc_$D*ZwvPtZ51vOd1L&xB zPPG(y7TJt26;qXI2n)BiJ9wl^nZ-k!5$OOI=g+oiRkhm4lrbTC#OdheiGJ5IgDlK| zj2!ca`7X9Fq3m4xp6x!Om^-N69OK?zj63ZXwYGDPajz%Fec}7Q?HhhHnCuETbkJq( z{0!9t8`2`THM)FYHi|lJ1;DiiWGi$TW=-;c$o_GFb%h02=<;c+g@2f8Y3$HIZZo=! z+2=r?3EXZ_GTp40(Pc6cq-F^1ssQ!YhtB9SEGkQ@NsN=;Qq?(D=rY*$_|*{Ht&El* z=UAi5qU>OUQ4(ZKf0vwWgVjzpm@#dYST250iO0B?iJo8$7dySOOE{R@nZWd&Ll3=~ zb|&_qo@+{{hEUU%Z_NNhs2}=EuleHfzR=EuKaKW<_IA%>eW6Q_r_sL9=yxYdakF8- z13h9?RRBg*VNUZ#YRU))V|}4L+c%*vv{B|q`$Bu4r4x7p%{ht+^OFqT_z^>STFHtD zkIF7zNFJp`ZC$qaOQPHKM5dH0cj~$*ca_r;USA-WPhk>0s3r+_5^W z6l0Q#!WzWLXh$@V-X7T7u0lI9*a4JWE2(!Y5TqKxjCBA+w@%ZWRcFN-j6#bWh0{@5 z)2x`$^!*CIR?ri)ByA5(XVAhJ07q-iEE9-{o^ADGYA_0>$RwTm>d|fkMjbFDUJs7a zrxvYKYwsu=G7W~AZ99X|>V!ur5d}4(z36qqV~qkO`RV9$RD)($*3PPcdn$!Q_o`ma zoZ4_}Gh~#69~JWPpJmLqFDa>f<#Qw+|%z`;uKp!dI&DKUoy&3Y{$A|*;fej=pncuydl!7 zS@N>C0c9bmv6h`=X%!C!ruBnKlDULvkCr)NqX{gvzguH1Tl1vI8y)5&akA=%S0zN| zM3FGQB2bnjN9}&t>xpGFIn+|;pkwH>NUZPKAl>OQVxxZRl`^(vBuLqMV3jhqq14GR z?tPzl4yi7<2e_J<-RI?}ls!|@RYH*|%x)X%>@Pq&)R{HZd&EBMevP?7cP8LY!VIwW zMxv!ohG@d_jIjKrA6dRH82gRa9G`k^QneC9IWq6a$vp0C9(Q(guvXEsH#RHCVXYqJ zIXCFKepu@Y>QTEvjp(ndtH(*p_o>I%e!?Gl;!S~7c^d7_Zz8a)TX4BB>`T&&K)0fvUtQ+YLX)`YeJtWqqq-#YkLY#XVpn zdZOsu$o@Cgk4cG30U3w^p|A>to9YF}lBp~PzZ-NY@t5}-M~jkVzBz~0mX{Jo$83l8 zQi><)Kd6*L;@F1Lr8V>IflEyiG1lDpNZ%xx?~l#aME}Mmrd71RJ>Q@`TF=Taf+PUV ze3j1fgVnV(%0$k#mGIjlwsq?kEy%XnZ^%>{7J)CRWEov>}OpCf6 zTGUhD^V(m0RTSN7xYY(4hgamkCB0@BVc=7gU--+gR&%Ag&rttNj{xhHbU118i@@ksu}8KB3|B;QSP`6Cm>FbARvYUd8-fwYVa3ztfI~Au1O8mIV#IN% zyTHH{rRf$5V5}H{_6i{^dI*WlTpg@yZU|H<&}JD4TG8@%pSIf$g<4WRwj-_(sLXnWHiRM)k$IBEPL}zS zDo~n1wYQU4$JxHduKk%DHSpH`%r-91&a^9srH)T}qW$b+r`7r2nO=7z^t!7?tg8_l z(7_>RGktqz+SJX+Et<{r&6w%${;IdU=VM0Gg^!K}A2BfOwc5)5h_&>C2Xx<|%jbx7 zkS?DiR+J{A;RI|d`hl`T6^RTQ%sMl}W8e}jvm0p>EGsj^B}?yi7@rj)0E>Y*vHI?2Q>e_@m$-tiS?HSw&eH%tJe#aH2&HlP2wKK0F1W)m2_e4i zHYoIO&XLNn2oBAvr7|pnL$hitBKy#+T2Y3+`X?rVOv0=&?;2~S7`Ly2nG_x z9rmi#_a~nh9xDbCMYhS>rrDyjyk-<=XK6n)ddsnd3K|PQlTvVFMc#QAgkNuM!9aAW z*$n3?EWY}o8GMG1YKI<86z+vIFH%V36>Wa~OjL05nfn5k)8Mp>r66mYUitd&jIrbLc9J*~50y;KAdu zikCQaa&=hcsN_M+0%Ys&%9pRg7*rcd9tl|xEA)vKt1t$HMP_qwY|Mb}1QJzqIQRjQ z_rak1rU~cy)>hqhucHO7Wv}_%6PG?u`&YBL0YTOE7kY=v=fa0SUlvBHUr~QwdikD7UdV;6@ zWjk7(Y-ECQ?2nOr(5QKTzW#ZCNj8+@@XuIpJ zXxmLVUGj3BTZn9L$n187Z}qsjUDIyS>~`IZ+w}*38VGh4)PUuo23$_(4e$a zkIGQ52%_bgG(AOrhw*>)V+8kcNi_7=IYHz|08^+Xt47l&bW$byfbRNC8r6~v^n6Wp zrUTW3)iqo6v8W`^MjzDpj!?VQ>eodvV({aCT9_feiBEd0bK!+4E5|V?ol-Y$y#4Q7 z3G+aLqF zVbP_c2SDv{aY(4Ou=*0{OUEN&z9@=a~78O)dKTqTW6!JyWkE9W+@xu2p-MH#)=X$S~uuDT2NbSfTJa?Lr_9S6FeMH z@yHm9bJp5(q@M9ZAD2j@sUTy7OGJW3qKiXI$mr*|TyaJR`%uGl zHY;k#=#ne8@?#*l+jV}8R%MzbW@9TClGUc2H6>(pNenzfYMUYO&dLPhG^>8uyVI5z z9hV5bl>nN1f0BR9@B?DmMyLFQu|WU7K1xkYo3c{4%ge|7wkANhMRH&rA477GRrtA_n_ zM5o;fZmhB3;{jvX=>f1)Pm5qySTM5D3dn*GHiQM+@CK+-3^{ENov~o+ZVT&*iKOkJ z#jqO|OgI}}3h420E;Jf7oCD5B#)6M%r7Ru*_+{YeYcgsNei;3pj0GP+@)sICNMRV@ z-r%<6m)QYOfxYGY59yx-@>-UhWLnimc9XFYC66-3LNuG&VwrR78zwbAZxZI1Cv;=SZ}alcsYk|~16G-7+30JX{T(v}~q1M)G+2dqQV z&b%ubH=a&dIEQI}*{)1^?K|AS+|*QgE1tQ&9LT|f6Sgin*r}zq(}2QG1>A(?srUW$ zInzWiZuzc1^!oRI!K)`zoYD87<%cH598`;UF5j%Ivc{-X7Pr_I3S;+ZdkSZpc z4nf8(E_gy~7*R%$2k(_SCItCZ7D03w7iENo;2oYZ)td{l@4GZ!!!*2OyCR=c7sNu^ zO^py@%XOuCb3qOaLNo%Z>*ipUNA?wdwZtth38l{du%tM9jFBYiZ@MJytiAW36UE@4`kNE@Av=-Gy$6MJd#{I)i$ml4D=A@9zJ-D7N|`sB z=G$)H0@s(N4 zTWR2tKs>PbD!g>`^o%cdTky$M5|w-H(bGe|z!R&FF6#DdJXWlkIpPZp?*uiR59DFj z(H5B_zQ6zh=7`A=M{z)Nbi_yLdIG)BhkU;`S0f!gsi1{*mBN~s3)bo?39)R)#c_ub z26CSKN$t_|J=$&2B1I&16mn8R(_Wm5u@?sdj?VYKI2U+Dywh>KIQ&;9o_AjytU2`J z;Oq9q;Xjr2dR`nH@2W4(vzNX(ch@Z?9oD?^CWHi)ynzmfe19f~d_&H7MB?O-SN@W) z!1Dq5fQ9?9*^&62_FpgPv4+0|fy(`n?X7vNhD5KHZ@%HlZPJ%_ z?qUlMXQAL>6W6+IVySIrnWUUYbg+~n2iQchVt%h8wIfBg6V*pCMWu6ounaMQZLO!pWSiT4jytTVGF zDe~k?_H<)Owl+_}LGP?ak0&(_{24Y()Hp~?ZIKlpF+WGRh&rYoRbw_f(Y}J6PllF$ zH{w}(X-l%vYvY}s8-)9CCe_k`x84XQGmBGL;y2KEVwa9Pz);yw5>SKA$j0#PPPdw> zTn<;9t(fT{uDiu$$;{%NMt@_yLMQOS^6!~u=*Ij*H*!6`VHi00h2mSPfA<(xz5C12 z{++2)*GruO|2e(rQmqBtqG(&d4p+jw?F|YYCu+87I@;t`00c zXWM@Ms`?zmkmDSeTOwQ(+>cBG&#YZ{m|4t!~!u_SIrXcq&c@YZ+cM*>Bi z;w$?K^{YhAkBk=fga7WQe&7qEKee(gJR~;m#S=F56p$2bss@L_JfbCaL3BW7FErA^ zwl>N%1ZhcK@UUkEGl5lDE6dC(ivYHnmb3_>BPuK@R|H%t0bi_0OIifcH%3h^M%=VS zGg{Ij$d)T=n@^Tri4|!{T@Wo?@I9^lerXVS2-1=kLFAP5&}gyWUY?2;W@&i1B?4>GHo<40#`gE@5;gDGB}KDABEI+=PaQw!OFn5VR}G8{?<>1KuR9=Lge6cfk8Tq9 z;N0#AOMncc?WT3v+Hp*1N<7t*z`?-Lj?@@H+L?bIVF^RDf-fGrEvYiTXw@r{t8TKz zNH;D;XyN~7?`?psOS7`DDMApG2_aEJB*>>G zQPW&G=j`(vqm0!Jm}HRfbr)&^^QC9#9-5iC{n_1_nz9N_Nr*ayNSQJw86fs@QTd*8kHx!=9Fziu{E&prD)YrlK% zv-e)V&wAE_I%xZWky%&eDaB~p!K=;>p)x7cD63X)Hebu8EmkUMbu-XxvdVlJ6Peh) z393zVaqKTk&*8ZarDs%@+njVNQX_FpfCG!vUGWmsTO={Mz)j00iocMh)b$t1ej<~?8$`BC13u}ZSA zpLtShhV4uwg9V zo2WbEne^YUlge^jj>ai0r={kw11hmt&@15(Xm^MQ>{X&qcU*}^CI1*Mn3d>M$wz59 zpMUQMiT7D zUiEaEc>iir-;oY6o~V?3eAO2(Uwq}o%NO1z*CUr!FX_tS&`P@tbBRNG#BnLlN zjCSd{ECr9U1rR%t4HQI{Msp=DNQG>IK1QtDG=1NirZK_Y3axYMRT+(~uSl(8f|$^y zE(q9JSQ();+p?LCE*;g82_8EnQ|MFe;MAzE;(~O(OmL4GTDWQ<%kMGz)Auq#oFm8V zVE7^?(`YKmR-E){s;7=7cJ-x)8sqevfdok7q0e{V;kM|adrxAh4>O$ln6t8<45dTD zW1xzGH!1>|;Kxris<#;uyobqNiPs(sv~0V9*Cso+*DRYPHzc) z`=gN{`AbthcsSpP90U6qdh&w5Fai9Rurpka;MCl}ir;Pz-Fkpv`%ZY6?X?vkf|W% z;WVaB+;la3sDYH3G_Yi)(BLaZ)2sK6*1^Z&OzF@-_EKl`>{`hw4x3IYP{ z^!N}i*JGGArD<5#Ixz@vJqCzy8&(YJv7y;ogL-UewpI)RsvaAftrdfSo$0Zm*;>PT zY-qIB6?*K^M{8BB)&F3+?6s=L%ptSCz!)#>zUMJ_+z$4syv^t8u`3fr;)!dX3wfrH z%L-X1&pBlU+jkt7xL}!{^^y#yY;vJ@M~U_K{`^1wh!0QM)6t;|ESZ@r4OS{L6eFot z3yZ_{6p1emNE#wjY?5jRAa*2x=+G;gJn1~f0Zh+j3MA&vw-!3uQ*@|nmnw+9dJ{UM zJw-byd{ZXX4%;%o$}c9`Qw*BeO6e25s=e4H#RY(b6*3NFJhXAhm(;vTiH8{7=$bnY zB#RLQVhyHL>TiyMt3b4NND1X6;bg2)g9(ikN7%FREi(48ds z%rsl*VcSbP(5yIxZ*1TK9gjot9@5uGyabM4v<{$g2 zzxi)}(DQvtZDZX*M&z`^kV~<`Fo}Q;u}`LaRf=UM=tB>{y9nc&1O?MF~>j=hzvmULxQu&)?HlO2Zd zmTHMLdbnT=O-?&Zx6^vR@o2eV3QeHHRE2>arx!-VR3BIcbP5elqM$N;$sC%1HwkA6 zROSjP$#mV5%C4%P^ug4dDzLfnhVBJ*$F<^r`o&%KM4qGZ1Ue9M{elz-m32Y>=E^=e z|H2N)D&8p+;8E##alR3&2;>0D`{9BGnj0=ywq0WiW;$&0J*`;1`}nmsKs#67mKiFE z88?fZCsYzelV>_(dC_>~wV7CcNaMZp`S1GPPne#miDqTVv240tDIz9gNJ^)In3b(9 zS^h>xjfVt+l9aeZi3w<$PF=@Q2mDgZ7OS&W%X+y$j zT_#ogic#Z%pN;(F)K1&N%I<j_25M z(-Sx-=rXu>VSl)L=O{mJq&NsBx$jQOdQ`mQl zqr*4qooBj1@SgPN++*TryC!vgy`l~%61}412H{{ndb~wGl7-c+BsuBG(8W-{#KGsf zeYGDW4v6|5wV!mji%x|Alq(;+YNf|OELlGEd+cwcdZh;&<=Lt1#xS;xqoR&SbX3>~ z91VTrSuhcmI?{yEE$@&LSu)2YcqZ^H5;thCg-?Qm>REtaGj+tl5g8|w6+%vr1KAN6 zxnb#TB!v4!N1!-vVLADE453a)I-cS4!^-kPOqfP`5!VVU=Pf@JR(|OF{^7SzGli|! z%0@ED6m}%FG&M4mVyl;;T0&7=3++=(VIachp~@lU$`Tnz>jnPx$<T&R$z(01ZDTq z3zu(y>$7irhfklBfc~ELLs{qUfD~b$EJ^*vU-yIm=;tQUa>~Oorv-JT1F6u0+H{HJ zE*j8WX~i1wGFEY5Qao1}6SOElvw(ym*Lu7Kbrkp>GC9D$Sp&}i@I98KY6H&@@I5AI zYXi>^@I57H;h|{<&k*uGC1^*X?+GpEJv>9$_n1D13sC@t+q1FnZf`wW5!>iroKF>| zM*6kY&C|BRF7qSlAqT%iA}tR^+E!r3O`+f=aaYK>N?4^CIfQ}X+kLw^qjP#V+Wg;Y3uPhC(6CPS#Gg-P(y;=x0MXQZ;r@Jt;I5_BPzd7Jf@7 ziU5-2`boO0_=_!m>Luxx5cjS_+^Ji%gt)geO8GNB_&>b;w@hP@I7ta+3kAPk$5RZV z(7U9AqzIO5ku$V!C61+i<0J;G2y4`Wabk#jTm$?lf*8`=B5Z;`3<_KHph-b+w;|JW z3YuexJKD6CLfo;=u^8fxc8=u`7gI7M^^Hl>g*(<%>rWIGg&E5)N8OKF*uy5uj_0Sv%Nu!aVQ=vn?#^eJcZ(LE z;dXq6-~Qe||CXH(&`_Vfjfs7xu(AprD6@TUb`r~i^0oR{u%uIh4 z(-z73)fxB{v>}ow{XAF1TnM__nDmO6Yqu?$w%iSZHHTv^jJ9uYPU`#cnCpbH zZhM}?F&739s2vPPkM}3UTxiirYtGi*s+XH`rK5rt{Vlr(!?UruOC<|1&Kcg&A=>}b$c7v&kcc}EYlT`i$ zR8eCn<1IQK*Bl;9Neds$0L?Zl!5lQjKQ%ZF3`Px3i`G|BW4O4y&g?v0_*|^M?se@c zdS+GHzYApl&9?#BcVJ8>X-xyhU`s&QYqUiH7?U?=7=cHzF-sC~Ndo@zm`DG!pY!hD zdV__-%@zcStOugGtqqf+G4&vJs&KgByn3!U;1oqu8p#Vr3x`fAGr)Ek4e0@zNPQhn zv};Um3}PXM8Gqswq1~|&OumjYz@UMpErVuXr&7QSNk(7CDPV?Z4x+EKHwqYaxf&lL z-kv(cm-n%C^Azo9Ne0a8Q9=YzgXSM;wzr{lI;7GWY!lsY0WlIpd6b|n#?98I(NkZ6_W6CPF4xB+^i7=K3#-y~KW_UXfh6wpBDbOxriP&touL;aDhJQTzX?8d?; zpW#3nHVM9Wr4;EaCZ7S>VYQneK4?9q>@#c-@t1hMPh%89CgfzsXpExIfD-KpjZwTi zk3wTq?PxoC&cA3931+ihpqD-<<~$6=eYn%n&`mbm4ZPWP-St)AFlqt6lB|wpMmn+q zUqjSvLL{S3nL6bKWSe(LfSwjmgbeMS0*Y|Hads}L4bEXIP!H1~#`5!6nejfVw16jh z+Cb1n_LeREG>luV?`3#+#WI-AI)=jhkDHwlLNDd@+)wj4AN%Y7;7@ozjk3`irIBud z&*6ArKMe_pHZ+Dv=asKYKaB~Zx~eRu$IS*IcNSz^YJ$!djpovJMY;ec2yzOw?4YwK z+(&+BsuL4L4=S_eKVFalwZd!quBlP zp|a)CohX$q?KSgpm^VI$b>1y%VMf_gh4rxR_PP*$7E6nme%Vfm9d~?-9dgmB!8w&4|~o8iSjFQDqE`e5V4q zTu}6yNghy?*9!K^xZHyzRh~&A<4s;ZT7W}gu?v!ncuf+my^ObEzA-}`8SQXAv#qk) z;F)=970%O_+%C$eIi|G4tTEiZtpK(pq=&}4Hb!^B$w~)Ja5I{Yno*qWeT26NheH4x zUS)s`!nY)ZNSkx(-sQ1B*P_o@Uh=Q=mT#>QL9<`+yZ-i{d&)JbBH2xo>ed=<^&L}Q z2pqsTisTqx*78+q#K)7m!2k)>QhN+*$b!_Qw&KZ%F^?NKdyOD}eiPgyF$OoE%%%H- zy7_)+YElyjCV9lz3^_@V%98p$9b4*+CW$r&C{WRln^k)`i3@eduudd#;q7mp zxKJ_CmRzVX4f3PLqtDj4RZ+?Z)rNg!t0`Okz6ByRYFCAZ77xZ^><`(|OAesncKl&;ING?Yle=Qx<|6pzotw@PTfe*JKY` zF?q8O7|#O@l$yO4YV<Y(to@U366t!{5|>A1FD5c$Cc%l8j=PUG*U&OgmDk#l77 ziouK)XFTHa7M|<#@B`Xp>86x`l1dSFA2A~40Gw}1%Y!agL@GwwXD2)IT})wGoV_ zbQBK|P3b5eAez!6W=r54Q7AY8Mc5oVno<<`8L&bSLtWbfNiwCU(;5PlMBPU-X0uJH zTDoFJUw_l1NAg*VDP2tI0&$-KabHa7s^V&eSM@wF>fi6Paz1eMO-g$z! zTeDk#-gz0>XBXtnZXg=#9X;M2;5JIL+YSt3sSV&}qh|?DV-(vDoy)(Job$s{&Z?pK zLo~H{i0hx!^C&Z;TzYT0>l@_THjtlr1Gc>k^?frLJ6XQP2v7Q=_ny47p}u!J<99Be zpuCC`p?w=S3JKTW*!}c}JbkFSTvOB~vkN?du$GWX5NU^|xWwc{6|5wN+e6Xl!1D=3u z7h=Ty2|-%BW~@#+G2$9%jwE?4rK*Z?U5av>7D7EMB^gz3Rg#y(z<{Jt%f{6WN$tw6 znTI}6I&ck}2DQJ?qzf|Zb<1G5kdWLR2}x0fXBwcT26!_yz(4sjzx2Urcr_@nfDoAj zuSQGlEO>P-7EZ#e0Z)+?yAJbY31qWWUjwhMRmCZIwax9F0k1Aq#YuQIf>5a{o=&~~ zq?EWsGjpc^J^=X?z~@&ZM!amoh_BZI#0I>&Ko^4~jkrnf*C+Kg@P|%G_=P5oxY|rl zhU#_$&XIo%^8<7{8}r73&M~!mtaAy*=B(@|Q;fKULFI3(FD^#>295YHYk2h^{=$#{ zz^i}_0`mh8?|#jm%#?mh2|Y{)hv$xS zTz3tk{h6m@La+4bFh#iOgbbx}DiF)xPq#`BT{&%nFReY`Kv+ilF@-M1 zBOKv+6180npSOmydM4R{C%tWkB=WLUXK1eM&tng{qWwS2Nb_9$0-#mKR~@?heoNc z&_f_e*djEkl1%Uj9z<(u?!hD@o)UD3N9l?{RuW6+b4+Q;!}he5awTezn;!BqvOXNP z>q5l@_a-+nz`e<7Nw7{xQj~z1_ULpJ?Sjw^vc(0VD31Xca0b$EDXxe~a`J_CU!$3# zcb@V7;MkZdBx~YMr8OrV47Jq#q^KHocQPcyK+~^ZTs5IhzwNbNn9pE;RczSLknc#P zSUx;@n}%mcc>GZ3EPh5zkuLLn&ht-ncu00PLJP(2}JjK?Seg4HSuN|0mcxY?<1L2gFP+4wmo+~|H= z1XmmypH~!@!L9~iQLSXcVJZm`UsAFcRdNq{N19?zhH8JHG!qa;ol_quxgrrtx;bek z;Gv^4MKILrP%vQO=<)WPjsQW?Q6p7MZ_RjrPTdg*EUd{(YwwR_FYJoSLqy505GL5s zXF3-T9$N0=K_zH@U_)RZKDaa|9Z#m1qSYOTOVDJ{l(V!KMEz$3Kn(pW3z95oWf&&aoi%wC2I=34?R#{6Zw?7c>!;qY~;uT zf-P0CbwSeg4yZNt=r~V|QNhvknvzk`xAOulsd(P_;DN8GeczScsz~nl2gLxA-lu(! zk(TlEL{5?XuUr$X9nG~1;VrVL10KWbdv~XcF0#3I`-?7dzCs}HFOOW^f4jce##Cc>yx1l- zcL=2YW!sOx#rf{S1#edu+mvdBK;B;zLp?rzuy{G!?Zq}`Ubp*;ZNjo|Y0$#&x5fEJ zHu!CKu}yVY2(&xI1MYCXkt29}xY*%)PxV3|?JwJY{kzS@E~c8dr;A;>ehB3K^Y!m= zzVZI=))zZy)Np+MK;eS1Lp*={U~y9)FH7~_*I%n{;@h2b1M=Hl|Aj9+|9o!BvuP{%Wl;yNFHaRTg83`tX0OgTWX|eQjYb80O5el~2G*xpUon*$>EsJfdkTu#_WmR*z}X^ zXOE3PNq+WWZ2n31vquM@#JhuxoRW=!O_1rn>_Vm6vw^H!`flIMP@iW?;Sq~>s1E1^ z1TjdO{iOAD6IhKVz7E2#7W1I{y~W$L7q9)hG-$nw4O&TcUZs@R7Zw}1_Dt{`*URgR zFM9c9ms*+%?yjl8q8od^bfQ^nI+s-3?WE$qeD!m`;^VzDQ1hZ~^=`D*Mk8cZpV1N; zZIB6~-I$c*@Q`7G+L9Ap1QQ&sBhf{uf>)Q3a`g^zE;!v8%z#3(txVBHuoaQ8D#T*D zt|>)M+DY1uV9XjD8NTUMjPmxMTOj#l|7?GouftkHRVNKIhjA{pqWv9O+5e4F{pwQ< z9bpcaAtfnbZNWUU?E#nijx~N12Qk#1WJpP1KWFq>o=iYp!nb5UPn&aXV_D6u-eMb< zGSv#eXFQpl%GHb(?PHl~lV8u{yFTy>f8qll`pC&L)fVtg2D?*bsx64PQPA@3&DxR7`2 z4fn!poG_hIK+8MC%p3|gX>28M#TdgG57;CswbD}!oq}FHvy74vFrs09sp5p=YgNbh z$N6GdLdCQa*qIW&u!bqoDBHpA=Del;JeKggHtpuTD5eJ!onfn~WoO2*6pe8#bATUw z{%8K$J3po>F~v$+4$$D>g|dg>dMi(tuS%`m1W}r0xri+V=oJtJ;eu3RCRpZQum-d$ zy2%Bp#7yw8gD#O3LH(gB#|5dxOmMgESjL7X!AZ8=3{+w!SScBC=!PmIcM9yb2jT&c zNZzbUoyreQC1xw`R~uv;tg`+0j1wN&v=J++cg3z`8^M)5I0As`lwg5U153(kIviQO2&Hx&MDNG93OF=47Jpu%X@#Qy3R0~JN9H`=&o?w|*lV7F2sbVZ~?JUk3DXt^z;ct36o z7K}7cvDB!uoT5SClN${JEJiFfu}dPA8l}RWcZe1Y#p+EgHL}YgMQ&2fj{A#8Q!Nk* z(|`!L1wyAmmn@J}uEYLX$@cwmzOmGZk~lPKxM0*y(*?`6Td-z~mY@l0ydGAvyYH`5jIJHG2* z{M6s&)R=lRTDPm90^v;|7o*imdvCQXqHI0kPSO@HdSkMpU3Vu5CEC_nN3-ru5-^kP ziqWd?w;RT{bdpGjl5KS~>Tt+mcWEp;j5BHkqfM`V!a+Q`yka!z?j~#iNNiTjZdWzw z=uc=(dUm_2Nf$6xKDd-#?Kg)Hqj;yiOlN8&qub>yZU~C=(I?#mT1T;!YF?W}v0CxQ zK`{GUcXeB1GIKu@{(POmwv4 z41JRwpUA*!+SKhh0@br3$)4k~I**_Q#y3UM^kWjnN-aBvmEd*SZjk5YtL}b)bo7Qz zzP8m5xQ|~8UdEj@JkIbOB$6$!*O_LCk?gmA{dfNNztc-q!)TUKNJ+#?j6zD}cP_A( z<9f?rg~_vZ3F%6(kJvb+RvAGlTNd4vjay=xEZMkN16#6j=jl*IJJrzW)y>8&u#W}y@xr^F6Ex@RyR`LR z{D$=#f5IoCYALvdeE{gMT$0D*rq}HoVIRjz=r}MYj<&Rvno+14nf^vwS_NzS@D}O1 z)D)bXwn1%!Mhv7pVaCdw<+28>GsBa*B9JE9hR^-%af4TEU7xOG2>j73I=J$XjQ<-?H|}oz&r&{1PhGk3bRMx}Di zwgq4k!P=pNM9WvH2#QQUfP=6(NZDidn;It<^laQ3orfK}5r}d`|C-nw7xZi#4!wmA z5J5D|A;|{gIGpwUU41lf@a%qwX#~Y6Jw}`y>$5MhQmm7J67zf>Ccvw|7`#HH~vW% z8nWc_&E@rBoVx$f!KR}Xxg`_#7-ix@>(>I%eB1zgJ zY!QU5=J<37?ly&gzy)d6?a+JBs_LP4;1_5PEoR*$tM36u15Aa_Cn;v#Bw_bC!WQkn zfbFzDxtR4TdDwxxL?mSreY!c44D3q+aN0Y1ygf(IP2e}8ABS7oo@cs0$BpucfT*om zbJA6)CSHv;nFNBTKsJ!_MIFcRzz{y>0`RX1S;`xXBGv~P#iFP|Pa%pa@U8doz{$t( z(P67D=b!A!oP7Q^<`Y|5Q*WuZXWeLFc5uSFmUvHF|16aZWeJghvZ=^7Y zl+6op&l08v6d^hJ+FKc(_X~KZp!DHQ?>2=kD6APCc(7>5^hmBrY*~Jc1t?}mKkbfW z4n#?=j%M^V1yyR2$36UUmE4dKFg?a7>($ro;spO*)&whFp%M;jN>WYVU~r8Pf-Ogv z;32OW9{3Be@`0^~?>b_FR?P^5h@B_cO9+x9(+S{m?Rlnq)Pcc7*F2W8e>l&elEBPy zI>|jk$N}tQbM5gS)%gdwnFU4}){OV)sKc^Z4K`L1lRWdq6p9adQso)OW_d9|tx-Q+ z`C^j2qcVMrznIG3Q4TWjV&cf=*z=>mX8s!aCp%YrF|kMcV&ZuAV)EnYi#f*g(O}t> z_ahYDdss9f0`a0b!E1@w0!$$pyvA*>Qula5fIv&&z1!vq!TCZKqUeRJAk(&!S5l^?vM`H@`UW}m?s41%lDG5 z7Ad946M{hAe?Jg^r1O{U^n=CA(Z{Q1K*4%~oo(}}CxvvxUj6&nf_qjOp0NpzmAZ+g zz&PUB)B9Kq#2?`wMh}(%43)y8j)CxA@oRB?^cU>+Qz?x|oh-rQNFP?+*P5cQ+O-ar z;I7ZJg-hb4dJWhL#EUX0+P}*~q_5^{9GNf86P2X0ulnNUi?6(R`NG>|R))8hRY|)f z?&gAWA#wq;?+RnAT9)YjVt)6A5EO`IJh6?bo;0<~#$@CjQ%@pVj4 zX`i{`5tCr^isIWB!7>0A(cFoohFMTN9a~Y^YM|3FldTm@6+}#uRhJ0thnl1<*9o^( z{2W_xv!j0tzY%Vh<|)t~-{q%j}2H0HcpMEe!n3zuGjo9Pw!@ppXZ8>XkTzXpamjgDUO>LmRCvC&I3rJ7e~ z95FI^iKf&9#|JMlq^pvngO?b*m?R<#?GN3b_Jvrwe8oIfY|*Su5*S+1G&D|{XE(=2 zG+Y`4gL$qNR1*UkI;8(r^Aw}$LvoC&{6Ol^@)J|pi81k38|+cA%p=7-N2Rpr`&l$@qm6mTeD#(KmpOd%s}zAtb6yY4D5t z(^dBUWza_0l!S}N%+e)b4=Q^G@N3o}8NcOSb_FaDqq~C`m0LdHXDvqjc50Dt{|(>% zfBdAA#i&Qsi-!*#!#KR`XfDfPTr&mf)_hf}n;O@`j>dQxZI0S&2xc2p zFQt@F1yej+<`=?D>^Hr{g4QBS#4N)~#kPC}1%*Vfn4w^$P`OKH4IUEAblibYPu?M!wYHrPe!w}{+DC5Z z!}-RxeAEKZGV4;V4rZCJX-#F{$FBt=@5$(t*p!I4<{)FT5_?F7Y(lFuFPTEK8OzfW z%v`=T8cYEG^qar+linLz2&8P;f-eq0Qi>h}4518Ruxx%&cm=Pp>pJC(KJLL$)l?Bf zv6Cnc6!itAVQt2~q9gzf0a4)`oIKL37)qUhQfxV^mJNOi=QtWT0_sT=URe=ENy0fO z2x>^I7zIP26Erc*`>`Oq+w~3|>YPsid!bVej<}Zg95eU=C1?SD0M=2)z4&D(@P!9q ztBurn<5?!~1%7E&;3v$r=p9|sv-Vq_aDrmDPH4<%eoN5<UKQ*x;7HZZp(jY4XM#v0apD21 zQlgvjg!rLpluQtv1%$gpk=51#7o^6kg24G3BCv!MCOBJ<1&wgE7pz*TbHzp#!2bp` zgMBsdwMfVBA4NvB(`@|v!eo4o<1LO#aC`*-VhZU#oD=Xnp08ud!+EZbSu##{mvNeR zi&{Osl#OntZ1l>r&wtk+_l%SBcvt{dSUq6t1no+;d!Sgwgq5#~tusOAL+QGLEO$ZL zIuitJwG5tI%P4Q)QeBX?&IAve1Do1_z*>XtUxEe~q^+xhfVztH+{1Xbg0yue2(0)T zaTTXdqT{?-k+!Z19=Z;Z8U?!^Gcuj~D#+ZF3NjvG#;JUDZ_+4Mdz3z?r7`q(v4Uz6 zqgP-4p?ZzO6O<{L*`zY0<^X+4K zPS3TEa}z`JmTz@C6GQ*-U;3)wvvy>dF4XEJ^pG&s3)=Jzko)PyQy;t>{|Qju7TRo zYFCVUX^(~m$$4NLEhnO;6_Z|C?Ma(X1=h~&ozzRJT4s}>_tc21g5FnE(={@B$f5eN zeDes6tA3!Omn>mzurK=yDvCuf-Mn7X%)t(my0wU{JYt}t6Rl-=g&9hP8EUhw=jyD* zr@uR&{(5?U@#$~Ir+@0@@BNIw?6k3(#xvVll6s+|^$1+GSP{$j<)Vsrv<{R&Vo}9A zTG`FjtQeE_r3AtrS@W0u&@pH49j%xIptjQmX*=y=01UT|R(7n?B)VYC*n3AShKIF1 zb}3$2(g0+kSInx<9p}b&D`Ao#&+AP>s7<^VH93t#VCV!(Hq@we-Cjg?(**RqQ5U zXnLB~BG+$_tL<^)&2i>Xl)n*}))urSjtG7~fM}_p-K#)@f;II#1;zQ8he+iN3tB=eiU#JQl__ri&()9}0thar1fK`;o57uL^@U8{{9Rr$?cXK^6nl zo;L)g=Exz2LHIpN^9dpdEk$^AlhQL|L!n|A1io%<1!gyP8??kQXt&>!0h|e+EyA39 zjxh|vBf=U!1X1}_E#>E!!XQ)7dvK4pf|_F$ zPUz=}l9MV@RZigdQ*E~P;wYMmsp<6JGfvk-8Tq7Z4FQ1~!61EZ@z#{C{T*7_|BX_k zrCQDOxPDk5L0Wtb4BgD_1PwR<+-)I2A;Jppv5+ilf)VR zIyAuw;fG*vast(%34#|}865VVlyK8IQXN*o1DL}_%XLO~;D@FMj$U)JS}1mQbe5$LW<5vEqKUK(eg?}>LVt@S`|773UU;k$46QzP4 z8gR^(`s}M;pDmB*getf;Fmc}ULyp%6KIh?o{w0n9Q3ug9O>q}R9VjpnUOHRA5d+evOo>6-VRtxUMW}29v~7r(Vl)JsaOJRKcR=$qm0UTil7F^ytXMjf79`G( zNWS1p15J*Rcs%q82?5oHzG94_Pix>XMG)a^8}P9~zD(BmwyA5o`&}*49MijEO6}@)NkGn%^=3 z6ER2}{o=AF39s_6E(IuC^8i*#xsfLr@;1>BiHzVu9@P|_jf1HrJ;EM35E1tX)y{ZDlV(Ge|4@y9%2)M&6YJ|P=hiTFuh-~A znl_%-_&mnLQpyYNUmJig&_sv8V=`S(2N*?{p^2|MEaFFe!Y4oYVC(T37E#R`u!xm( z7^(y+1USF)Rl#SN;OQvLzbv#HAkYQf<3+j&gO(+waN5AMH7mNuTMrF=jMORu;dE&C zc+u&&#avPPry!{)Fi1bNd%O@_Z8!f2#JQ^(m=AJ-e& zN`bHR34*p0$MER#wBN2P9q5q;2{?va>5qQ@&wu2Hr=T2Q4$hWPZA(DdU{c&F^9Q> zUo0vNh;NKY&MQ)dmEE2|NY9bKgmQ4ONEVDaOsH$sOuNIjkXZVmV-6D_IS@T^MGz0P z>C=?ML?e=7z7a_j7D-<+UrUj^M9vwl1MkTi9q*|<+PqkMm z2sQueH0Y+5_*d1?)jF~0!x=1yl{GQ466o^Rr$cwm$&(#Ny8&#Lz+VeH0pIC}b{j}? zh7>5@*P8Q05h%RqlH`o+1UE!hP@eE}_2~jLSzso=JjQ>0=r{e~*YCYH>E1l!S=|D{ zSEqWRKWre;&3E1ePiXHKyN)=bm$moM1eu@%d`KaXfSg7Sqa?-A= z@eNhX8s3D!<$89#+Wv>Tt79EK{+-k^Ez}vPAuM+Nb;~+5x0+erm-$}Ss>5M5hU$A`VuI8dFvz?YOT@tFus*Dz#Sj?nw*?7iPWm%X2Y<<{7SLveXUqj- zV02VcQ^sUQNalhuFpB*_CBfo?F))h#LA{HKfzbg?`p|X+cK{>U#3nH?;x$NaXTRR` z!0;(B@;S(8{K-KKh}ft=%Q>T4)&?PidA5y3b6`{_AtSS<1OR^FO65_)Rxq#XBxL03 zN;M)##@hoUQ)0qB@cqrDOO3(KbGJqZlLW57J1O?h)|{=rH3(|5RjONO!1X)?3QB(n zjiQG+ch<7*HB2cG14VvrPNmswA~e(J_7}MS1*Ug{FunT(;vL|TtWld3+<$nv5wL}C z_wD8kz56I_05g`St~O-Kh1~!*R%tK5^%)^K8 z8MCa`cbx4jxhVt4`0ta{I#@LoB)&t*wf)JHT0b5;lKCLtHKo?;)D*zU3+g5uJ*jo2 zSiqVC<~gZ#u(oO88hN>%loU)Q_okw*i;C@*NXzrm5Ac>}@Yo>tQc^XTtWk z(c{e~rTU4tSesbX`VFe}g1)vVyhUH%5E#2GKcRWAjR~5!{E)x?!(aDtzvi2~7OM-j z{yHIo>aXuNfbxte_S%yQvaQz7i<-lGQg`cK*&!-+C{3p60q+K&rS)*5V)vd@0AAWd zN5$?vsZfONiczt9Pb#VkEpj^f>$N8p^KsAgS^2iBOL&F9>Z)XD7}J36FfGHs&DUYA zr6u)_IT-P7r)tSfw#q|?g;)>HC*JhHZ3RC7R9EMBkj&F6uY%IL|Eb^d4L|-db-bl! zD@aWehTF-r^g4z*NxZ}6T<7l#W{Y1xVxE2-@shmN`D ze2zIwTR7YgXbZ{MYNQSvK!ObMcBAC8$j0;%zv7M7gYVfm!VJgGJX}9Z@kUJ&*ioHD z4ac!zke}%fK6scwdK@#U&e(%W56_WyqVlSe#bihu-}1l*xZvZZIBAW#jGA;@oB9a5 zhiQbJoskt}aeNH8^TW(JLY5BjZwvJ8FDd|%o+-caMFmX4D)sa5w8kchB%1;|k&o*& z4TvA_l9G_)D?o&2e!K(%S|yQvS5DAw0}`7?GCbRu=LkwSeXTH{w3Q4G_XVH_C4wSN zbSoL2ZcLNhfKVB)Dq6jIO$)+8V&{28l|rA{Jz~seO;M{=5`tQ-SeqAAzQQjr{Vr8< z!(fc>0XSLPD-sTSlRNODkjedw_i( z@jbu_=2!5egBaAq!NYGu7H;A_7#ZG(cFxR%Da@7;pGqwpOZAvka12Z~Z17B-1RFkG zc?Tp{Yl9~sn) zRcF{A{FOwBqfM~v&-XnZU%c^p{tXjox99B2upBNYF@d(TC@~ANJH;I^d=bBoAAe$a zWIHXgJ6&uObrJ;9`OCInzfPC*6W+=&#(4bZ`msa2e_IUijQ1ZC61S;A7y|kD5~S4M z4?kF3PTPU4!S}xYTDJMrlQPNDbjN*cp_$nF*|>?{x^7~r?IAdCTx=Wrf$xtVETsnP zWWE7LZMt#Ql2k#PLg>ufNpAM)3_a(p9_2`x^i6O2zW?g4{>tj<4G$0S8F}z36t7#z z!3$KlY=MMy4b&W7ER4}*5d^QS3-W<5K?ffLhTE>lJ8yz0{;;sYM+IQ_W<@>|RS^9e zI5Y&|Z7KNJ4^3-W1;HZ?w-th0CIRy~(ioZ`I2=%J5GFZ#oQ31g_5qUT zFxSJVa@A!SnkLMpm+{am8KSK7t!Lkr=yCN&H@n|AEH`>rxXUsgI@}d{v~T;YC;sv0 zd%;EDKx=+0?<4A|l1M`YxJGkC<32DFG(imMVBH(7YTb#QBgxMQE&<9Xt1^=cZUpjz zNice}C{D8%1s(zNA+3)bJzA8Bx55yNa!YSU^RPz?2x)tc(WAwImD>|TRakHd=Q!z% zsNXpR-BizEHv5b@9QIdtH{Ufo9ePkpdBlW=`=b8IRVcF9}2?ZoD_xcJL^=&|i!2N})N9S@;;-%tGZKlIE;*T8wW zu3I}|cN;@$mzqxroXZxnsGL0oUyDTJEhbPhDFqTZ+VUnTlOl*QM8x@F#ctiBHW#m{ z2<|(eWvJnBvP8{5Dfl^3&Dc32ZoaySP8=sY$FWW}K18uNazz+8kh~8`h9Wvb6(|L?g*0mmPh)Jubqj#@plK?HO;6i}&YI+T% zuB~5gO2S}fcvyqOerR~EhSuZPg!H4AWlS@-e-|9)n{SjZ@iE3>E`4%KpWH8xKDkeS z(>K5GdpwF!n`H=$YQcJRC?>gZod$9{ys zNTLpg8TErjCTbgB7ftFIT^looNRtDG6*7jBVGkKQU>MS@TR3l+-5Yn{)020=jq@L1!33;H5Pz z7v!^Pg3d66u`%scQ;(S-loP4ukTJs8^Y$DiOfpGOZIB0M=m)niz*K-nszUQL_Y->b4b_C5a&$>^%X z%tZsi#xkA!ahOhCp4M|U-V#CFT?8@j7PVGm8R&8|16_XTr#|zUOSimN)Iq>v4b#G! z?S{MT2gtt(>mqC!H5xNfeRme&LUEm34P zLK31})5VD8HOXR6X?X=_nkAW_G)ZJxJ6ty;cOdpnYtD`})MB~i)$IaD1sGMgwItIE zJ{l7!vdsI@JeG|(X8m?;ub&JLAHdfL0f_vH^_a!*J`RSrJjaXSefNv+eC64~na$@6wfA!Mk+u!;Q6zQLR>1wThTHRAITjHe`u-CJ1dxx(xfH{ytSY6m~ z1hl56Y9?;cVtDoL&ACPES+{7tV#xayAAHAWeUdj%Y3$sl?tHlDKqF_YJ?+6SC5;w0 zHhu@hjpUV=37?~_{IE}eL5d(orKYUd0MI}$zcWv#)ZLEhN0boJl(c~tk*aesb%(Es zu*9KJm28W1F?BcEY?>;ABi*Kx=ClTcYW8YtM}_H@e=nnTdY06_wnQHG150^N%nj?+ z7+pJqigifs9d0H46IYG@`8PwKC>gA4FRaZ~)QdtVfJ|N|Ec|e1N)Cwi)=)*gI2=$H zrW*%JokExvB*T+@foTV9E`sFx*yAk~c&(+G&?iJjWj-c=$TiPXq&>L6@Fmh7h-B|s zmUgMS=4nlLlai}G>rQx@FLrSDw1SMLz?=-mwTH*~0!Z^R?3<2ad!FHWzCfm$vkKVO zg%nMaJo709YPa}#6$dQO4z{lF_v~wXN+CJ=loI*tZA1wKT$Z|`3F)fm?(C;@1QLw& zE7G-|eqD(10qRZpa@h{~ZUINs^m&W$Rm5&cfA{*J+D;`vdQ-lB3X&_GO@6}&$xZos z#lCvJUbg-G6)79`M|V!j*9*=z)C*)g>@N`Hus<4~QsWppnbPkk+rEE;aMrO~6$7ll zmTdy`8&o4uzS0+7QNGxO2B@1@Y72!>=MLV-Vq0nLErSR->KLbHtMmJ?IU9g_Em-jE z)#*i=HJJ-o^cyX>OnB%`_f))r;-|D&CGG@l@~8xc-sXWb#0L|9JY+T_b=G;ax7q!BgA8woh)4gE-)UNE`41J<>XnC@*&He&Nzm(W+ zu*3$|xRC#Zp~kOE!UFOe!!pCM2ZxTw|4GjeS9ci7n;!6}dtOV_mA2;j=kT6APm^@D z;ga58FhHXXd6fQ=iK49rghxe7Up`~2QIr&vek*yl3TxEOQ3UEbx`pKsYkF~mp zmt2r9SJz0-DZqWoSAWfa{PGl+wl~rZFkgq)mN*2?J0U@8*W;;vAD z3~$_Do|#$&;k38dM1Vv)OmVni2&AHbZ2R%G23eQWpU3j_F#F+chuO!k8|cTHP3#MD zvODtvW;huFA-SFfV^s91-ABIgiBsBlzu#;HcCYyRtSi&|UE7%J=ynfHqW1Ofar=f_XfXR0Mj3}qads^CgbfNofK=RHGouHCVZWzj}`sl`jqdh*FB+a?=n!jeHHnc@BI7! z`(OX(DtE*Y+Hm(4Yl_k>G(~kQbOo`b3D}JCGL)~7l%a|=K{%T_kPn>y;JRf^$OWk) zO|W=XERteMdLzi6ybA7*9J|i>?8q@C!AS+6Z}b>XOi}>$#__MeVe%<{HSqO~%BaQ} zZUO4Q--nBRaDGk~3C}=@2E<>~nR#E1Xe-}tmM!dN>UsH^cr=oSDA803Z^k<9hmgL)t3 ztMXP?!AhDByqea8U660J308K^Ju1QqEptKMOcQj{{0+hJ&ymNa;fj5p^1fQGt;TM(f)rztO*t-0H7$=3tl5ObHb zwLGjDZx05$XbZ`{Gq)>9#{08JN?neASZ&ynnSOS8&+O>?Ri{@3Npjr=$w~c8O{&^% zx_!hnwaQOLz=H}|cePY^*}9^CQ@^!{w`>(z*?M@0T`GsG=e)Wl;pL72Mo4y&LqTYc z(NLDLV(NHD19{@eRMeIO+GN``I=NbC)Y;u~(cOD9W8rgRPq0yMB6T=p;iQt4t~wk) zt7LF`W&|a?t3*8xfn>pC+s_|ASTaz2eS@ziJn!dg_3~(+tJRmJ_FcDgt|o3#YZ;fM z_RS=hM_tQdjtc?FlfwRg6<0p4A6<@E6f_VS%qZ{802WZ zN}3$?Ele+OEn@O6s_8_1x;1mryKu{i`8e4c&$4o21k`SHirfIG8bbK{co)}5(+8JGO(-}bkEc;g9K zU8tuyYFq*e7R?U&d(M!uY;odF9p=?jF;R1PT(UlZlq5Q-S#yl)qNq7)6frf-%cBSY zU)lgB#w8v^bOQ1YjWtk z8s;^kTW4uP(Mmw_nljYwCNE1JqhH*(qp!yuQjv|Go2_(xzeY9olQpbOMSmNS)I4zpe#O(skB3m=nIs-0)+Kn4IBq>k-iR?$8|1vjW|P#2%q$f~*V+x+a2o1r>!gPs zcHnidYbT@(64(BPMs3u;=8J-J+*99eLJ0c)tb`E27YPgj9iHsnhL2S6re)g`LONB( zqYn;^fw%4@hKUYU42eMkEbNbdhEyV%812{Q*Z4|TcmAm-rGeAlx%=3HF|78g*~Cvv zoqJd2Ysp?M*{fe3bjE+@Fa2N7ylJXc!l_Qy9ZWVYi(`HGR+lYIo?f9W4W|cf2$(mR z1?fhaV5y-ay}l#km*}NBHh^xF2_Dy5Oi9MOk5G%Go$1iD(k2Lo6S`6CfWQpuMo_X8 zx<1@2H1De5mi?D$MJm*~A{dgTkVQ%qwWsAPWY{EAQC39JUK@=u*Puj}E+}r4Er~iH znl5-X7=D+RoMobG7^Vi$14Z4wden=4AAvjNUkaSD-$#4}EV8xV9`E_5IWk!~6oLlg z#D&TDJP@YRz<3%h*(HOpHN=IR{(Ub-YInmuPhK5b16a^mGT$s{&LJ# z4Y@!gIoJ>q3YaE@l$wwBW(R{rU7pu$e>*uuKWkJB`=t{)+fX(@4=eqT7=!~NAz+2~L5 zvn+Gv|4<@iQA}CdUxKu0nM=C;0<@?EDkBfDUlqPz%fuIAvnq+{I>>^-;%?Wy@?@;2 zU4XKm9KtM(B6B7tH>?>dY8S}z!^(>lCu&po#zfb zgA%mt;cKW15!MWkHb~t-UMm1~wD+{-(O6NtK*5c`Z`RFW)SIJA=c^qZLmIR%8m&CY zF6Q%;I!KiS$+Ol72ateLK{Ck`a)t6M0ob$3YfgFx^%)bQIJMvPCBVOx%pr*00_d)Y z4ZQ@|#a;q_9D51yy?F|@V0sB~%=i*;^M+r75&k&z_~w!pFxM%Z>0z`5Htq2bi+%}( z4wA22gOki~jbBhAW80U5%0U8lP7MvzqIgSvf0XR-HiUppxknvTF5967i1Up#MAX&b zna2I;hlltJyt7Dw*Ynqo>ADF{S-cM6bc94UF2}bwEZ1X+-JQu23oh1(C=f8mvE&XUr2bv&q*~{ zYoPZ#`L(R^3M{ojOM<0VI?!uL6r0__E2MZ*w}+6&70CP*jAGZ8#ia+$Rb>88NGP^3sYoW!}Up(el`TjhV84 zw!h8SvRSQI`S5aGO#a~#Xw35A<&dke747fP%KmSZ3Is0Z7~0=^_m_66bBbp<6cvxo zV-IYipZa_ph@~g$O_^uGQbaHuYe?F6-tt3f-*5TZAA8?R9unw6783aDYh6+U>%Z{3)yT*t^K zQ%Cl<`FggD>cSzgxt`nMGNgYmL5$EGs3<&h+sj{sNwwD1(#(h(AMzK{{t)sXy$J~@ zmL(Pe=~&1+#Dq_eK@eS8Dd8pE3330dW!Tgd6JDtApT{7G^NkrMBxKKOvXaO#xb5QP z*Bl6bEuhTrOzAD)x4Q$sUC(eV;J2FrzdilZ_domTt?@PHv|@^%*snNPnb|Y+GQ+ST zGhV(b`8X4-rH>=53!LmE=*Aa`bRAuY?AaRWvqsR3?;5E<^rdO`4EXOx(2XzH-3}*? z^+b-hGm14oM>oDnaMe3UH@*;DAJ7QL6=BX5^5-kM@x?i=wlMc1$S$^g)xr2;N&O+2 zG$h?ol$X*g4*8&HS*@i1Nv4T{UrhBqo^M@lKgqMs13muOL{Yw^aKCX!*Bmex1_@`^3g`C z2*E_YS;MrUMk`uU1EvSSWWUjhmed5nnuj_S13$=V;OBrzT2d24^h#?FbmOV(4fdra zt%7^h$W##hWfDsIinOFvaIDcv!jRIDRk51=KC2SO+L8{|+-`dlvL%eIl1S)D3p{2I z?=(YbXE|wes|Bkc#C8FEp*AcxZs~V1f-V2jW2)DGAF4y?y2F-uj%}SI<2ISnW9Xx0 ze$9=BvCI6LWqwUb5Dg|@7t=hye0a|Mnzwz&!*BTuEn!z1401swqwvcU@Qv-EbC8ReRaXRPtywVzxd)(f1VRIvV4`=@?-Mf2F%-wh zXE+d9(ustlr-to&adg7b=erPU z^BW+Gn)}I2xb6!8i<@rwr2qb?euSQcv9kEWmq5DA@h5cu+3|eKRVbrxVrHDrXGm1{ zGSh%?Bq*jJdh-tOJu8$C;9O*)9STU3o}idL{ugn?eg77F3dWNJB^0b>JifTyzQ1JR z!|{>J9>=bVH(vMeb2SDi;*-*chew@g@Qy?9gnZ_@neqVY8?;b8fXjL1!Kvk214zW+ z@7(^4^)Gl_rTVT_-pCCS8_o^_ls6O{^0AW3l&<6~>E%JZfTzP+02QG2V((v;jLQ3npHLz&-~n+O zjbyw#umU8?XUY{rI$Fted%$KdM?Y>m7AM2eCsnd)&C+91=CQlhGi1F=c?X_u&x!74 z>r4aGa|d1i2C#*)&}G)fBXvq4Z*=7Z^)X&{g!V*TG3)^C2yN@+R1nDOo7+JfH*8$Y zZYE&3bX`Pk%10^eFO@()KCMFnh6@}p?$5_2>@SVXVSiYMbF{hiP5W|MmGwHM^d;Px z_Fd|fcU`AE*McqC)tkw#e%<%~iht{WY`uUMBvfWsqC0MVD$sOw7gniGNp-Me)I-5O zC8wJifZh)nYf3L*h?3L20IayhOgoxlByM}8nC=BoGwwL(gkx6v?3wNb@X(lV!WHR% zz`~_wJNE)mip6jlF|Ye0NIV-s_X1e6Em+At{DxLAcmX_i0ZU?sriHBm2{~GFh`7_e z06Jq47)kAEw)%`TFd;QHPz7ot2wMqaIoLO)FX1zeZll$JIUA0|ELgZ zpyh*0>+-jNp)4jFzhH$FExgO;1#Yh)-sQ!R-?brM`UGB`K7s${L!bK{f6SR`_F&KU zQH{EVzEN0Rm|aHc+pWfy;$ZjFwg~Q$ZbVT$VP-LNm~Mm885mZ z4Y>)T^2q!lLISjLYhdYuG~`tf-Uci_1QFE2nx++L$W8FL?f|r9l#Xt5&BgkPG~^}- zCL8wPF&bGlS3PMVt5H-7)ub7N$t!bW&Dz!d+~UQr2EGn!y&49w^2#99waTLugRSACGuEZii6u5h=BZ@Qry)B8JaF_=<-Y zPKU{y&{iP$Uu|06-9x34U)ytfUvsdvr8#fT$N@5MXsewIA)2u~^M*5*A4)O)>MwcU zKU#Zmq3{3;E*wX2LgfKE3G7gOJ4q){sc$7?Y_zh#NU9(dgmxOapgdAV5P3j4?quCv z_XH(}Tm;Lw5=0@WJhg|GhpY%zSS67Ag>>EzO`T*HgK!2lF6bnDD9*SGQYV=po&fYV zQE&$$`{t@6bOSXrYGRTP3R*J!Jd?^xbyk5k-mwCshSNh9It$rgSClKdOME3;b5vti zq@gRyl5oMJ$HSN~_tG>FH3|c$s8QNxV7yl;c_q!Sd55He+S&^=;+-}b;Czu$udEs@ zR^a?*Cl3|a>(ykfDB5FY*_;lg81`AFfe~?_G-XfQ2cpYv4ek3O9$qU!h!FC;?EaB5PE=r zUIaI#d(Q>MpSMHX@Evp}lo@9(NZVPqU^1=XhgoRKSLK4@wwWYak}dITkj&;yNtEDqu)!c^&eo{wPTs|CKy zaLQGIWmeb)Q%tPW2^lGlAQq>@siZ6u=y zSI}CxB8&>mUdL=-BDe^BM?y`#H&^I(LG&hyDNegr+9RTBJE=k`^& zQ!(vNw2A$tse*5K%qqwm@1+BIuYHqmZzzUJ6GiYR!pjYRB7D2Qw^R}s#l9{w4n_4Y z{zTxoxVwzQXa9u{yzS?_lAt%E%{YuE5>gd@wm;FWwJm4J`bT@^ll`zmTMCc<#BMC? zPyWPM)E`vNc+emHiSd|UIx#Hf?*IZ8iw@$XHg%H-6aa71nfDmKfsUWXqDOxMsU5A1 z5G>G>jCThW6kw+4Pe4VVnMa^8RMYA?1TmHVh1>l4g_&~Q^Nh@aTVelHZGQRi>fnKw zO2T=qKXaJDgUt`GG;F@`hFcDy*<4(J#Ra&0(Q79!UcUIsi4(5ljGT10I^FgKbZc`odPkP%9+o zxgyxaHC`@Xq256kpbD-yxCDj}(Qrx9yC7Wv6Fg$3mGBxI(P`AK7{LTU5#xwlkwC@f zVkD(tOCmsL;h*JvwCJ{yOevUT0#|^ewyMzOh z0um58`a5j{7JKf;CrQLPqztSnMCc|5lqDo31akgiAmI?e*nERS{z0afo!>@WUW-yQ7@ExC|Arg&>MV(94c{_KGLge36~Co*;21pYzN3TmNC z-U2{K-5&5AJP8r@D-2M&6Q*{$hjOm>AWPh2_XA5{$OVxln|~t)VyRi2=W~n_L0y~p zXQCE-FZj&y6 zu7bjZES_>A2FAIPouJwQT;V*s9BuB#$oJR~*P8b9XAadeApyf4MgF@zjq#O#3@R^e! z-)Ix`xyGQwMPQKxCCz874_jchC0YOjZ^_r{S4bU7mahn6nlsVl2rJeApo>AZmiCf{ zH9;Mzj9%IrPPw3@VNGyzKq?s~8gT#gzu=^L9CG z`Yf$zf8B8TC;QI+`Zq(LC>0Ez89MvBFf<^-d36{XW_aiH=&lI}-O#9D!y{?;ZHq)ZE_bO80x>Z+)MP&FvU6A;TlvxL{X zw3SvpuvS<7ghrHBS49eGr+2`Ve@4hOWSP!Kw(J;$hm4j3X*w4Y%y z?W!kLkf@)BVThEx)_jjuFu^Dv0=- z?%tbkgCyn}bqRgOC-lIppk{vkPyXbmeXZw%^*u6j>GD<~C&^qNE0uVcm6h0DIPG#X z!Q)9-_r)a|RWqafR?*Wzfr=!{;ybY&E@_XbW=4sylA7sOia5tPlwoy#F;UGNI}H0% z-)X;Zoh&Ih7sUmfy;5IdtSws8q#mzdv5-s5nf*S8?P;sJ5XJ8Hhm?G&ur&>`EiCq4Z_5{XT-BhWI!9!Ge5MR1R)gunEdbx~$imURoe>3!n57|PNos|-f<;&m8NXe(;Pi^wPsW` zdV2)GF@4>FRMx$X_8GNtn@GDcor-?Ejb}ug;C+sO zO|8WSTOv_quq7}6pa*v2gGhGa+6=}sKmyPngI{+Oj3>~&aDKA|Imwe-&@O-7-jMjL9G@l?va%goueyU_VD^v9(eig&sWJQ!FG#6N& z1Elz(@kFMO?JOX^*sOcJ(hP#l)cGLv0ibI%o)}xMw48g;UbHByWIPSTiO|1m&oLTL z^rn(ylo-x!PcUJkc4&){EF@rCy^i06Up>HKkyaYa835UT#D(_otDINIk~Dsb_B0jm zVja4m_(Vd1J+hnri1X;%lp#F0B2b;2*-fifzhoaV3{SU5Vrz+>RqD0L%GK70LJD$W z<$9g6a?PlR(`1=?I@7wbVEqZ7_eJ0M>{M9e@cwSo;{}SE+2Q?|AlQTTIWr#Uj7 z&!jYpEF&6DW~79mMpB9~3TiTHy`d*~O;Vqn%)^XflRQhFb8AzOh^p>11&Q-bQ5-t! z&KVx9d|7@u^7Di!h{Xqcm^oqq;|)B8h@L{!4VEbA^~X(IZuyyZVo4$1ObYQ|`&U2e z&pqul0h-UXD5zw2+5XP4Tdc>i&7B6Xd<7HA*VqI#UZ5ZbQz}T*1w)Ad-u02KXLzMo z7$e@SNLy~q9Bt5MG}Kq4ps%~x0Q^y2XhWcJ-~sfn?lYI&NJR0umtE8 zL6W$^aP&#5PE zo~z75uU`_P-}`~z{8?{y??M4lEhh9>yI*zy{xq;ddKZQe48TkvFB?IcFB2^03;2dr zM_QunL0}GvQAf59eT9YKr{XQ?U6|kj6-t2xp=;e{BvnCb8WRNjFNdRY80LZA zMLIOS3ljurisOd>w(QMioiv;@CT_HpSPOG_)-UwEDLzsgT}0TwGxi9~D)Ziu#bLHw^OsdSQ%giF^x7!fsl z;nYuXec}A2`xsHP7Z{$wJUyNvbTKJntQ-!fDgKuo9oT2hY@^E=AT#<(K{7nR7f4f+ zm>UmA2M4S@dU%2_%JT+E96i4iXFf)k`7y#~tY2gE1|K7=79S&ShR5i#d5pOID38%w zN0K;;*Y!wV*GBl8JqgyW_*91^*oH4^$Z2((i!PE?!4pF$7IuI@y93ffHEYyuF9_kU zV=ue)g(%w-MqlG4z4s&p^8VZo`|mICT7~_)i!LSMI;#D6!Z``o;d~?Zq1#<_iL43& zd4F#E@$rMjO+^h_670VIT6GiOcJNvONj<)04=46?(IN7-%?{t5INuxnDfqU(iSwvS zb#fnUGv)BV@P+4}&uocCC84$Us&%WNO}4s1ZuaV|6U^b?oe6;*X9va*_DcLRMglg1F(`KYGU$HClNKHIJdzd&pIgBY zz~BMeY1J6Hh*A{wfIKEG9O#UKtX2|SFeNQ2(7BTyxe&OQR+F+Ha^>mPM zDIc64+TF#WPsN2a_0qZkg0srBgD5d1ON0_c(&XeNjP(y7wgGDnrV9e?4pG;`)PWb# zO%G7gXYm|D{Ub{e_6P6csI3)i;--hTIhszGBABvp{t!s#FWbI9fNAM+C z#PwZ-H3GgopxByC{IsHP&jq!W7sHLlAOlh_;gSr}u&JYoF-^``j|oVNn~ftF+%Jp~^jPCyDM)hpoxmhMwN@E`l!NLOi{s1Ju^{NIX>YIT$8tTxQWj6_&M-0Y4& zef%MFbLhoMNj>nggE|>@BRxOY40E9;V5sH6z~I8>2})ruxxDldk}=R!QvR&P=Fp4d zdc*Nb3|>3h;e4Jk%mw!r2$*qn@Sf1N2g&&l5#q@35Mir*h-L-3YOMMX5q7SuAwv%l zj(3|6(GuhaO9k*5BBL54($c0N4FPsmc~y0u0D8elG(h~sI) zfB|ZL>wt(y$Zi5BQ-yqQW`i5`;AYX0Px=xu6GB$R^(R zc|xI{393Tjh=TpDT{6VHkVI50)(&ui{+jfW%Sk`6N6J}>4Pb64bP;|%A?D>zL?;c_ z4kY}A=F21}t)2QfIqnmWL%)bQU1W6CnB7KquRB*MwL4r@k1i8GyNl$X^i+>tvJdFI z;z8lpuKbW~%aJ5{L$K2W+sT+ew;6C$&LW&*Lv2h9vZj0vI*zRQm~r7YOQN-K!qSi% z5|d4XE_-fcgEFTAunJWZqY%h8kK4iK@nsI1Vj-(4#jo`UExaMicN+oQ)bc|C+aG-6 z`+niGofOMnuV-(L9?zpC<$j2@v>hbUD3q43St0;BAvI$=LAHuD2<*-`Crx`>Gu=zH`#hF3{U zMR*mVywS4f?=FD4Fqr=8iE_{K>0N7e6|k=yN>gXH5mex&jA+G#D>)*i)5PPsV8Gb6Q>R}OOseUHvE#%n&;zs%ac2isd*I= zL0|D_-}p~|izk8<+-jwX0(C_YyCEMGy2>_P$*3FfS@ntuj`nVg{w@tpqrKbmv=qV7 z-fij?JM?~RmZLmB)?0Ntk~In!Gce1MZknxlK;=R-6>?V4ZIZmyHA*{QZGfYb67tMpX*COO)RE^o3)jy9t!VMh6lM!V7VZMI+Y z5!%sJQ@ZP?+l{hTmANTub-Jdniy&iGK6w6JSGvhu{~Y_!)8gjywSN~HtT#Vm-lHi_ z)1y6HLsysA98pd{}OB{}aFwSM%{gK;xG7{B(}pZSg7?Xig7jMiuHHpWV;UG7>* z?UXI}d2B5}#%5?rQSuqQ-|<+$2fkwT8AiLjqt7tfN2+gUfz)jQ{CDD7Qlb&Km9*HE=(BG_^g+n z7K|#>)8NBx^REucLfQ;W;K+U;Jq_5CqHjGz3klrQ__>A)IL?9f-kH@>YA*5v;J2E2O+9wVitW6Y+#-6P3KJ zU}BCcnSoPn0Klb=8FP2+$l37ac4S7T$}l%L1)K=%<3U#o+=yebnash8;+s8D#bimwr# zU%Rj;mrXozXiAqWg3r@FNP=QDNsN9}SS0v&dN6ds|C(fRG(kxMFnqrDNk59diTWPJ zmqNduZkN9UeeU}GP+?xadAPNDSnPb)o$yub4e1wsVB`20N8QUR_U`CiqTr@yQTpKP z1wK4HKUll>kdvYaG#j@IZ-W)?nX`nK?sagfJO?kRhq7*3kXT4h)hlVa32u{Yd%a3q zmcjw0!zUbY0v6rtXhN4xa+{_)nsC0+ZDdDNsvdUK0O9;)+s|L>`eBprwqv01Yw^`S zn>eRD_lw*0?ib(riV{%1>)H3b`@&kEu<^jZdg=1*Z+*wb<#W%zbhS-Ct?sFqE%DL| z*z4K1y~EeJc>Xy^%?;M)-J-?qx*ht^&;H3D`iL7W^lr8sPJ|*Vy2{n9@LVkIx@9lt z7kW2a*i)|9^||L_(sp$0GmW|(8hwU4fdWnzdQr<1_!?Y9!q?cPGFa$^7~zUc+8#P} zJzOv)ZAYgxr$X=enC4jMtr))@jE%Yp;pkMlcI6{uno&HCw+G23O}Fqqwl(fl=q=+_ zaP8X@W?#o2Y0{xk<2#X+Yfx>%aa)X)sg*jjDe6CNE!PGIz{c{xKaxb;|ccInA(qh>#TjO zfnjG*ff>&;G5j4NqM=xz!=TmW#EQV~OBUpa%tb5ci2$5q?b|x7=+~qbJr95tS2FLB zut#Y!PlBEXfFSBPilT>a(H=UaYEa2pADC9bk~rD6Hk2^@yVaJnttq)713)^s6!PrC zS!R+%(P_`~Z6rNa!=H$Ek85tpuiHp^ss`t&H(VMb$}q)pxM^T+>j}_QdZ3;dTt?CU zx(+f2jr}zPZGW4u!=Y{iv8yi3aKXl`zx{<5uuzVl*N`qP5S}@Zt~bvdU=w+X>t+t7 zF!{ZL&3RGp)bgzbV~P3`|Hw#e$|i=p;4 z!9u3auJ1$1t@?`8zEuztZ)HUkpbN)_3yStNNz~=Z$4dRj>~=o3Xx}Oc)-J3`$u08B zjU*#BlLWSh+LwB|W5kwjj+7rw5)dD@Pf&8*A9@>a4@?>1K#)8MsY_ln-k%-1l+~Vq zyH?F;Io$brTJ>!|4J-d?5)@T!E8^H#5nxOZDzj(>n%TOdsOlmZhfm0gQBYL138FTtt~V;MS_bnK zMOB+1i-k}c>LQ6TJDRkD?@ioMx*Ap0CP{E9*F>``)oPX$Rc(^!JCxHz zaI$KDb5+$v5)KH7Fkx{4f6`i^Uyvl%$|n1KX((VI)tu#{=lfIAaEMR(=NWHM;S`mE z3!U%0X1qf#iALz&6~$Y0L_$yEEtnSLP|=IU^Cs<3c14Hd27{R79)ZI$9sTSipeuZ@ zDpso?ABvN2tsF2PzE97VsPItVhwFL&q|%51%7?Z~D4_cf_JJUj< zw8=Kq_7;vOcI$ZzAl31($yd6D1cl?t-p*U%cq+@^no-g>abo!)qx9+T`r+=c`B)-7 z&(|-q@?}wx&ZWp%IKmiF67QksJU`Z54i0df<$r&kLgj(z;gRN1cZ_ zqnHrDUfM%Pl?S$5Ga>8Jt!y{{nWv-1gNLuf7hsC9N7kazDD5$Op;#}BKxhP$QF3Ju zNK(%%5NKn+WUaXz!Qa?kw`6ZjGN^F0SGPx(=+2DN0Rm}|!WRBYI@k8*x4=W1Zr?L(iq?zo5F_B1d23w)^qjHAJAv_0K?VXNk4 zZtGh73+2h5&~`l!e?iaQ9eQ@&Eou$Yf}Xt^X|tY%v{|p16#VA@=hyw%_j^f3>tklr zOSO#5g>3ZzmIA=XwyGb20*5uYXGIXRgVb!4HAJh_7o<@)!Q*yE>(4{m z-~kt;jxa%oaqNX57rY$q(PEysY!xf(m*6UU-}+FGTt39 zPk|na7qrG)+g6h5+15nnqOf3s&9^5R?+@~zbQEM>EIN_m(I*`RG2#zz6UL!Oz?>uZ z0}RGdJcu;bD01>=Mt%c<>Ryk;#%~3iB`bIzw1YRvQ#hCvRH~KTJxoLjFE@DhBxhKO zSn}qKVhnu-dtc6VFJ>%HdpC3W)-+PB|NIwx{xAKMw^VCAwbzb_s;@*=V9O(#9xBrc zuWhCMg!$4?!eGmzT2F%18&)1>rCAb)P)bcHSBHC>V5HSUK$KUcS~o$miAw?zRIWW* zJ*ssR+^sOwTk06#OV`>+`3k0ocisdKtF3lQpN<$U*BqK^-30fw9T8{So};MsDk(U7 z)=Le$5Bp@M*2aGe=gJMarahcfrHa%uo3W zN`kJE33}7kzyu4|c-R4bWzbLTaCfkiTS7cNN6 zVJkY^Wp^yB5iTfs?Xsd{Qz~_X3-VF06%Q4ff*A(wp(U?fR#bCM4+zs;5HO~5)V#Jy z0^NfQI3z*wslKEgdop0;Ypz~H2^wwipMT-0Wfh#oLZd2bZmo|8i~qSA@=x)5e)eyM zK2aiMlTB^eUqJg7_L;|leWpC96W+;^O`eY)zwxHPdAF!_AeU_N&192*;?v&x;Q;X0 zbfP)omRx)lv&kSA1#XgXq|K(VN|&>O6_45E(X?~SCIgW}BouP0SWzPiUoj+{V8u5& z!3D7V0y1*JlubU6C5ai;En`9Zarn#RZR_lJVNFSQv;tEV>jOSSRIWq1K6feN6e*1R;_`jL(CAyf- z=}$j7)|90*;gO5H(!R+THv}@*1o)R%+4QM5U7 zzCR2hNY5Aw2Se@*q{xuD>6z(fZf5%JYj@iY3DHy~5i?~J9Y|>+QDUq}g83l)Vbmfq z7Lte>G-&v!GL(=~Cnc0|3PBX|JnQ4P*81)Jp0m$;-}jx{JqN1iJ^P-ue|w+(u|A*m zEW}VUakK^rIgkPT6dWT^s>J2@F;os@kQ_#z76nfM{5Km;XCc79VPrHQf#T1B3tFQi_q{0M_<_@I8*pNSE6V5oEoi0if zq#_Z)JrsnvQuY`#AutB8V0x%{0fa)?AD&X)27+^JNFW zhO#RdLr{D|e-g*Pkfe0L^G_N^+QETr8L-Voqds%m3J>N^)a%mIOxbJQuWrMyLb=YoyAkTc*EtQ;%SWphDf z54wRDU2=6n_!Tkpt$$)DaI!bS^1<;CgFa zSn25b?%>L(vx?e@f#Z^7zCB3vYeN!HbSEacg9lm86XbzpYc5w)`kVbK;YQ;0U}tt< zMy$X6i8Q!6py96Swz;ZTlhW_K&QK7$DeTSr4v3e5SF9c^Kd_of-lt05U7DT zx8wLyZ%mtfeOn-I$Si*sh|EHPF(b3`CN3==@;6cW@fUpmFZhzaHU?) z6nzd{EY&j#9y`Eb( zeRh)N{s44m0mQ=CfYW*An1m#AxJtP0Fsc157xoLkN|yenBy4Jb^n8neV`B#=&J#AR zk8?_|XPW_rn`t;@KhKi}NR3KlfoU<+N<_Xyyz=JO>mKXJmQI=2>)Fd!|9}(|()>Rn+oo#nW>c<^~$xrtce!sTwOOLKz4?d`^4Pr@s5SZ~EjjoFk`tb<0wFj$y}1bI-)#)&l7e%+`0e*)g{dwsQ>P=^c-3 zd_kM9a|Mv*1A%Qd)7kcdh(YZ+ya@|Z+0XnK2)_JlS;x ztpqAA{6V1Z?|*qA!T=8v3H`7p#v1ikT^dR4+CGa~7Zba@NXtP7x5URTiQZ|}#dx0R z`|5vDzC+CX>V@B<)4Z3=w+M5l$<;?EPWmRQyO-|~&O&EDfL;pxTlvaQc8tHQN!?vd*+t3csFlso~9)h?Iq7PE=0USLUv5Trlk$>Ztuf;Q|3FpEBEpX&uEd(wee zp}BgP-KVu}hu$rj&_dpNC3L{$$I#d|L4ZZXd0}sPU;Lo0b;0d=3CD^ng6y{T%^1YP zB{6J(jFfEd*$he$rCtCmJGp0M&B4J-y#V~ZX#asEQkY}}F26^TMT4WG_Chw|BstM1 zc!=ieWbYsP+?~e{8_wjRbL2+q;~}#4YK|X1ZY}~o8X47Nd>qtQxp)3AY;}C{H3u%i z!^dr)&ciG3w9eRqDsG-0HZ#7?PNT!0=4EzS_Py(9? z&%cvQPdFrn3jt5~f}Uk3nI7>OQr%>e=EUNqK>+L-V5TuWpC-C6Q9_eamuqGo7A0b3FuNchVAnvx^2lbJ}ca$RqZ;N~#)d%?(HgDjND?@@E*@!Q$Bd-jWSRbL!zTAJ~yy*T){ zr9Ojv)YM09FAl!+7l$96#qEh+94yqoIQ%CcgEjWz;B0UD;=Jd22p4NXd0x{J1)0)Z zhWbsZ1#zHLDIRTSD=?!6iW36;4!L-Qc~1=4+e9q*trE~R6zGWoQ7ah%mG*~oT2Q1A zsK@7aRI64fo*nY>*R$2OoIhXtRD8n@d4H*mrR9*O%*Desehw%*(&gZLoR(WJXX{)% zT~texVHz3}M$0LZYQM2Ghe_Ri)g+E=hmROBuggPB0tpz1jU<$=->NCJpM zN=6uc2HZ08=9wViZs2F2AaYIWOU8=wh`Hbj(+O!AFh*%`j`A$|p#kO)WE}3O8O2yp zo-z|`wJK8ks7(w(J_EMJWD(m!ap(iV)(g978G`{#_>HK9oG@e8nX;ajF(@D5mz?P^^6Pg=-?GNDtWMbO4+bN^< zsH=SUP%EQjawuBzFhymJD?=)wiOW+oFHfEI$^XJ1S$)|_yxdflht}c%{?0+Pn&JZ} zKcA1g8v63d^-SY&m$0|R^~@7wU}M^J+>szO1qU#E93MdU9Ea}R-el|WJo#+g)i7A4 z&N4?Du7!`rUCHp80Al|LjOZl3trj;>Tjh3*55Z3PpxqGKB^}*7>+y zT+_jtDKyCAc3}z1nkRKDl~9TxO|N$UYC2VQp*!r&T|Y5mR`(i9hRZis&NCKg!C|vg zROu9%Yt?Pbxl$TU6?_<;PU&H~y0E|C-bE z7md{d6DyH3EBp>p#4~yaq~1uG4110_(Qt^0Ag{Z(PocYt)4O2TQNlwNtq4-3y5M$B zZyc%xZ1PBxR6)9dE{J9@4h~}{h16opid3mCh|%Fp!NG-CEm-C(f>fy?xFBK|f=dj_ z?gXh)T@d7B1T{kt_2Pa-QK?O`javcv81fzI*prl+Dwk-a5JffAk6=Tr@!aNK({aG}4r9r}y!efeL1 z*N=T_tIlaP+cPRw+a|voQunZsK+IYrhJ9rj6&FO}o{4z~q6@mm!-y$0^z#L+bZclO zjLYHFO8OAn;piH1bnK#Uftr`N49G64BF1gJbF`90u5gMl97AKHbaZic>^wDj$zOys zJ__Y-Ws^|^l(;+oz+I1kI?IU(zvaku2z5%%4bOTHMBStDpiGa@S68C8GAv25uA+O5 zA(q0k8T-UD8+tnkkuOLkW=vMkyd6n*xWy?y_9RFpX24Kxik$w0B$edA?0q_6N(VWx zi??%+-j3>{6SwH%?M&xmI|rNn#eeI!|E7O0ydBLzxVPhpL4cV_%S|lO+Tg$Tm3cc& zu=Or8m@e7AJk1;BH!|1=24a2~bVKJ{Z1gU}TphzArQYR*fp6m+YcAG%mlr+bZsr(A zUSNkb2+t9NGss$AQmi=_i-~@Ujz*IARPQoceVJk)ki3U!lw2%e66o%N#m9sxBM^(9 zQ?N+8#hRBAl1N4z&BfYSFG|AO?0!&&(!e1B$+8|@c-YHN&rllt5X^+6-0<*>iNaj0`}quj}HKSLKrFPS(3@EnT$P2L5HPnX{10zY`u_AXysk^6H+Odnlbk=I}T;A`q{++&;AZ~lq@ z>PyqO6LsC)6){KNjWWBx=7ZMw9NHfxdCG~Gqa;sK!|-ImF_I^#VR&SHjN~bY^+!pb zq=w;#Ua(*z@jnSb=!!(9AP4pE6>KymhE%GF4?(SAv?Xb;u_QXr25tG`iufhpFZLwh zLi-iq3hLDPnv)E7Gn?{3ZDN>f+B&tGr)Wl03b5sI6MSx3Ak1xi>Q|0$#y*`k(--2X z^C(gTI-fu?QsWJf4Cc)PiQRyGcbo*6u)I`l?PWOAY7hwXUw`XQ{qyfT1%#R02vSIy z`gny`vU%di+BS0Vh0?0w&sVzvg;DnHF;YsS0B|zx`?xO$U#-T9!m)9Dco5J9U!X{l z94=@kI;JD#`t%>eepu)+f>wMEaI9E>FmLom5Ca)f>xedLA5^7Itrj;ms=JMWU&fT3 zN}roweIkYG*;M~~fx*0;NWcR7KEW9!v3gRdd}$jJ8k|k(ZAW)4Kt@|=iN$jB?yWFY zqetL;b!)HR34J)oxlUEL)0Xe^g;ckHtXrt>}{peRnO_b?-cVM_KiXx&`J@ z@Hybr8!+Hy+~Ed1(&Au(h5#U#>vLUrI>^2t-E>yZyhhyy?r;HK=WfZ8>pISV8Hs`qv(dG$Ev z*e6OJYnXe0BwqzFV|M3p5O$YnBFww=2_X{&jdJY}DuAHNa8RJQ&q7Tr@;RZ#(Wg{k z<`5{ym+eq^Xj6kqi~UI;Kpu(Kb|igl>HK9o_Lr<^+N8eB`ZKvz(4V-vo1{O%uZK+U z+3xBz1^02#pMT_+ebUc8`)Lgsq40DM&|5P_>or-T??PUC$FX<4COVc%heG%58N9B( zB9RkFF|&n4MtCCljTL!AToBBl$ow;54~?Ul@DQZsb3xotO_^iF7D!pC?Lv_H(*=?F zCl@Q5QZ~m2gRHiyKXJDQ^FA1$w-v!j3PsDoAPZ~$^g~b7V709gjl8Abq;)i^ntAy6 zHhWH5_o6S3L^pMgZML@GwtY>`d`x%6zn#)zhHtmaHbbF=7*zIV2!e!FXYBxD<1O*+ zE@_bz-hVtOcs{Bm6^ToNavMEJT=Os|&0UpbnyE>`cuDwydKT*+RWd!s=t*0$ofdZ< zB(54GrSnYB@eEI;MpJnFjF%@#rU!Y33BBIAv^M-ol1xuBT8V|>me1YF47^KDd+yMu znr$aG^K*wy>!g)?kIh?r?y#E09lm&e?${t(X!o%FB+uQEu30o^!}?Wgf=3})6EJHT3=WqwG}3eZpM`lLB5_F5eHd_Z}Qf^xQR^F;>boU#c(9EI{04711Egs}rI zNISlCv&SYNAW*gOb5?@tNY`)BM2s$7zSuz3D5&HxlZ<6E-z&ah>G#WaIv~1~bJr`o zkW1IAbou7}4y6w3-t7*UleZzsK8zr8hO5KcA=A)E2Xr z57VpFHt{W5biIe{0lr1Yv2UWMHYqst)S@5Rg%Rs%dvXi?(9WFvoCjz&PMalAYmKm1 zFFgN>05GEqf-Xvn-J9Tvj@c&H795h^;q0)q@Z|BMZeR*s+t-hA-L68D=ev?V@0pjL z|4^pNd;UW`RbD%t%9SVk`Qfn9@7BI5fiq1=+Fj&6X_Kn=%ibil)gfME(IR6)W9Q!8)07=!lntL?L~ZCv=nl7NfD$nbU|b> zkT-?4+^-Ji!o-TS5-tcR3dw(oEk!A)TaoI}1P}S5}b60rq}F(f#^l9Mg1{f@uc@ccT$|o=BYN`obf7@dapU;w|}`2 zVGfU){|i4iKIQNEIlhTc>iX>Cr=&oC1R#R<374-Ko=bbS+RjK+$aa`k4HTN;*Y5RZ zTD3j<{7~K=($KfJ+k!o9d8*dF1^d0<@t^*$zbnRNYbbEzP<{*6PvHSWF9c$o8c(Mf zh(&7-L4gWE|1w>=7Dz$Or4R`G5*T|Vq5K@J#@&i30%EH&d_%0b*^^GR9y$d;P+A8} z3t@8Lz~H|Mir41+5{Hdakl~L32|ZHM%9CwjKFdZGC+O0QZz=?(^3){#XCpgcob5?6 zg{T1D5jV-7GngBCQqifFN>w6N85NaJ^`ie?%k80P zt@~U@P_b={-D@^o*zR$nBDqFT)1PO)J@8lvap9VK_CS;y zE){-1I*!e}H6(EjD#OEM_d&gbASZAyLS2FZU*dHoQr)f5CL){?$D$8)RBU`qm>zMA z=&JKpMpqt_iGSmHqy|3M)&3Xg2Vq!A5tQV9Q?9bFeD>VrAf`v|H0>ZXoGI5R+Yy1F z@>p`k2vF`fSBzS4PR`QAmt5(I@nSN2D1MG!o`|53N#5aQc2d5nE`vSUC+rcuiyY$I zmp$TfKjB@5X}9R>coA-)nzn{>gtTTn6>uu8-u`E9;1l05p{|pWU^hJU9{i%6N|C zqbLv7>^?xxk$e>8F&U(fyK^KD)nR>X2>JU%=SaR4<+tE7>jiTpkJdRW%EKxvAU1yW zHAQ(&_sqG0iDt7FM=wSBR+IlX=D?ceLXcjJ z3r4pNra@>+UkK8Ralu4G01vAB)%0Rquni^IE#Y)^f~6D23bX`qgTJbm%#^SID=OJG zDmOM6HqNrp5Hxrjt`G*))+;2bW+SxrWFun@na|oaAbz=$z*G0o}QI9OwibhV?P^08@O+S7eQ1Wx~BgE3>krBl9 z6|*JSxqLv0Wm-wP2hV}=fPQU&4^I#*0S{KOgutei{L}z^Cxyv&{2{VFVZt6DdqwSd zc7Dem_b?illF$)I*~g_MH0>5$@;i5v-}xv0oge-W|8xwC(_~v;656B80=NV^W0sg< z)>R0o$Gkw0>N1#~+X>S2x}fp;pvZ)2yGV&Z)!0{t)NnzR97u49k^|B${ffCH#A1~| z+z!B1^tGd05&~$6T+yiXY!_@XD~F~|^h0Ct9l;Vf^vY3TevXq&WEiPW$b?NQn$2`N z3$8hsGdcLBT=QHsn_++#X5-N>0p4a%wxY?fdoTk*2cHZ`f=|4!Q|6)>niJN<58*~) z7;pcuiSO9f#2pu(j4rHtT7JvX?2#Ve83yyw5yzS%9Ok)bHnZmqIB{Tv>VtXaV}x|f zCty>=rBHUBBiI$ndcRQpyyVwmHd}E-wQC|OlXL`2Pb38T9dZ`|dL&9y1^hlL+vG^{ zJxY$~Z8uej;~MABri#*(f-Z@Ae98WYBU=69uF$AgE7u*-u>q7Iv>N&x@GWPD`6k*o zunF~qP#xb6H*p?qsr#H8)>0=e$1;XFD&sE{eA65jzjZi9pk?Wx2L}JBA@Iess>=f$^ zCa(U+MD~ci9}7-ffv1ARnfhL_VkD0sIA4;6m4d>`SuRgX@S*E#z6Pr*wfY{Upxv*L z@|#~t-;vlX{q{4RwBn+T@P?+SU`h{&CaA`c(w6?R-qcujY=o4` zdI~JbPMrO!`%mr;xwvdk3r&)% z(i6+~c^oS5zxuU*=~Lbo%3BG~ygFm_XBfo3MLY;k6wP5Q&m&=NUpXw*m+b|5!gj&6+YL0c^@r97+aysK&>j$j z?UrLJEY&0rK;y~H2Sn1|ghZGUr_+`n)2ENV?kj%LRdnBJ2Fsmc%V&Wmgad>4$|B>Y zj%K8~a!wbRaPqUlYd#6{w^>9;i46wai9U4*z4im}&?jL2?yw_qM*fkKHWYp8E3P*S zaS8WJ=$B3~=XBAs;L4AzKXt{Fv3wTl7A*3TxL9#`PC0bWSQ0isca%=%@In@t|7HQR zAdU7q$Q7$bsV& z+9@Wm{IHk`3xes-kJrfI51iHNFushHdZmY0lM%QWF?0s4P_G1c_L zxeD*nUw3~L^V4ooZ#3?!pMl4<)RlgOB6ats&bl3@Be{byc<9Hxuh7W1tXW5)^5`nH zKo*{qDfRAirrmtSSN!z;2ZD=3Z$@u;qnHZnjNYx1W6#{)(@ef`04w@ zit}@dtty5WMqlF-fT8;pb1{{bQHgkv;36?yS+N*iCC@`cIe^&a`0H6AD$9mx7#UWx*06A z0{6IP^qkAh;|hK4u2H~&zE9na05(ei;_2zpEK`^y_JGPn?k7p|+4b{8jt#I-u8EYE=4p~7t&dA?fU>op zjyZ1qn&ti=g{3wC<&c47xj`5+B4q;t{`y;I1TwzoMIqPp9i5J_q1QnFc3CSg~F}B(XBXDCIGi~`X8}s(B|GY=9M=c;qfCQcB z79e0sctk)j)Rer+_Ejn5LU1aHe$0Vfi)W0x87oqU`Jtg=ucy4H3TuMLDDP=wTyQHD zN9d&;<`$|}j6+j_xgfan*`ESIR3YG$mvf|zaluwyKuvW(%P%f;lLX360s@!=8~hZ< zPK8{qeTD0FdQujvsixmN!?ACSaoT*`pKP7 zj1%c!dnZ3U>?AZ+G-z7eKD?UP_L|nV4^e7R;ae;oSPqRveUjVa{>b0CjNK@yB~%lS zr^cYGigHKt?S47-J6i$mc%H35vaql!!&V?>OvZ`tceXX+vou?y&9|{BnZu^5;f%bw zrkH%mH}2p1QYs!uajq}MZr1FfXEAnzjx>26tz265ik z!9xLupyV)I5c7=1DFt}l7}i5ja~OV-^OdGW@Icw<<0L6?XOjd6qV^IV_Rcook~dO; zs&b~W_o-}7aoE53g!?gkN~bbqGbcOv`KB_lpCvq8zq#J?ZRop8?ck}X9q40xuETk$ z9o%E>VA3t>o%T!Z;C8tA{=nz`^l$xevb&O{>uU$*F-y_@4bRO7DsqDYEz$j5^7plN z(55;bVDkI2MXntH`ejg8z*1|0-I8loA43l47>{0RGkW$2kKReUD^?N|Drzkw{Lt-% zC5s|?)J$TwlVR)RldgGg=#dv}N9k6cA``n%`ckwMn}*kra{)nLW-j z8|(&zxznn}gDlX+DBq(|zEQR2Vw7*kD8Kf*|M#!@3t^Ns9py$@x6rxZ#qvF}k+pX~ zN7A_<%@^wQ0P<{h#zkgdA!?3v7m_w;)Ij_QGmn}^-`f${NH$F%ZWO^4l7eDFW5viu zvcJJ5&FxVuLclH=Wb~!In~{BFBayTRT@20<$#`_)_A5p<5`yThkdz!5QTon~$VRgD zg>`)5y>#mlcb!e$oc2ohMJP*i`o zz@%IfEg<@X;5yi-HDB{=L*GoSTI)Q!>?9XTm{|EV#VYGj?gEvpo=R=}@YuTldjTdt zCBS5RY%j6)>o0%sHKomXI!R9wnb{~W%_Y{p8`6^x|K82d{J(+I(`u#XxUF`{jzu!U z3y=k@=NT_hC(VM2p2={5bPPZhQnxnr#Rd>L*j5>mIpC_5qJs;f;Rc7M;M^$8?5tp| zGfrZM9i$|>O$ZIzPTvmRJ?n*tx z%{wo{8{pEHfcw4!?(xn&#P}6UlUx6&39( z=+Uh88kP5UzOZ^`2xhIf+0EHp3e5~$TK}ty*4v;>U9G|jD2(p<6s@=0&5d*jgpi2# zh$K=&fU_*{y+$`cUeUqP3w#fFY=zpb*XU#F)+|P83D}8QFKd_eqfgYil_YwM>q^z* z!v>FQ`r@G-P8HJ$I`%K7obD+q};^qpD`^x@-82c>|t&-~!W48m{??SDhT z#wY*I|He0CpD2|u*MqPKH!&Ia69dK5b3QvhP&_^8!CgK&P&_^9WDln!z;|ak921@% z^ z0e>vt`3~T!lO!O#SW_eiL&_yf>T6=^AKn@s9azx8dFFd$J$*dSl@a%*qvw0H1#P^& zKEoFc)Jbuk8;mQJJje#=h5GVNd(kGavCFH0iRE5Ws4p58@E46A(~E{({6*u({Gvh9 zUo?K~UNlJii^h-l@}eD?piW$7#`-8YGGToNB&NbE8bH(~7@I)*65t?=^e*5a*^Zn) z$)&*l(gvZDJgb0~b4bZ&RL96G8y#+lXUjELk8{TnbfSV<o>jLdJSaCaF z4hB0VXA#_{v_N#N4nn|CRxEK5h72M}M#+t^j8#eMF*|1@zn11Ov6ZYl%NiH0K!&DA zM=;e(CT9`tLhO)|^F+AWOXl0NU97dS2)AdDTFVYSuJ%p`4s3uasgd3^$8H26B5{TW zI_}HaU(}D}OOH+Xw~I=2Klj>(K3ntHiod3N-9-^8PR~Lm*IFa!^q#okG8VCOfMiT! zI3OL{t8j%iN5H7U5@;m7+yIRTk(ho9pQ3ISCt{rTi?-R{*04myzGrKd+exQ1!#-iU z>(4#@&j0>r{_zv(E_7pZ;;d?G#eRp%%!*^XQq<-NGr$OO7(kfShJWG0JWrC|?ZR=U!rbOSBs9{3X&OAixE=V-wkh(nYi-1<$Y^w z0vas&HH@CyzXuzwksyPF5 zS*tnl+;jDW*7qFGe(0x1BrkUPe9zn-(rXmL6G!LMmZw_E!9T$$yT9}OKlNoXS6+>% zzt!&iAxo(gQFv>QQso`sB*IICdpyE`mp$_~cWjKjfiBbVhYZaDQg<9lwuRtOz+qb1}LXC07V-D{--;p90%;*Aiflmb)sucSlw;At5>v&tCapWc&a4&yNl<>(^PK(=p`@Q4B5d^!5fA|r)6oheXb%xL zGu5!b2;w0kmf)@*q9ZT@rdYDkQaa!ih4fJn!;EaVU0D-xoq%!Rf)zhT+@cnC zDw$Z@#KZSSoH!=yT3N^{)mMP4NaDgYN?#H|sSg zHkCRhb#g0HqM=UAHS3kf>bM&eNf9Urs=q^8cBuZqhM|q@75ONNLMIpBnq{;Ljvqddh5|UdGUk>V{O!hTNN9&^d!G@rm9YS+c^K`3yBivwjHc;yIaEfA?BmUgeF-&8(PLv-Y^Li@Q2p13 zPlK4|!hL@{1t_jnm^R7iMI43A8*$&;6B~-@X(@Al;0J%#f4+zkho0y4=#?e;rmCXI zS}#B;PfUiEMnp%&~Hc%hhr3`;ux+Eko4$IM<0h68#mkeEA7PGsc9!UCfKBpH#>Jg z1qB`?Yb+DFa>lAh766%0U|%vqtL^~v-t09~Vj1>zHVyHUQq~KSBHRzA{EGV{&1U)h z<-Kp`-vKrB^0@o)*5@<>)%*=yT{56A;5Gvp3Sp^#peOI$$c#<_Z?Z1!xq@?pri%k| zaX>yXunj-Rs5RQ# zS9Aebk!g~vJ^T*3N$Z^vuq4TRa}fTq#t2C`HR=Mm(If8ql(W}2%CzkI6U6ys8`By&coBvYi$m@bMr!7IC z)TjDNf-|O$EeU%r0Z@(tfW0t&lkINc+vgw+I@$P5Kq}B5I>!LPZ-TKj&}hJ@MFf(A zwBR=Z=l{_l&6z{M!8GWQ%RyQYhv0~VKqZ%T4vjbjjV=Sp(w^6dLr^m6&$0w*2TV{F z9l1Fih?ywYyaZ``z#BA7!qluP!9LphHGVXYX2s4Knp z$nxUq?x86y>gqNTz=7N1e8p}$=7i>Dyp}O~Q(`<(?9-MXQ|#~iH~+5(-yM}JH9%gu zGIN9hGeqCg1I0AN-VLN;cfoK~!C>2apxOD|?vQ^YDhz`QtsrGB>-C%-Oc76d3(_9%U;#P)_6|?h;vOe`7hTJTCHf9KB=XbZCvylep zNE+T_&hKHrr7O=RmxJq5o!>2ZsASE}(iD3fTok))qL{PNZfbz$&`_RT@5!oFRB3Zi z+Xq#y<2{M;T@cp58&3Mziu=01Va&oCjZZ3IMKs&Uh%~3{(}Qi#K%>Pm%=dNudGUQY z5w{@gZnZxL`ZT@h(5HwjHla`H8RH%0NYS&hSoXA^t3WSVtozGiO}j%%jD6z?cJv*j<|#nVpK ze_dCtH_=_Zi@PD~|LPC_ci;2-BL55b0N{A{E_4f708i^;2G3yFEA5vHTD6Frk9)(` z+gGsMG+!>b+Y$iFczFk}K@438GEL9~!SMk|4OVPq{UJ#2!UeZG(mTV*BBy~g08M8I z(z|d$@Wi6qQ3U4})Q2Fw3m1edpv=*jTx%@PA;>g=3m%li1D$Z_AXD!m1jV~>N#KHX z^bm4d!Vip;lg@?o8ktKT0A)cDQTl5w1DhmSBXddo9PO?fJ$k-7fH#nii8Lo$Llvhr z^X);ZWo_4}pFlj>XAm2!HrQ%!VY$1yTQ=`%+OxM{|8f`8aLq-y!}6Py+X7bt8+aU6 zt4N!)1H$TaaIjBI@$sZU9N>^MM=*Qu&gqpqIu9Hvi1f-M1%a!(ODPC|cy>2PLD)-h zu9GmeI5%zi-oUXq_XR)w_m)?Y!`0r`zKt7jKEmXPo?0?cD{MdV`fIl5R_w-O`@EA zMIaLemw>!AElv5=qMS{zb&x|#4k(*cIh$m(kguU1yCo&`v#Y@A4c0@BIRq$5rh`vb zkE+$K#~bSRO9_z%@lqGRs2Ur~&FU(SE3~aMvaMM&^K*CLZj%xzg!0U+|3!rh3Cc_e zjX?DTyIUV~fit4u@=nmPJ#kw?-+GKPQQ^!$inUg#y4K@+m|A*%&efjda~0kt=X!rR z*J-z?HyW3m>+R%Rf7>^I=imIo7-6Pa1doa=r|>L*4P3e11ac6? zX>ySQY`5?c8lE4iIoE*i!T2faA_Pm$wWS_6KA9{8bIvs~kDzd_mEe;mtr-TjLmkqt z8L#%ijmn7i@UPmEos1sgUTBoB$7zsdDS7C435$m=PDKJO^hOUMz`fEY^(OS(&OV%P zAq|AnU09|xuXinJp&+FKvOzdhO9DQ?wE`6Wd<$j|@wpaks>?oY`Cj|dWq-#%de>Jc zJe~Uft~o3cz<8?_vmfw;Km?$#uYH9yK#te}q5zo@1^482uSty@u(Rt1l@JJGylyX8 z@+rp>FDbY}V&+KVrQ}oQONN(bY${HA63gQ%d!JJP4F$3s#D7c-IFQ}w) zN(16p;98!puvUz0ys@;-aaAR9>L8`LU5Af+_`jjuULV{0%l z3_z%Q^}DmOGz0A(>x_pVO_evn$H0LcSNsN))?IfQP~t#oJ#&2384j*{JT#HaOsMrs z%hQvzJV{g2P4IN~2;Y@rpSJv%V*ec<{)Ue(BT=pv!WFyO3+7}wWDzbQ(6Fkx>?>33 zP4JlSNX_AbQHEdaK(|1>6)R>u-BBkqyMzE-BM$=oww=$789!FcE+GiJt-3yFzNlsR zsIIRZ{~Rk)vHOpS;yMO}R3{THBJ>QJWGk*C7c(e?=Vp?lK65FB7?8y;uCV zKl@{!`&qs6^hCD4^I#cXk^!HI?1K!?L{`pJFJzVsvi^LPJ}fOu-t zhx?Y+0`e@-hUjX5);l>ne1Rqe5!|e;3E0+v9;JHp6?bc}FN@%s07d$iAxQP;f*^&b zAjcYGFn1?N_2`0VKSoaj&v9fLIn|>JBDhuZF!a`0|8X3e>M;ZlI%@m$>~Lm6*@%Wy zR7W{h6!qv!Za~t43rWe-v%_sl9eOc(&Y9ALw*i?96e%b4Iczi?ohcnZI$B9J3xWZK z2A55e`SyU7+t$R1s<-Ttx2tlSk~>S?s_+}3=y<_k+#&{pU5a2Y);_w;Gb3Gg?b{2L z2|`+Q{`9SA07|mXNBs3{ z^~~GP9#SA>-LyX#*;ws5kehcke@44E(+`$z&NzRmLZwZ)Ji&V+{A%adY3)5ZVKr{t z9zSjQ-YBt7`@KK;k-z-xr?mOC8oTuwDS#Dm^(4_GMZ=Wz$X#_v;s9A`iKdMW$ciLR z$w=+NjwD)OKWC$CSutm%z>lv;agd8}>~#_3y|ML~KhhWl5elQdB3Lp~7cRj1;)2R8fH`-==-VuPzxWbOkSkoF7-z25ctDoRLDtj=?K3 zQtLf{|MlmjbUrd{`xUMrB{Az9O1k`Tsnhx;+HKG*r;-Z-`Kx5UJw#n0e#M#yHwXE! ze1Eo>YN~6lH-pPAAZ9=MVZLs2<4O8ok6!MOr0e7t2`N{V%t^y!BKXttnv+a~YW896 zSM~ZeJ$?FRpNtE=FQ3dZJa!Wi-FJG-@%}#D>n>nDdeoYzb1TvR1)yBuC{>B#g-A-2 z&uUeog}0Jij^}7yAlLzqPX~k*IA=7>QihDD{n0K^z&Q}8CoIX8R+hl* z(@_H4BimsnaKVt;h~?z+G^+t{ES}->t%kiicV#uEEk9;8{=uW4{k(UcPSiPD))K8q z;=g&`My-ZzMOzgV*oj1)vt^x_dLC?9Bl$O44L>vtCkxehR5!%Y^ESmf=0shvWdUiZ z5kLsav*ZlG&X%03}1*Ubs_V|w_m z0nSzHm$rlZYdbK7dg2!Krty;HyPYiG=YHM4_Lk2N_dJSeq}5U>jGiH;Sl!eG*= z}^E!3Ww!@j`2=VvV4AyXv)B$ zDY)RYp|Ya57rvx*1`_Y8we(ah||SU9)qylx4iG3e z^-KD&PgyFjC1#w281QQlLq`$|kF59@~F) zOoRo4b|1j!jKt0-!eS4Q*VYwlM#4wJf@>T7R$20-fu+e2Q^XIwRn=|n_SesMRGf43 z=zp&>j{ZIWj>*KoQQ~-@jvn~ZKqzR)lvI^ueM+h#eGX>PNNU0t1FdP^p$l|-(vYr| zhqb_x6jkFCNln=f+tp`*iFiT&DrJG0^-L!`%!1Zgj7YK`&muEz8pqd!RZFVss!HS zN?q{wJG_n#Ac}$`RZbc}q^2}}45M#9#{kAaIlrT=U{MmLQgou#kyfx&62^rDkGxbM zFq2}~3l=3|NFMD4%Qq*8R!7(lQb`zVf&vL~I>=iGbO(t2^X+MEwitk9Wq`Ow0-ErP z)XD(6`QGT&T=JxIAU6MTB=>1luk#U9c4XMG?l3*(R{7Fnmd$>g1K8SC_CmD!?%8^Y z{I@vG%|dfpAbQXPy94YE(lyCppi7(`262&Ne>gmcy#H;+-iFZiNAc+*TAgYc?d(tF z0eZ_wt-k&7*WUeeq16>J+LJk>MjWIo~BCo>#a>M4M9oT z8Q;JTQ{n{fhXyOFn}(p|>|Ah%4|3=gK6QtdoT>@h7O~X(5;3 z2eY8$R9z5rC6I!|lZ#X&(uE0V_|A7oPSp?Xx_ZA^%(bE&KSpz^CJAk6oS9oZ?%k4w zkYTrg|1Af{1ipb}Moyw(f|w~}H8qc2PE)kH-<<>aE#;zPZtdU)iB@+>=zjuzkVJ>7 z9#A*r`vX7_mf}SM3#uCY^xN|d+N|eDIP*LO>HwKlbU*4nqM z^`(&_Sq*6Y!fkhgfPqEW99I)dRKi>c)br<*qdYlzz{ZMbP#lZL>5cr?VJyjZW$ zB(-^M_D#M}#dMR#W8L$O&BNh+{JU64(<&h5B`6PqQXH?kG^@x)FAo^xT>a2k zF{{YE(rB^0crg&HDv}flbj3heuZ8efv8YJ(#8?>tbgJ20vq3OAC}rfk z18O=+ZkRS~ZQ^-up#J+`leW1{pjC-R21K{J1}6E( zn+yYO-tl7_TBj?W3p~)h?rwM;(q3(F^99~`ivWa?Hsz;h80ZD9aDQk8)37IOZ*Lqg z0LR+_IR0CI^SgfZi+*9(b>|L7%cHM|qL&g2!cVa`p1kZzEZYrvj#!HUr(GwQbNHBI zO-}@rcZ<1=rHK`3!2Hm=jZCw~ic8R+b}Q1sa6u5E5Ul{4xLsP`Z>(5LENB#ya~v6* zO9#VOJUTd+4u%UN&4gwV9D27zHm*CzQepvZ2&^zA7vKo(C3A^|jecU{5nc~w?53_e zrV}>I8IDimEhQFkbSYvJ@hV##;U~r=DkYW!Zi+ZUkTjOtBw6kcdP_9^M8~B%LP;{; zAmsP#=m?hkXG4;4?RskO2x3|=(exEPK?bDeihgs&?B~Q9c&8fLEx9RcXWYI`HnA<{anin-3zxQ#<3cCB`e^IGH zDW)XJWWLR@Bwyc=hcsdVpGa3l&I(H45ot8(lt@{O&FPY$xTk|akO1fbisVVNFGe?EMRfZ_ zro`<&eFpz8Fq|zj?qBh5_jO8=pKIOos@JU?N23G)rEbIFa*`7TSFfc;E@|wiA&qU% z^0{Vua-~mSzZ1*%CWerY|MqWx?2A7X(|hzhd-Zr!>lO9bre>laUFlHlmv`zBRF>E4 zsH`40^Cfb#Op^ivp{dtcF{?+k(i6!cnupkfqIrsXTtG>QAixy;IcD{^MHXB8(@<`# z3iqVm;d`lSWQaUS%3%bU7VmbFL%mEj7XWCkAawb0ju4mezuls;>EHR^F`4)`N*z}; z^I3*vArJ%i0-G{#E zPyFe(v_#i6Do8bp^xfjEtgm-gLAjllsI#I6vWM2@3qx^queS~mvkQh&&XR=Z&@8Cr z_lM3Z2z{hloj*XaKv$JRXBC855v2ab^A6-&)hybU(+<6oA!j)B8f1WF#Yrki-e1)m z50UUFn>K{OzdfyrTv7PnP!93QSA*B%V(p)t8}H$j7lrcqTy0-$yZElL?${?v4OJ4} z6!U!ymuIFCWl-7&IJ;^{%FW3>>d4KN``x+uJ%g;-0Pajhn;N& zej?ThXpmkqJ-;&;B@Q)0wzO4Q?P-7s6{TpKGc>o8v^8}7+uxJ2%Gsa!sabLMp&(XjQCIX7+sA$M*PPcK1T2H=f-^Kc#g>83)_-x#f3eE zYA+er@Q%%*p$S2Kxy=9 zg|D!mt@h8NFLSkjH|b`O!$kGKH&^%;e%TIzA-^4N;yl_?D+c{-vjiXSd-URq!eZPg znBVup2cQ3Xa5nS*)0}`N=#5^dFWV{`oqD@r8o=Qv*h0V^sPh0g9*OQO*P(R;r|qLCEQ@S!_Fb<%vv1KZDKNl6Np z3n|f5`#B&L)pRH{s@8zxcT2t2B@c6{Ka1tC{`(}E?~dw7ULqL~mv7^l4psHa&mN2> z&h^#POgrr=XvD3$&`zI6fcgnWJN>c0_@jUPn@%+$aMYGyMld1hi;gK!2f=t#E$6{@cY-C(?+0K#be6)Q@%$yN+r(2=$V@%$V;x&^5i zl^VvoAqcELh6*(f4e~4z9BFHyLUlo)P-$<7GF=b)A2bK$C%NBayn#p#`x-={`kFgu zFGUg;C7gPe6=92!#8Tq{zISlV1pwn~27M{$N!3EwS044cWYCu)PCk=XL{ky-oChgM zk)`Eq?XL&3y4%FAFcp4k61KhCb#)BZuAVtWGNLI+668+`QU+8XKx^Phi>OM#I&}wp z-*TKiyoCJEC8`rRMc$tol(fIVQ6j2h8ni@p!qT7juTdR3kAY)N`=h4~$&+;ce7p1h z^0v40@0n91hHJ4Cu2vi7^Kl;aQv$c~pp729D~X5_CXK0~ulpUp=Fk4M)E}k@hXFQG zb6(_M@orED!(4|=+;Tz)6-o&59@-Yk2MJ_o7Dt&pi)x7HH*t-MXF{O zY~>{s*Uin26?ubQ5WUWdyW1_-9brM92+&9`fb>~mEPQups%Bpi{=K5v0BalICq*^8 zB|Z=FY+YfLH!b?q3{5dLdMT_Y^$GQRP7AQ)u0xxPGuv`aH|`I!hxUXH z8{prjs>o@}j}_Mcvmg93fBC~fHm6DZzOeqL;-|HaGX%v;@Bno6h+J6Lo_}a5AeiaG zmBNLj0}M?BSQ4@5H1ZsQSp_v6V3NnVWK+$CWtHo=WKSwS)k?#@E7fBLC`Ls@x0Ru; z=|fk8T`9{noz}~2<0Ywt=_=!GSH774?G_yzlyYM-@o$t!)in1L{V)7QRM<y32IbOIbvr#(Kk>HT`i*}yQc7yw z?>=q;dpeT+(tNeIjEOtj3N^8m{ivN?(w)~G-_hP z&wtSIs40h)o1;-vjw|mZYEq-0QvYI<^ABRQzvMYtd`y$~VmdU?UYT?Kh6!$o-~F zc_&@)=)b z{W({KT|%Gx>w}zji+VF~34LxS^!XJZ{K;SWO5`KeCJ#cN9XkdYsIV~7`Qh2Q-hseb z%I={2vlb0%f7CdLmxBuIP{z|G^=0N807W zU(iqS=M1mpB%>=vOAX`AYuTOUbJOU5yRT)_zw^IiGJ0CzFQt%e25Tv%f zpv1AhB5-6_kpNv{66!fh9BYD@L!mTMNERN&2E#a`sh_bnTHN|WOB~x)6smR#E(|Xb zzgpv1lQc*)>x)xo&2oEm=qR%X=nw1HtoLWBnbbXwuE3YHX1+m2C&8lwHPWw{?@&krn>YAH zl4`KuzCU1|xnwzjogAEJxkvk@Hm~hh{hBA88TAjMxY~S%t0=5C&qF@w9yvuC`(&6& z5+1|lUGKS^%iYlY9sAHXYs24>Z?1Nk1uBl;`8z|%-C1JOZqX$(aW@Q2Z~gh#_rEuavU)SFcaGNJZSL$^agH#qL~5;L9ah=;?$B5z zeL<(D&K&Kq%C`4thgCMcM?0*t<=q|Yu*!xP&1BbiSQY2!c#BoihtQM9TCB2jv^&~j zmG92+7OQ-FR7=w@Jioh_KOBU6m>;UAaW5rSPl@!Yk_*iL?evk(ae0oy{K1W# z-gtCd1CzE3mw`+u})jQw{I-K{>v}^Q(yCGpWe7xv2j9l>Ov}WEZ7mTj1k!j7;%`S*g%zCiU z-88KSYre3KfH+6$W?wOSuvX~n>VCE8<|f&Cu#f@hug`c77HF?5{~ia|3Y+zH0eNIS zSUb>I^+%^}_M=A+7O49)AWUmgH@jr?VBsJd5W3{VFz=yr@;!do2zf~@qAK%Y3;7zq z2o-mV;~qY4VIMwTr3CZCD-Zp!G=$1dE&O}4-MM4E8I`6Oj-|Z!f#!(qlf`Ba5PM*xCVPerF(=p7U87kq6t& zd&saV)}#|+n=>#Ekv)cUKhQ+jKSAk9pB)xUvOnTH4|7ZascTAin6J4-R!BPocSuxp zYiiJ@Cj&N~1xR?{2W&`U(M!?`X=@@f(+);>Z1t(cT-x-c&$cU0WMZ!)l2n7ErzaiE zIc%Hc(UHsNXt+8oIGB$D1>?`uwb z&%l@C36=Jq;os~%16xk}$nd2@>xacD$eW z>^;3(1g@kJN3<97*~^<4O6 z+Rs@pXY0cIw`NF@mRql9>zvU6=ggW79>}j!VLbC31o|CtL)!7z+u1sE^Q>31b>ZfL zK;2*TW9+}5tuyi8dNW&>-@opDzig-D<9ze|U+-q?lF?dIBjz708ZwR#>XY`w?%8M+`aC8Qg~ieO+6ZRuZOMOq0L1ce|c3qx={Cyzu~k?PO| zF`iDVT0p!SwPhTd-l7X0R#I|g`3umNZbd50CP=1At*AMxW~@j}=z_+_2-COPz`d;J zn6NYp45AU@b4bc~;ZBlz%-1}C;zN8zG>VhJr~K~JMnLoep&u=_)pwb4oxjJtFg5OIuMqQLIB4?%yzwK=0a+0!3)>ZfG&3%U zA!-J}nS)`Z6Qmd5f}pua%7WPg5F*3I5eB23FgP^502f4+NtsBH%tL0P3QFC|8))Wp z&DtO@!MsU1M|uIiB8JTo0|TQun0|876xIyHC_kPW#apBrcA{Zjc$+b*-Up{P3}1<@ z%(k?h@R(6;(#O($58&@y$n#SU;6!J?Ce2$&Et&BMZLv9-JpawcrT-m2_JQwuDI$~c zS~{W(razIz*bMQ5^nI;fgjD95lXoD9z5vr829~jDM~)B!ag(H-0W=&Ce2Pvo!QB?~ z6&acAwy5l=s%a~VPBOtLy2F$21w|*B;1(3@l1bjL@pHNrMJM^8HH}UD;F8Jdf(m40 z;=g(inovYf0yMNHZ2Jm&Qyx8+1PD?g1OW0adhB8W8n$5r2k^dX7TedHq!3m6L~EUH zFQV>&LC@4f`tPSY>s0D&*3c@FS?M9oI?0X4lr~f-`~hqDkqDl z`5s^saJutj0ee=%%fG||7Yy56)3t)fJH`Ey(un#IdbDLM%fmEGLUISA4A+l&-!@2WFpUrqGjaQdw8%L@unlV- zX~T<@6JGR2h`7W+La}Lfu#ypkU?W6aZUrMgoyLa zplL2Lf|(F;aj@QkSTQn!xJ*uni1EG*mCB*T?)rrcYLA6XIINP95ya1F)E=0DtVTZ$ zo-%^@=pi|@T5ulBm^EkDI$UF4bcX4VCkVJ^LM!u$DSVezxaB;bq zqMBV2X#`d{A&F+U!FlEz1k=EBB+9S=mG(Id0pt0a+vSd(ngcHm6rj!xer$2n#pZdb6 z-l(td$aW7jA`ly4rq2=EQHIAHu|?Q4!XqCTjvO|P@W|(g?I_D)j@XWnEKX7~@hXlM zW%x#l2tW9L@xrFPjm?WPss@~n@EWQ@U(Ek@iw+J-xiOjeH%cV!F$`u7v)r``JGCg0 zg_yYH*~91*Ze&C@B@z&$ROQR7*H1zc=HaBP2B8z5l=SNMG>Qnt3MN#HO+G%Ki?lz^ zH)BE>x)s%NA`+_zN4+?abCfXce9d9+;E7lJ#+qZ=@?)CgBOiU&-~0ZPxgIc=%lx+O zdbd_D!H#HkUso}qIZ%t~vmRM;#ka7?$gL=_hu^-1!=+Q|K>DcMsnL`sl>8_Rf2Q zuYSMW@0~M9_ory|6S*DmB$V;;bOsB`{lu&yQTRzg^R-PCZn$=v8#rV3I-ILgFS(&h zZs^fVF9`U*p}}bx1+MRJ{WEWZ+|UpI)VKY@&x+iTzArtn+w2VR+JI5OA{CTz7!Rb# zmn*U=L2t}gZ09V3VcO9yv)rCJa^N#UiUjfJ z3O2424ATxlZE&L?{KHN#Ogm86+OY@05tMqEc66MeO%NuNf(zz`$~lT}sFxK>0#*i( z29khBz@w>>QO2PpSrjR`-V82KD&z19t&J&Z%hSkf-jcy)?4ZW`6wE?Qtp_dsS9kSR z)7k*1HhzqCXv}K+ivD*@MvqpJ*Z#tKDaW^wGr5#-&NK6pLQH0w?`ksBk`cOTmR?HWJ5xXiilC0DsY2B2x&@Yh2<;);UGOdNXz zG=t)Gs7KatF^QEKapY46u~eu>99pMqqoo;Yue$Z`d`GB9#K>#J66*iYt#?1pG1MdT zY8%o9z$;ts27;j;87dvLOJuu(ZtgBmQjd(!cRF$ql5EaNu?J$LP>);_C387T*rubA z&lV@AzvloB+p^{)^{Dz}h(lA&m1bC|;2S_ghSsDWMN-Js;Ybv7QIEH%9zms&UUGC| z#44BA`o*^XRolFJCjOoN^XLwf^sX)$R2vXQ-HPB*KS8Sz+=M%)Dvx-f?bBi`CpLQoRiO1SYXW(6}bh$P;8IhYYIMKBWt zZ3(Kx5Ja5C36|woOOo3J51`$VdM#oh5Xe?RG2(ub01_C52d<==dq2sO23L*h7>!vm zx4IEsp&k$LZ&)|^q@vEwG34eL4@>fbBa6z)Q)J>~D1F@2UZ$!%4O3O@Q9YrlZybqC zTfR30tloa^Rdxd7yZ;lQa#1k~H2?Z%2V$w>iU5J4y5*)BFx{y{|@S(6$K)JSAWZFf2n*)JAsO=N8YIO1-_5{a<%f?os4&2osMt&xb= zOTcNMb|d1Yl&FCg2O!95N}x3;M?a|;sXj!NHSVy5ZQI|>W~72o7Kw!NyxLd?psG@< zu-Jz74ThSFPq(ceFOkS45_#eISHvS^dFHj3uO7V@3Hr-4UKv+B6+U!{M6TZV5G^zh z6F2w$HO+kT+kf(lzxq=fxu`YmcTePBayb^oZ~fMNIC361B?))5qH7;oK%YV z6eKR7x}4gDS{Q)172%3xX1P5&e3Oz+q?p#s_Xi#Xr%jMy3DijaFQS*_zn!%pzwTY{ z?-My2;fNdoa;O9103C50oiS*&L8}hxr>Rwk zPgMe_VFxC_4|uxPbIAm^$CuWkv_F!Q z1z>k#42Iz6;^!#6K_KL0NXGefB73^ejm|D`j{AdiOuI#WgnLPK-cG9XSN(He_1xot zbLh<&I2er$<3y{^(GH$$!NPAC>EOu*Ec}L%4xVDa8p*{-2TyS@8p*{-2Tye{ppMkR z7f!SaEq2F*y^~S`*%@QQ< z%xT8konLOiG%U8;v+z;{%e#H7dGbc87cdD6*^vD0gQ2W?Q^>|)iuD|`>IGDUtpV^~ z_l`b~UtLtMOum7dBq;-9rhU3RCAN#`YKNx8?${-^1JSDzFyY|VMGyxssyCu5%#9dC zmig{PbTx>>PAXJYmSZ)pP_O65R=TS78QI2@C1-V!yNszW5c%_By1RkMPt$leu6Rvb zeoUeMlfUin{``M=I$1Vn=7!0#zK>YT_I7+aUQ8Q7aJ3(BoK=MiS~a?AnE3A8klF-p z3^TssZij!C717zF^&I;Og4vgi!6OIE6X|qSR;as!417f*2%U?)($5NYw?Zqn`m&(X z?pDkS70hm)23ii&RmGQGa43qT)toOUeoaxRV4OzBwn*-~)Dk?@k}R9ANs7s|+Qs&nIYqnoAn%Ic0A85 zTFJW0S=!b?Wv4Ys;2}7dCfV0U4h_TklbyfJ?|{*7lfggziT;{UxHxvop&`fWC@17(;r zfX;g%VAfEE$Xuf9;F5ZK6QT@Fot+4cdQ*Ezz1>dg?T7yG-}wEX5fksV(CVo-E4#7^ zrbM*pXddA8X%Jly5y?C}iwl@N4L<_@R%sUDI80LD*OHFu}g= zmK*TH&}zntR8~!JwO*;<0{&blSO7Xl+Z0Nxz|lU10?;|$sF3f@@kWJwdyY3MFh2L+ zexy+WZWaAWm$YGo*MO7q9ooa05?5^t{zZ+F!9s*K?L<0f+O#X+yXp4-tTY2bKo%5Y3^&8mEcz4WwF@cHhSS87|wk^psFP&49(<{r$#jAd)(Me^cB=2U3&3^jiV@Y}92dwQNV=NP(oQhq zZcv>Z*yh5#HrT&Vcql(6;%>5ytl5D`UJ|6jJHZrpLlOkEi2le+f>eDk8I3U{*W0im zh)MPJfVm~b-B@$KK(Uu=j`64DyQ54KSQDjMmI=}inrx}n_T7BoByIE!Ot(g`=jPnA zLojk+Igc@84wKB}dP}m0F-M8yLQIB-G9hvKS|^(>P5NQQ@22W%is@XlKGh^mTfR3* zgt2_n*L~#+52IPy7J?7X!k%v7?*;@<)RL&Ib**fb@dRjkO+W$mcBsiBZBg(Q(WtCk z*l^khNykv9s9ZR4Gz2-3ujCR#ozf2zt|d16?Tvoi4%VkJQFN8m7xefbCmZUN&j{cv z(r365E~<3sliH`fyzPT3ghU_`FZ1|-TYb-S;m`hM2$1XalWa~=B~xT#ZAu?6>|KWZ zfkhxRjiTVwJP_L8q-j`>Pn)!+iCalhgXaej_R!z0ra5=C12xU&Ti^T5pBMNJZH@MV zi8V^YC0K6-wy{|P2rRF=ePw9@7ev+?-W62R7~29hybEG>0P+hI#9Q32NKNB{mXUz1 zz>BYLRvcR5KI2B%%*i+hR}d%%%bkaygoG}Lg$UkN@V2AN{! zP=Ai{&@@^Gl2yPV!qib4OAsrH0-_O&XjSIEVpO1P^MbY-dT^fOP*A+s#3?Gb*^kx zV|K-bto2N#IsgI{hf(FJV&mwFyc|EP?44jL+|9GUDQ81M>)ii?V$X4cZ0%@@#0}h@ z`<*Q$gZkq5XE!{-ugwhRcQI02K@^*30I^7~HL5l;a6BLuWpjed#@c|tD(C9yOBvz* z$_S>2PTZp2tG)m%ZUwTo1Vtyf7!$z45$UmFBzj;y=U{=AA2Y&hpCglP!_9-;u@8zO(Ssk; z;xQlt`pc6NJ-9wCAe$fP{iI+AaD+(oE&tVHEi-lID1@^J@+SU`USl)y?Ar$^|fiY z=;C%wzeVQ^dVc@k`tVDCFetD|VISxX-9qhZdsteL>wuX(R4n$DrDn{P-Ck%`L^p+&>VfEn-<9d zIrQGTWg}M2b=x+nf)EdFu?)c+d!yl(1Z(IjLDPu#jD}#&jv$QIVG+Qt3@X7n^4|IE zyWL`AEG@BO$&R!>&>ffp`eT>u2)r0=)kSDBoaRR}ZHGXIGICNH%`dw|(?m1<5QaKg z&WD&)Bq&iCL-xd~1*`N)PsIhiR7L1R`-W03-+|#O@m; zA*%_(A;ux+m1d|AC<_oukJgc#p0D(5&vq+h{B+F&T9dmBRC>5)8#GG6^M*}5prx#r zOi%ah0A!o^=;-ya-sL!Y&MVD~FA{5xy!|<^G&{hhgq}dN{2Y`W-O+Pi30Hox7tk71 z%YYwRW()fD+<^K6xNVO6+jm!K+Iw(S-veyg(|^_81N>V;UdSVeFP%|7-UG-z;d_7; z@_WF|^d8`#^`Pe9;Q$oAYJNUlrgN1nTj* z9mkghQ*5rbGdz>&bntTuBjSoLaJn38obMs;u$iq(z6}EX4*B@&sg>i*o07p0awDBW~trzTh{0|Azvhr*5|co;~J|JPX+` zy6gZgViQD~0m%U(qsfz0(+GSZT(D(DFfxR_xh3C_6Efs(5->5}1*2w?q!F<_IU$3Q zB24k%nc5S6Tvsd!8MtFAi1GCOIp&1S7K9{XI>4mMXCs~CNz*}3LJdLfOKm=gIw~V9 zcj1jB_vdLHInpcxcsDNaykgI80iLHSN)RF`SLc1buE2_lxK8;15@N4jXo)g#HuTS8 z_WoC24XFvsQ>RQ^z7N=`g1+Qie)IqNw&=M~;t7ZD84U%R{SnbH;zQI4KpC9}`^s~| zN~;7+m=t?y>se~Af}(;I6jv`H#^<)6nAQbb^u-c0s}hd1&-U?EA~3IboOd8Mq+5GbcMPqy(FO$zgoi zy+Q)171QwLk{4-mI2Qv^lPVxlk~kLk+1i?5ieevE{g9d#oXj9cg0-^u)~$qwo7*wA7JxFlM?MU z2~By+`c&VT6U&e3(=Yh&`#<@gJ`IKT33W?Mu9lbEk+IrzVO!|V@CkK5<*Et_LGkeo zzl*|=Dgg|z#_$EIix3oN-UMTm9Z2+gL2>ha#npm&L)>cMwYA0;D^j2OImXO8?4a5& z1gTG5FwMN158&F=r!I(*SIC;-(3rAhjH$7r=+h>t&43(wcR>BF{%p~wE{R$uvU^x_ zvjYgcmy~eJuMlwBa`X+1{6LcW)Yoj>p~%}ycFd_d?u!C&bOk(M_*)4~3rKiC(bJZK_r2WJh27 zQ`O{dn&(X8cELX14)%HbW&h0geD4K>L+BC1%$)PNfN*}xi>zj-aksyZy_8WSs?J7d z^UcrQ*B>tvZgCXcE3aJu@eLZ7NM$1cKzCD0MN7Pp!ihyUuZg@e1uF}IlDn4e2sj4a zP%;iF9Z>3J>437G_Fr-N-kmTJ#&Ht}fk7_t(ud?H2Xn>cyhpjz#~>f9(JG zrQa4QRCTXBg=)?gqv(l4>F0BzOgNMtjlf-?nq$hy4U9~$9}oFQrq_>${7RmVnu|xN zN*CLH%&{@|IpjY&y*`KhN2k}9kpKAjdZz0AERT$@2bLs<{E-|upbeXO5OE{$D04AK2qfbr_sLK$&I?3P<{R#Opdkmeoph<&s z%U-(Iy`*Q|ofvU1cGcP{A$_v;y|+MfoS_X&NET>=fMl|HmPf3aXSVKSXal^5v&{Pd z%K>+z_(OtS$j6sgJB|`6Zn zVh&nyCM+-Iu4&8n_KxMQ|Ku0Xe$}5((U;_TT~~YU#ejZN?i!3u$_14?jdw6MiORrN zjB*zkwEE(dxNKIUiK%)c(|E_rUFZW<^qA#xwEm;mjh7?7kZN+0K1(4?3aJ}>;LgT3Zt&xfg5%66##%{YXM*q=pi88WvP(ns@_pnsqEoz z=IgmYQ^v@masXxo6|86a&_p{cgVFgpVyK38A!25vK{-M&6=yKeOeUML?ulR1{g@np zVW=U{BLLn4000VJJjMwcYa{#tmv5k{8S0ECV z)F2LC1271n0R%S(^KA!f=DTxP?Ue`>PTGKYOLJu&R%yhIqp#6lQqFUd`EOB@n)8LV zT^QJ0qn&GKTIknnqbj({zJPfL&SGGL9-Bk(c;h=wo1ojpZtbkIw=pIY|3=Bwcrg-G zT^Oj&3(5rKl7cF}x{3Zz;QIF#R9*al`||@#yXaVxZe~ButY$2DR+hBw?FjmJjA1JT|Ao{e#Ew7{V|o$#cB~UEkW( z!nZiVkd%D}e(p}C+cdwy^9B81%*ry>GYmfH{qcljyUi^mp^Bbn!qD%hZZU`4% z_}U-&mamQcfmYLpZFtgVF-~_RMFH)Mi}B>3I~uV0NKv2(3NI2HH_$#;THN0G4k-$_ z;F|q>%*=y(S)tfg@wfbeZ6Uv~BAGu{L^O}IKx&$^qJXcs+^eNSxQ!XyRZxloE{Fy} z0Yii0iQVgEMJWoHAeJ1==7E2~!bNNRp)YnwqV zfw`EsDJJl?WWt_yrnGNAfhk>b`c|Nb6h@WnntTLPnNV?6X$L5eQWumMweEn@dDI1a z*p-kn=L7OF$@@#%sqO#7uq&J|9{ASsj6&{Nby-a>wbPNpPzu4NYMWqF=>-OCDt)`1 zGCN9;&-Q#@%xsGNo-*X~v|DsBvv*@=Kf3*i51k(GwOG(P5F*MnGp&OjdcDT%3>r_KL?@WSbJ&XA&PI#v zw&YYQzb5e-Q4+1Sa+X`+hpv(lo-dFSSdjS%M_=za1E@;oyVKB5n1BZIoh*6M$g8~$ zqCy%+F_rp7mw;FE@4QF1+3CdN>LClyOJ#XEhvP+0b?U6nOZ6R4sE>cAsp*Py&H7X* z)3oJ#lSC-fNB;are*dchJ5|(+XFDAObFAkUC!#uSKW2w6PZeaMt^$uFYOzD_=Wt|2aDA{@I&qE?wU{8H z8Z^SwHeduXlw>)yMlB|Z(k&2Pkc7)Cg;bE#=vTNtrE?!v1Q0JOeUQ{u*pb0b{rBt_ zs5Q&c0l4dbPk}O#l@=hV{7=S9%RV>!$S zf#Q?t+{dja)&9ZjqOO4BG_R1?oD!79F)ZNnK+FEZ*0nyBOU?6XsCn9}a#z}S+VW%C z_q(^h=c7LswI*Gt*S;H!V1?2kkQAtsPQ6IT=?V)hfpDxKh}XNmwVn1wQ@SWDFie61 zyDF@#eKD+&*bA(<5JKys*)ot6J}k*gr~*MY(5;xYug$83;BIcCH0<(017__DoyzGP z6kHwUP1()YN+d`omZREtw}oG<3VmgShjHwpeRqcy6giCv$Q~6LlO*ZP+9t+N?ulc8 zB>O&cameP7U99JRpkBoHasDSJmq<58ET@;JwJTr~yp-o7YwTe^*UDTfWcODgn|6zOOMOAu z-VVa{?|~;EKcU#Z18p zN*2k!f*`G_3xarvj+Tr>9WVybm^MMMd`tcezK$_-$BOiJnjlhPh@-e75HsC5(v-U3 z0RvMc+}psYs>zQPMfo`%6`4KxF=K)fYxEHr^($nHX zKlmi;oUE?lTR|`0%;J?2x``0~(f_-1TO4s(eDZ_)-}q+i6Q$BH5RdEpFA8dC%ZGE^ z43TErk|7c-aWZ5BFbgVj#d3-(R(HTt(k!QTBBldM<6b@>AC|m-wVZ=SMYQIf)u@Q` z&7{X{R6K{rK=Kjk=g{qK1vQLOO)t1q&``Z|rhx~zmNyy|V$brqc6n;wi*ic$(e>O&Uu z>;A`3ANrxwp z97%ayRN^hFL{WMeHQSiXCuk+X5!eHJS4wZ%@?%Qxy+8L2U-hkT`J_+6(Cp~)>7BsD za{(RI`Ax}2VAKoRrYIUO zb1RDz*c!K1aNfEVvl9sFaZRRgm#YC6M|J|Y7)vAh6tp=TQFL{O7xvKW+jE~2h@sU($BgDdV8&4NK1SwV#X=UFw95>wW(#|JePHf9HR@ue(i3rT#1TQT;ER1wpCX z1)m`>;qXJGR~N|fc8|Gs9_dp*!vI21ol+86Wu$h?3nA`mTXW$UiRBtJHJxO7(r1QL zU>oJ$s$1#7NRRr=0wbO$hc?wUm1N7#bBkw4A0Z4t>{)I#<71L|U9~|HkEacOj-yL5 z$bXR)74iB=#=L5c?5xh<0t7$Ge+8#-}9B^q;U*H z=roKla>RLp$48HjG2OjnQbriivqIsKN8iqY0m;8;+KYyPHJQH~oB2hp`i^h*SRZ3kFyj`A}?OrrU<`<2d=|#hoobsY^`>tQKW0Q5d^d<)zL)9HgPj-`S zn9pS413d41;-7i$#3baE%sP&!kdtjnJ{JNdDwORA!!RyomD%Mm2G&AWQrPuYeECyUD%-JtHz=qdl%s=h(&fP9nzCF!*@lyG3g+X~WE;$n$x$sQ+Z33q z45LZsFWYhc>pkbs#P7!-9E_jIC*1vh0IEP$zwCeqqDA#{a`E0#fZ4=*a7(XrWaBVPIXEECVlVzK4eQuLX{WA}+)r2uuhnp_UO&+&} z?+-R|Tl_%9Q*Fa?uAJRArd7~iZDy(oe!QpZ{PcT%`3FAfB%B|{5%o91`QZ_Zbux6Q z1?RSfo3m7N3qT!>6ZAm{O6|`O@LNRC@KaIU*aG;`3Gyv&DM5Nu zRi~|3O+qyYcWw?W5C%|GupfAd8V2MtRj24ODGVoFD%+vFG<<2QO=6T#G1vsrT4*cr z>-)KGch`-qfa+n>UOtNxBHea|J|QE`?M1&8=HE{ z1k133m6HNUUF?t)fFMZh`lzPl1lDsRfFQV94kmr%l+95Jot&}(Ka9@a#UIq=a`2;_ zZ5B^4g>L*Xs2KL;IPu*yL?aAHvqebIp=qwJnBrK#ZZHK;;;uEC&Wqr5n~8*iXO(N% zg>**&w6}=Ma|ir8k}x>(buz|nVriIK{F779#Kh9P%M*hs-GKUECNRX!wcr?#Tqeup zF&gHUBDFY20>i>+fTaNFrMnX0ekKr_*_v>^;IFAql_b+c9BBy*C?gePT{At!aG&vL zXlU*by0m6`jAs}Jt&E5uIy%%x1iw8!$Fl>VW>Rm#(hLy^p3%#~tCYk2?HUO{4flyt z%aYR{JA~8TM^PU;gyH$I!{%wfEkAN2Ph~tqSgmIs;6FKjckHp_wA>+*t9$OdO`Zet zW=F#LzUHc4MvCqv4V63^#a~4@2e#PzWpjN}c$O4}x2a|b`UMb;%#u@%x!xDZl?jIs@qk z=%utj(ksOUhCm7DWjkD8iQi*WA1@E9AL!NcO$^FSS`pzqF191TZvofCDIPXcZGN8$Uq+1&CdiIX+@r>L=Lg)%U3VI_VU%E z_sZ{)pLWIbYLacCA}BvZe!%3rLjSm#)4>&sgv_{HJK?cQ%UyWZc2Bqg&ZWUIuG^Kr zqwUIH_J+SJ#CQCE-~Q-ZKlK#e4cIJZhSVMIJ;>y8z|}kgV@nlEOgJ2WK!hpoMC3*ILw~yIpZ*+U_^-Ago+yC1rjg87%)LJC`hoBl4w=D zfkKJqVgMz0f8vo622*01~Px8MC;&OV2Cs?L0G?_T}ue&6n1muIc@tm-$T zUqBs`-2(ZVPB8n;d$=o7Hjk3C0k3`bn<0k&FiAEdiP)`}9YWNWOq9@-R3~cDudAV( z#LtUT*crMZ|P<82C^6C$(&Q61(Q7xv?x9xIN-5Q=iaEt6QeJwTtiE;=* zLDfxgHgkSzH(i>hixmY`_Z1f_5&^SA2(Ws0YC+Xa5J_IGfj}$Kpy`q-(Z4kUMZ}y~ z(Pq`dXO2@-CA#2lzhwd$2|`4H8q2=tV%80fgNz!1sB>Hhdtl=P*R#0Tv+X z$9(K$gnECZxsP61e%d+H%T82vF83f@U`zyRoE{0yp-$uyU=({mKVhq|(w<8gDgVr& z4&Ln7tj(bgSbLPd9$iR7N_@n}4)U@R&(afU z5bndQ8tOFqdQkTd!lzW~0m$2OBOsAr@m|H0OCajWk=+7*S1*}wPx~>^ScMj>Zq0Ik zT6$!=e!BWkN2onPlB?2MO`1h%Ghk}9{^lz*pW_s*e(@RNs0Lbl1-^J0>ZLp_U_Ie8 zTnKZY1;Si=$*)oK8Eq>Dsi1ii_HN$tG#Gh##$eC?slWcoA2>-mffSD0nUP@09gQ3E_k1?Jq2Ae&-EGU04$7Cu7+c(M|U~Q_0;>+Y1EK&Xpc^^s)hM^@= z#ETQ#M3h*u#$cPJ%{hZ&Ra=%MOAOX1f3^;FCCL(lHOiko8l^<9CdnLwfk=Xcs)!Xq zC^R^Gxji6pm15)LZbOdN8rM-*+bNjXSmMz@h6WYPebg;^N|)R@u{?t0MP2h`1W4@} zYSN=WRj>iJ>Gnw0QI%^B0qtLg`9a;`^!O2vMYKannHWlZIAJA~ zR_lZn|JHkiR0xr&i-jJm2&w~OOQL~XIVg^s;SVXnqOZAKZV9KO030ez%b&co_N2L1 z(>Dyl)XEtxM2f?jdFKcJFD#*a(ly8MT3yvmwr$;Y$B%2e{_iCxcDp&Tr|x;ShxLS3 zzo4|QCQ7SH>BKF%7~c7}=$z4J|I_ZPe#`&b6OOpy)s}u#hhf&Ib|4)kw1s7{T^9Mf zAmT+5FEX51?tnrTK^opBh{kq-bB`cPRJ#%@((tw<^vnmtWoPZ#QL*e-l)M*c2n*;g1z^t7vm4nZ-z zF1g*pby0J=-1px`3~!TUm@e*te6RdVKDFUZ7BtuBo(p}N4bIKBcWZXlm{)r939R$N zHgaA-KS{v!)RxyC5q@BH_F*(ZKxV4Vt@dcBE3sCbj1=_K6* zf{wnNK4(5skIQaQcTbJyD>lM(lwDAplw?ZDduU+59+%}nu;!(_`VWAk>L)uH^i$1C zEl6HOY6Xle?oKUeh_$XjduzQ3rbQq6BvQ^xf!xVjS1^BKknbsZsm)IH7}OcGY5m$I zFLhX<$&?xooO@k63_2KcUJ5ot3jhH&(s-1gQlDn~LZ}8(o}%hwdD)r>bk{`vx7!KYH5amz;*wyk?TwcNYpV#R6X}hS(d{U%W$Q)EGSNC& z%cjOFYOPz5evusLZ~g0`8uxGhg0KCU=S~rJSZNTT2QY)y&{h+y4a`8^5T3z!hhj!O zqR93nVF!bL!6^1dEFEA*MmNWgR@jZ z|FzB5i4_a#0XkGTC5-$xgqRcVFw=-uqQo&q(qy%6l{x|ELaeVA5FcM@30C{3$EZVX zA74pk&=ax#w=|P0E5RWgh}XuO&3;v zkIcYXsXj}3rExJd-ziGanV4x>y9s({)+xER;Ly<`sY5C^)Qt;W$IDmme&5UQ`oOzh z4u*{OBgU^y*L2QZ8JBAdj@=dYdK2fyg|6cbZ+PoZe(}{$Mp>d-a)$pD?fWm2z2-I?0EDm8UbD{zK%T-ts`xV58>H=Gbp$>3C5hduN0j*6;9RW~&e{Se z97rZ*2>=Ymj^^ACvx+&B3u+YhMbNunTDOB==SeS%4vM-vU9VZ}n8@epk#~lR>GIxZ zW?N@eMh?8JYrXr2m(lWfFk0Td0`w-NR~ks7G*U!*uWS}WU%`)TPF$~qRVkgvSv2>I z-B+rpxC%d2I}=O`ow!A`->=3kN`8ZWgi3ENN_m)uUI!0&*4x9uI9tK0 z3LbXfZR|UgMM~Wx7+?k^E=gZZ_q%5o07)mU0s;q^O^|j&sPnjOE3$cP<*vAd7DzTh zO-*u|Bq+Ih!=B59(B;U9*kceIK#}4C>^90c5A?+7Y2qqNxsYX0x#kcEEagJ`slNPD zE;QYkpIx-+#{7JPY{C@*p}sNyqyr*W9T=axAB~<>Fh<*p5u7e(fKLNf{NEcdnT%m+ z{~E-;?xpD1BVTya1bik-b9`_Ct!Xl5vIIcHiAci+%gu>@c?J z;YZ2wb$k)nH|B=*uC(%;YV}!S86FvvB#AG3Vz2g?LufDY!g`0}VJ?G;8XqwT>*&ar z(4Y(|+OIKXOgvwdGWMQ)9aMy_X+_GB0O;T2GN@>`+Uas>Aw;dvKl>1o$7yi>DzS4G*D?pF6I(R=x7`XW!TF=G@G z>tk94GsV zsL&rPXct8TvK`Pa5I?*xaXct}LD`$Wu;7L1`ei$gm)TLV+0RPCC?m{1%FEH9<>mU} zMi`D%*pOwIQ9U3-(-;zjVMgit_Z%sdPN-9a;`_^XT(Hg@O2;3sw&nZF#G-V5*-ppH zFsC>kQ;N2w24hQLARk|AFl2UAET@l`(=R{7sHX(LVti79b}%3bOx9_FF*fmAw;&En zZ82Q8HJEd;?Jobrfq&+dmf{+)ScQt8ojZ!)1g3FcJzU9XF zuR>5N=S*m0q?l8I%xV)7ad=>nkGD%LUWe3?`iqJm{n9THF^)dBXCG9Dd4%(Bp32t7(Y zAnVFy$L~Rit>{_^6kR3Tp`74ng25tm9V*B{pOJK}IHKwN>X=3v5!ajR%}9Y!Y?ZjD z`FM%a+VL8S#inT1rYrGlfqWz(TV zu+5T=sazwU+a`DfXRZi>8do)|?ZUUFdUHWEMX{;}>J0sLRihE=^`KMJtLkDLUz$$%?AijHs+VOEdOFO3;kO3zcv@pHLE0O%>jlNJ%U~Xm%1Q6}SCF zC9uv9YL}xwPiSVD7!#i84E0E-(qDiR>XD*ZJzf$D*Y}>>Ixnv2s1vuSw_x{mi+JAG zb0zMlyz6KE_795@AnL8S7ht{%^7U)rRxriK<#7c7EBWSqkTVetAa;Czj9OM9m)d9AwYtXd*H9-z)2{fy}04b6nY#~^JoS0w> z=0Wv9lBBc;SvV9UY*9*4gS**wSS{8tBwihbTjnoEX%B#2Niqzd0bA zgMp%@0)ayRQwkX3lE!#P$+eZS`xiQ?V5uin2Qnd&w-VljRH9c|jn=UH0-pNN9Hyw< ztcUaJMaeQ%c}?AvFI}pvAipzt+yA6=B0hRzy@;LW?>2co36pZg>wno(Au2*|9r9_w z1LP&Zq!)t}br&Vm!+o`dsh3-KSZ_I4zCJi&>U~YL11Tu6UI78^B*oUdSu>m=9D!#JM>U@o%tT2W&tyV zDGAJ_zef_bwKbKe8ZQk{oGLm0r9r+fy)=?PY!5lM94WZ|rGb#YH2nBPae~p82K&oP z!|kb;2K&oP!|nXiAnll68g6O>Z}*mToA%O7WDSv82JdhRpAo(nU{x~8DSStc7jAeu z09n6+gh~>)9*~jTL7EbSIB{R2^H}W_@iHPdHudppc#EcteG@GoNmruBL&#d(?c*kX z>$ZudkWUS)<{=hCLN)!vPgIm7iI|N5`{8*dB%N6|C~a2o!tY zuSXH2?s7q^2qp10I#4^olEzsrNSg<(46sQdAzPzMC7~muFoM^@u;B!q0m8TdwkP z&9k^d8OeZ4#yiZYCb*Lsl^7$L(Ln|q1f9jvy6X(~P4hIk-r^{N;-&a)Cm36_aJczR z`t!J7Kdhgaf@*txCn3lA81{9-VNjjAaGPvMeu_k zJ8e>*<_gNW`qW;%yV9rgmLJonKmMa%_J6$)MUml8g-3&^6rINc`T<(C!OAMQFnwxU z&!G~MKHuuO-3B={(N!TB?j}^xVyhHCoVVEvhPz28QF<3p(~4nbs#jvga5oW-?pIpq zvIpd*h5jKJ?j}O$)k>-Iz|3Rwq(d;=O?>OsLIF2cE~(;m!IO$g)yj3HGeud+MphA_ z3I@Bb(;j-dM!_>6LV;c~1$AQ*lnK{uag_leD1Fp2X3vcXY4+SYJqE730=S50Q|0;K zV5-aun*|bseMOEqQu%y*Jo52ke&hHXmOcVRUgo|4RJcr!M$_({IKLR$*py!<95-S5 zds@fsx+cjRmnYf_a6*rbDVjg_rVss%?>^kxAQ@>D#*>T%#;Nme3M5p7x z70iy?euWf-3NA2^x1KsXZu|Y3KBIIxqN}M2R>uv5p!nMKE~SRDc$c-)albb5DU?R` z4r_JXSU{m`N&#nGGrQG$xOx((?~r`a)KC0G8n85HaHnMJ@EUERW|GYJ2d$l~SrI}j z8$p>Q^9?#8=0~JHCOKPgtF}B5e>ZKc>em~cO39-xl-*AI7w>62cj9lh6zu|@!eqoD zTm=s2gktYBr51f>P0NQgY1+#WNUEw(?eg=4YL`^d`KsL>_Y=BzQ2RK;MWw#>=sfXZ^BfgwoM?t+IE=pU(KkO&4pbQPp(cR_1u1!@Sk zYiR7W;z?c9-fmSdnN-gkGj)$4fLSxd+kL(d$#ryL1h8$R%cI^Xww+Kl7ay`@ImKs8 zn)NAsNDXDl^CrDC{y;y(77EfM&@G!Yl!nw}+iEA2#y}@s#x>mExQ2PRsMqV4dhF{< z=Fgdc_pM*`OTYeqPqtCYlN_(MG8|Bypa_W}1*rK4O#5ORwW$k%mWhAxx;JfK7{YYSXk!J=5Plpwa&F;Gp=fS2D#!X94}-Wg|1A=9$k za_()FOch!z_Tq0&Hv@rOFPZNSM=wZtcEH)KZd||XgOkQy4Q}Sqbr#*Qz^i19*M+P^ zj*cR#0l2NJZ-bY)^ABXxZ2l8B{voZ)-y(>FU;+Po0g#7wzWQIFI%qq>GuGG^h^kCC zw00vBh>o9QLe&hW6AH0y3T{qxpt3J2!$1g*&dT2beO~U<7iR2KU@(EFlMA45bp#g@ zB*!M-**vpJ9ASG3AENjVzh<-ffY4B+c77ycFVV&7f8c$e_kNw6D#Pf#Vg(zIV%N)$ zKj$6qLDSKTFJEs`ZoLa@-YvRV{kxfa`#-<)xi9#}$f{`M?pEL8_~%ea&GuzNlVDX4 z!(zL-EcGOYnZZsFn`+P07&KYHv?F*f+L_!NoyK5Ga$TV<1!)rZPL*{c{MUH z&4|Ky;(g9Fq-c;piXz!E!E=)>8CY9HYNhBG0tMNV?SyRg6Bfmo|Uo(s+3^fv+jZ9~5 z$ZYGKYdmKxPi^j(dt&)s@w2pMvH7rfeR(J?jT^6r@i1}~zIXz(YU^l&@s8^Sx+$yR zD2#W+i0ytw@m{=zvcY&z4L#^K3gd0Dp!wmthGl3hBu0kUVLTM|z_l-5W*o*tMng*! zZH?2OJ#|siJ+DI;&%Jm53q^E+@y=0Wnz{=$G?of}7Gb=(I_c>kDC(s3$9?+GcqOvN zntpbjq+3fAn>eWriHjp zxnM&k4oJ-QE7B;sV369dIlo(xI;jaZQXBNbsou0*_||l!Trfy&P$JWpP0OR#Bpa#C z9)q>JbBnEX$sn~sIru;_YKL%h8mSF_{k{xbIyikzc)><$v)LZ{FCiN)ypjl#I@ zGS^~j>(EBLi=o5{&W2zPV*w5_mP9Ge66GshEXf;Whe7c#*o(q?7~vQ!6)8E41?M=2 z-ZDBp8q^%8mXO5jQBYW>wbP zG9aio6gSm#YouaV3Gzjx3ApBF2Y%sBlG@jQp~DKYA_!D^H5buGS~>TMUx6Z?N-GVyPeny!86iWD#XC^sp#e(HV{d!A3| zuuGnKKAd^$i1WN#)B_KfJoEKQfphZAKkbLU^fN!b50uJFqFgnf75m#h`- zYN}oE2&OE-hcVgR%!chkY!QJHz_R^{d1jR7ucZ+c7B~bWP=X*xjfJa|xo)-TAsB%Y zu5q(c@+}COVGY3ulwji4OPv9p(zOI8xEacFl+rL=tYDqY&-FAlmSB=$kVA?(U@uUOex+o~Z9K(wW`7!r~Qj$*|n)u&tw`}Se?=_$3 zn$iD4LtcWP^NM-2wHtM_e67}l?)K7C)Ec=qEN!fqM@EmLKMM^9J>XK%qxFi69w@c~IjbV=c2#h4GkTQq zsL59S==fSJtyC~p%;-_;_(EF1Jh%|7=#h1N!ErY#$q>}Ekt0{F;|uhM73o0(eoADM z{nt9a*5DrKe#vV(zSi`N>u)YDsa*_yLhsJnASKdE=Gzn9S!0s<{&4cI7J#4{aB%i~ zgLVfF>BF7eqKP_c`|-kJM%MgCPN(air)ZVAuDaLCc<~#}vOc3P4#9S}IOuo?NaW zkw>p7d61v2N&zP*0tx|*vZKYgDcO!18jOL%Q<%<=@nH;FLA}8Y+=DmEoVav;og3HA z4_-hNjHcr;KCJXzpCAsz4~EmVGj&MFf@?& z20c4cJJ1p-6b6s0_dBwY4qhfqzwO<}>PR(WCV+hX4_O?k#>}*(tI~a)>PR^?6N(G1 z!6$z6>PR7#scr5$uc!Rz8eW()f%$YSmw?-WXuSSL_vcJB%U%44F!hcDs&z*mFAi{T z!hDNsSbRJ4FPmH=|2HNR|3=Bgr*x@;kS>rsV3aK^rRw(s++(=7 z2=su2l~qYSQ1Q$dl4?u4Sf>diT5`*!S3+`8_lc7~R5T+2D8Y|L8Xo8r#DwZE}yRBL#1nDBU zAbb)~G|)TQ`vmY1q>JE!!2kqWM4I!1@50X`E<%%Bq9sL_To@n}ONxu&lKahy9AaoQ zMa{ap2uYHWmrDY|AR`*P8J2^aBQAnV?hZ%Pd-5mRkzk0?qpx`b!yktE>Dx@(8c)p9 zhZT-b1uw9T>=!s*pnkz^@=WATnM<4L>$c5whEu^v)nA%3gIZ<=|DCV<`hWVH&IrgZ z$oAp|Qbp!~tZ|t=yocl*?U+Qi}u`w9*OoYWM;&x6TU0~TEdsRNi3QbY51@*5;c4noA8rTw3ps- zM0;uP?!gSjd;x@=Cg2k75#A=q|Ni&s_>#x(f)mc!tq&@3{ct`A&HL+l$m_rRhVS@0 zX-3F+r!ETqSxAY{V~O?$L1n#X)uKJ?tBu*Mm=`8FC#SE2$(CrZ2{JAMAWU{0Z6u2o zCE7E=-1|Eiiz?BcuejN2`6$3lYsiZgCE7DVRxSZz#+Mms5|(Js1VPmdP@BOK=Eov_ zEG@kf?YSTZvjK|+?ga$7|1uiw`9&hZtB@=(W(%8j7vn-^*6o^~!!$Ld{TXT=maMNW zCl^52CD8)IxDaPY^J2Zs*>+t6q-zKcbf=kkB!hLkvId3KlutCB`M++rY^s?*H`$w4 z<>#@L#GkwQSEZ^rG8J_(w$6xma zkE3l(uhW}`+ZzZZN^((P`eadLc~=DtYp=hLwg%jy&8D_SuO5%!I2HA{T9VVgteDl~ zVGo`bfqM3PcB2)+tRBI+a*zxh%0{edI8L3_;{hbkQeA&ot!y4t2p07yt)J987(Cg3 z>!KbHM=cV<;FE#%DC!X{b*ym5k{cO|TfR+Ek1TA*_on2wBXD?XrKfr=Dl%Q~H8qoU zPw1GW%n-AvR!j6~x(YH>_TgOC{Cv`OCEeL$lM>SzD&cX75)Y+qN$jIaQ$-^18F9%}aFF8?5KGuB^AVpv8{XY&sS^x9+s!Fn#Z+a(Yw0SQNu-%N!EAVg zT6~0)C>!2JEk5KVnr-{UVl;EY582x3=d(QgX6C{iwsFd`kA!CJJWq@cJPcQSqEP-X z_Kba^Wb*JGtn3IVO2P@Dm**n?Uzi(j0;kY4e zUz9>tGYx|{Id7mr3rcKUR?KP!p@$+1AR!*aAX&`>uz-Ynueh?B30wgz%}O)mfxt;M z!?#l2R(2A=igmi@K=!sV%{yfxZv||(K&@+Z}_4w`NkIm`_fdk7lK*hdb+YZ*NUUA z==#cT+D2ayZn8ElqgRdtaZ1^8PJQpDD!XYLeZ|SjZb2)o4tlZ*o3_zc+)fo?r?e&K z5lqxz=lQrdsljg6t9?)d7-@O#kbiqTv$0qgcxG6YoO)p-2`{rD6Fwx3#u?D!Q<(6b z0aG3HM`_Z6Kz~31w7RT65wy~zC0Xu#LV0o;f&>5xhB93+%9yc!Lg1%~hRGeAo3xlV zFHKqyDAzCB$#B;uEy1@MpoPX_*=;=+53vPS!0xE_6BFm*qo%NQ~c?k7ZjMgo~?{Q7PH0iiA|Q zwL!Sp>b*m-+FI{~-^ny)1gs&LZEbYIuh)YwlWi^X-{52d$hXCu9Yo*7AIP>gC>-%+ z`ffDIGuf43imGuqf?aqSdTOo(#d&fOk#a>H-W?Tq+!?ecjHj-p#X!0Ga=k|BF5G zNf#P}{`6ArphDZBKmQ8|@WM^|I_=7u5$iKLbQN^M|L6lrD&}|o<_F(!Iu8NLI^?aH zhX4sjhx=uK@UU20OUn8%$m?f-5Ph=XwUyeV4fRgJ{YoBUNrpEj!gs65`X~^S0FtC2 z`el3EF9U?=M_~#CN(TvkRe6s4J^!{05biOGTDoDVm}@c9dD^Mz7Jg8@aDC%Q=oa~u z6;K>L-Vl1dGU^r`U3p9<{*C9JPP||85Vs8vzK-$`U-|R@gc?sCYry|C{WZ$o}7S(?rHvrkf@hKD(zl z(KLaA&Fue8Qw$aVZ<1mt-=1lTp>lsVdr)4{ryNiW&DO=Ld1n@Z4pd~QLiwb+_S`0p zqM@5QKSco4+koy;x=qv6?j9PVgqz+*8T6B=q=G2{G(Eth>4=2rfClIsM21cCIhDc^ zrE_XB(PLj}doWH)>J6Os=R^}eScwWEMV_OAh@GG<>MOqZ_BKT6A$~11iJY&i?G-%X zvt9Bt^TGcMPxmzs(|Fs55Ge5ZGFpYEA*2~UxD-k^{yjG8|D%8Ki~q=n1!km9`k*#> zc8QeECPrx_Y7!<}H}-G=taW2Bwk?MyXq({lX0)Lrqtlm7&}Xo)0-?*2LppHkk@;>p zG%*wk==VsEM-EL;K5g?aFowu_D|7*InYmCK&lnE|9w6Z$KAjUIjHyFTy54_zt!%;&!HN=VIEUT_+F_u@cl&vV`r zUw#aydDH*##y33)WvI?W51`-vm|P4sK%X1*$8mRDsMa*Ur328>*)VTR?sk79aW>$W z4I0q8xTpkBLQpD#>jC#_37`PPi;mkZRz~s>*O&vS13Ka~h&Zk|FRp}S1waS#bNwve z0-#S0^DP0?SMuDMx}j=<9w-|RLe%E+d#9b!1W`DOuNRo`_O*zNrixk z+lP?W<$;OYhjV*H;vA=S?S-}E7FkPdVnNci2@`>?6<%1^wcX|n-6*Q}DdV9T%Zuur zxBQsu{pOcm`saT;$hpV;P-sVT64AX}AA4u8K;)>MHTM!NYaQ~PEEu|SIR!=BOU~@P z9wLVdQu1fajTwOM*$;&qN*#^Rdw~fn-HOEz-JG(_O-AEPg1+q>&h)dwJMt zsxJhyABt{Y6yvHNYKUD37C#g;Q1q%1-vhGi{q*98g8xdhhq$$5zAQO}(n7pPr?ch; zFsiQR22OgcO>aJV^w_bZM^D^See`&ls{S7}O6f^8V}AsnQAWcPG~WvYk6U)mK|i3zSC-S>pcDl9oHW z-B(Bn8zmRWRbhndT}$!Rm5uI0e6`<^@4iZ=2m1;=_B!7PVbO5S^kiS{7JKV|SuOd% z)-}_keRW)7#*sX+=o9TjEMfNWZv;Y9&O*XVfRk%MUp(7FYVMLjzdkgrluku^)jvhp9uK@`{D>f;loe4}GV2i@@ z5@0Ihl#)0C5lk&_6Bo9X*MXuO4{bXBa2|)b5|796ph%}hi1IuLl=I7Wl-I3sy_v&( zy}H7qpO2>zOXYz$AeR>kR-2aFUEzsLmxHfU+Hco#> zo7L53nNN5`S8u+42-M>x3(|i7&E{%D=0S!MSL+9F-7K#*<@-aR9$&xzW_z{H{lc5| z)uw>i5U9sX9;BUrEuL4~)W@rF4C$}cHnDfJYm`F|v3Ij?n{RpZCMmoJ53$vRce?4q z+~j!!_1?&B@e>s%?42*Y``wjx#sgc8oZHLO1p5HElbgLdy|+25XE_!@eERSI^}p&{ zqZw1j#5mHZTbRzh0*kczW2>E-BijXI$alsC!IDC{6xuC#Jz`@*kPkx>#3)_~t}%Lc zFzB3j-33v5MM_Z8SPmzm^S-zsCQQK-qKe0S+^Ny&h%9kNu?0)x7l>=*Q_)u3E;$aE zMq`8ecIuuGq-Ju#mLEJG78s#b1;xX2$t^f>#1}l=!bozBB(wQ>jg(gCh0h*8eyIXK zFXyPxOH-5LtcLc&CNh%e=j`ke4ka^+-wkqhMS+d0Mu}19Ek72ce&Uz@tY7=P0v=S7 zTZado4VUvo@!}yBiy~JjCc2;SU6pWBym{Tibe^5kvz#O~-ePJURo!V);~l3IKqsCe zHD17%7ge`C*pz~}M)BnB8lhu>;ZY|dA7PaNHL5)#Oa<|?hc%a*asK-41c5aPPea$13ovsOG->*r}qTQV1f?APlE)dMro1?Lo zt!Yq$I3dx&<|`MDm8Z8B~Ne+|PCu?-GJY=t84Va8INKN<0L^ zyM(WmSEfM1jD<;C4g2K+6*hAtw1Z)V#V+-vEp*Q7Tt`bGUln&Q&TtlmuX-q zPR&}}a*g3#;>)PNirxoMXz5^_dmMmW|uUseN50^ zZHC_{-yg6uVCEvO6Mdc>zF5zmZxAY*8F_FnHi#^H$$W><35xiDlBiu6TxY&T=*3}s z3V+YNRY9j;zTP7niM!*2(w$v!F#qnTxuOMK%l-)RO9ti|KNO=hSC+Ew*>LXc36)aPyGWz zl@T8RY*2O?vEsX)&JRc{BSrwgP%ao>m6ok?RMzc{>u;hxo`SV^e1Ru#1TNtl0XGS z>Xs$_C3%#xVbCp-nPkD=dO(;=ZQv`SdjVbowaG|EmOg+BPBtxxH*FNG6HQCczfIz2 z=W1OnkS>B{1#{{kdn)m>3GUF93u8@8QHK1bZ#~PfhA~6JvoIw+da}waN-bNIjblWD z@+t4)=Wcg7SLw=pXr*XjBmf-Mrf2j;o`;-h zqrmJfx!4*Rp7ku`qo4VE|H*rUk4gcj9>d?F#6)nS!`4Y444E>V$hLst3lagae=qqs z>TpBcY*dAT#E0F1z%vBbLP}l)MT48*euvOnB5b4rdwg7c5EI-2g;1~`cBU8182)a* zW7QC>9A+abvJuxPK8T+htd0U~95ySXsR%(n1OBZKz|O^T0SY0zd-*a2!?%hwxLyED z6i16C3x?mwERPuRSdsZzmP-TqA+kUtr2FmxN1tQy=E#J@Md_&uv4?OembvZkLd7nC zRZ`iq9V%CRu(U~okaVmxG@Kv%+f#iau)M_nuVSC!yjygM{pa7Jb9f-%^7H=wC;XwX zy9&g(-F0{w84qCEOpPP$h1K((05qwHow2IsUiHggL z8UH`-!4M^A`3j98onVIlc|xhxVM?K2F{A&xHO7l5s*c1-hUC7G|t&qx4Tk1RfGUMzU7JBD3 zpUOguk)wSZesCM9hWoXZ;Jy~6;Fu54AV#pDj8Z8&g6%F4IUIiEY|{a-^##-K!%^7| z+je7;NKgRe=!_(hB|1A{YEc+n!f8?*6iokB853pC^Tkv@yEKyXZqdb5-;JsMv>*Ml z|Nc*W)EnOL;4N>KZV3qw-Bf!F7-eo^=4cHfva4loDMZ#JcnAv0>EY*8_%uAL9r= zmG{OnA#vmG*lX>*gZTPOUs)jxDuoW{kL#a^^n4TUC&@YdLCh8%JQ3*uzd{yZs~FJQ zo3j1x;q2)NzrqM`)~w@+SZ}R;J4vQT{OX93P&_+$#1{a+`s+;3_?2N=l*AmPPEuR4 z?7O$}mIE3O`{z+gQS4%_P>u@ko6q=SF?buq-Ec_^7(|G`cNP;%``=Srviz4{BS9!@ z0@dr0GN~KzH3{O$nt0XF#97~3DJgJCd?Q9G#EzF%2xGe*%zJN;6CZ$Z2*@k!nci1~ZNk8ylKm!-9RG0 zv~=xa#jKjQSWqy#?b4gqLa?Z2j5-vJ3qX#f5M{-52T{FZ2r@Vy1|y4#YR3E@=`us~ z33{};Vo}X#C=`YZ=rJqX@@u>WMV55YEfx{qkHgqIimt=pFydj%7=#vPCD298PXb-E zQY%0Un7m~HjRxu9?w#+8m62X9poaj!`GoS&1Y3hJ(x>wafqTB-4kZ#ku7r}{dP_PR z#lNtc0eT4poX#)Xal9JtxE$#ZYoH5$E##h~x>c*V)ObT@rM-8qvn~x<_t&6hYUYGl z>Gl4lLF;xJwEo2>@BU41|L~Ui)tfQ2owq$eaB?nSww=56#9Y8^J16G?X4^SA?yuO+ zscC=3c7h#I8-O;jo}H1cVGRa-ndI6?2HeUbd&i80ZQ?Ho3m8)&umFlv4R;M|(8;8d zIHC_Fwe-~jpy{E6*|nJ-N?7jCZvf@Ht zutRRHe)n7OS~NtmFwBvzv%)V%X8FGsbcI9oc>CTQs^zYzIT)B;jbpC2R$!p2ChH8;{9%iV;ChY4#$Y0^)M#wcE3J8i zICZfxVL3Lq-fqcaW7q?0HU_;qy`+#e3@ir{-UC1^-<@VT@Bz#+^$SUht7~~wvXGgF z7H)4XMd=&m`xE1AG1{R1nzOaMYTjrT3I&XOhVJYXeb(EZ8dW`OR9m)@+gF`$MAyBU zg3p)1&)ubTo8xL7w^I737l>K=5b`pljBj{0OY+7yvm_yPSP8Aaj+j-9PKsI6%Ppk< zFiB0VtA+B-J67wL5cU$nzVzOAN%=;r8(Hl=RxLbbtpu>+{Th@YlTf55F`* zSWT~c2{qAt>&JOWM#i1ZNmY zJ&^DV;Q3}rIqh=oBnR=G#ZHNgYkBQFNG z)6FbEMLmalB#V?XT2`nE1<#^dn*Dq*QTTXu-_lqpP^~due0$Ti!?;__0gaE z{i{<6$;mi2hg6esYz}xQXRqbLe856ebhs)=~RJrg%v6Kd(Gt|-F55pl9znsTVYslFCU4b2~ly5(P0a;8%>8X zTlq9f%P@`;=`Pm7N_X+Rt@7K#27llloQM^8Y%Be?u%R70Spojy2S0GtDzIPt zU@8EA`SItx<2|?{s}6tpC2YU=+3yJn?7NJozUEyMu5>Ao^-pDL*NZ@!*;mV40rPuQrC+kM*Knwv&89PDi17NrB;EWPBYW(xQNp?9=VH+p4_+0EKJOM?YK-oNUE=rr z`Tyi!{+A;Et6kF$&{_}mb1lj}p#Q0F*%phg?U!kY(hj4F1XlDu>`K50DA9%}!C+}3 zGz7(>`-;Ji`C>GtX zu?i5Q{; zQp>@060NXP7y}=j8MK+y&imP3LNeQfUi!p9@wmDM>MrD73HukH31OMt1|vM#zI!z9 zs@Q^+?1c2r)@fYWahZ>?FS{Z9Jm!kTzfmF;>bT?Je-ZUxIw#IUUMD*z;31?p9x2>c zb%@d>iFS@+_;O6a5p}}Z}e>0y^DTx)ISLi zvwnR9QC7=43oJ;kT^jXp);N15jxtCNCAlmeZ3sU@;U0? zZklklHcD34 zgbo0h#;HWX4l@>ktqWRDil>5u7n8K#1IVD6!y&yv%r3+Jut5Pd?e_ja2E4Ljb{UXJ zFp5c#JoYPQmjM7hIk0JAH{`!dE1tB5EhJSV^iv~qzPQT0F39aJ3z?jh&8J$Lp4S;g z)TPx$D$%MuYHM1t%phbJ*5p)sBOz)&o{vj9zGsQvp2rf+AxF8@g+<||)kXS#^ZBJR zBd(vmWV#$WjM3_X9}8Ld1i2@F5&?DEOSZJKIkzTyp5ndO#`%i(VjHgs{9l_%^q>FB zf8`%evlq1J#3A?AfrEJl64RpAYSZ`X&^EeYkPtO&-gY6Aoh#6STWHBijkWuSw$WEa ztEzDe0a&h$o6o;Zl%17f-rg4Q)1|3KTP3U?~U>`u93FUPmS^2#&m%)+I~gR zb9U;+EQHu{z!*|mu7X(zqr)}R5a%u}SHUcV=B%FKqqOw~v(N@P{PM-;oA3x$yC_y| z`|F!g=#ogk{Y3itVXVCf*J#&EcD$0IzAD-AdAH~i#?JSq&Ix0G{I~2r>#s%_t2OhE zeCyT{%z7N|%AgZiFzf~bI@<*cOjGTGARr_T%II`&@oosxRJ$O?-zsn3VTsv)-HJ5T zE{IT*Ey`FChE+@LVnv$jCaBklgf6%%H0TULhOsV)nFhEt#=9T{>{q0zZh{LLcu2vu zLCUcrO|=W6&I}~;jCbLnXkZ+IseK9cfXkJ39RtlK#a8(t#Z>#6mYIBO&lXfw)4C=ziLu;B!+hV;6{<>=4jjXJCzK-<#!X5F6si&>vV zR{pA(^?A4GV%G1*tbfx-e9oW!Pfzm&Zy1Y`YZ6AL1bICvC8iaMAlh^LP(4TBfRZus zSJ+W}7=47IB?4cAY*LKyZqN2*n4%EO5je*h;nZjrTchf}yGELIJM1~oc(BwS5jfC< z{u*lpegJ8u2!e~VTQNuAM-XO8LL2jOR{e@40>^%m!rx5V0T(<(?&NKa7QXndSUpbtgzJO@Bcb_dR@C7s|g zQGs0fCicI#(keEO8(3w>OXFqV6OdEchnO$ZIUwDpIRU-#ztp@nuZK`p6kCe)qeBO`>+^kaJa#Tw;&U0)N7 zCx1Ffk|!w=d^b!m_T+&G(#~qkMF>@cJaF@!6LTq&9$$yAXj6A6@n!Vxww%nuU^Vux zpNIG@5ucqO5j~}byOj9eUy1L$Thv>L`|7>sd2POveuU<1F3*YHYaZ~d^E7?T%THc- zLs(kP;XBZ28U5*gUB1RmaH=3T*N{$j*V5AZifzpMSc5C|V-rLLu;G2c@x*r9uD-E% zsw9?{)>oXUh@E8?)xcF+pUzyK=DAQ4_sq=-@mIkAqT}UKt#b~5sSa2e!>6y-ncgWE)|LxC>ZkO?(^N1-gNRt5^JjIBNl%NWZ z5FKZ55GgI4G01|S9;eDd=OmL<4mxk25g8FAI3pNAqv56^WJ+0RP8_%b7s!MP=(aJ^ zK-&c?T!Ky{Fgeu`;VC<4x`4NUgOhw$wLE8v@T!1=c+)0$j`Qug3FVat``)1}od zh|(Y34t!9zuj^wO0p&7K*zHb{xi!S9X zA*yo6=6y0=vTH_a^V)fza5@sKh^iq}SgF&oM;fuq3Fe#uFa+fa2102x9PtyC>|rY( z!UV&?XhN;?u-fG#Ts?SE9IgOguAKcOprCd;xPo>2+*D)d9_S+Hf(zzCcmff;Tke6# z9ujQWhul5uGXA%_lx~w!(-lXTe%RaZhfVE4>E%XtF%48;vaxL5v3oINdD=Ty24}|3 zOg4VQU;Cav`Ry^SUSIP**?0#-q(LpN`hO&SnbmsCtr=0mS*;&dQtQDe?P%Oep|i4D z-$NluttVjEfyzpE9t(k>ks1q~ji_L$`ZB9^%){21Uzm)>%xUQescQYWvSi~xa<&po zVNIt2(;kbUI+~$Q&om9_YRUe=$%1@nOsxADv_mfJf4fCx)A#w`F`4)`N=@s#OSbVA zvyGBZyhozoyH{dw{1rd(TmQ}}B{o@ymc5@SgczwqE50e}7NEqaa-@^Hy!Tm&pTYPO0~ zEj(6eCVTE8v=_pLo5PiX7TEW>vYy!|fzocwllJ)|V&&ObI9VVZ5 zi!Lzv{9AMmO#Weuzxsn;6r=yf@su=ZX$TmUP-JfLb_6$QBCY7Ej{Y+&1l}o<)LIUX z67mkK$e~EedM`RsidkO1+J;&Hrj}%tYo=!b71@A|7_r%wY|c7iASs<3dUNc7sHUTo2PMR)7AXx=Tl z*rNHj=p0-0|9!*%_$S`?5pQ?{hKw*{Xx+D{MPgP^w@~oAUoag?Jka&L?J7JDH~@W$ z((t)kp=e1gM+j2c_=-rRuSLscf3rYW61^lQ0_cDer3Xos6HQ#Z`|6 z<9(hyYOxhR-Z-InEi|Q&q5V=Zi$#FSh-IuLB!TK;QWy_-uO zz&ojxaT{Q!<-R~N9?r!TW;*SWbVs`jQsDi`#YVO@om(37ca01yot<8kOYYbEm2gj@ zK(8D7d*)N|xIPuwyo;v-%lcEnk9~?co{Gn}@l?Fy+Q))XVr_c5Fk4X3B`-&qE|Qlf zsDE>FFscDPu~CMnx#Q$Cp>wq?#}T->?hkcz?1GQFzY`;H*O*%iMww{JJ#vn1KEA8_ z!vWdLTyL)M>c#Pxk_%;;kMF)e4k*V1R>*aK>-7~$Xwvob2TH%+dhmngwN+|*fhL8@JE!|IT3S=}8(A{hi zLKE~+lBeX_fBBM~*+N-lBPTh0@j{r-$;Jz2@%#h2-i=&Mnvv8{KCIGSN5?`A%C)??6g6)0{-fxjy513QL zyJ|Sm@j#r2Yc2*U>(WgySx-}n1t$w?1fce_Pgm6B8-&Q=#uYUVRjErpY*J`Gy?juq zoRqeI@v@oR)vrr$rp9=w$g@*x(>2;_<9ssVJrg(Czp~H4&idkWNN+*1`M2F`&^uY^ zmM_c#GCnJxU!I?E(u4^hd>)S6EXY>xq{(*j`6{%fKTkL*@cH1Dz25G`P&CgErQ-jszwINw>>Ey1;@m+w;Z*T=R{TK+eWILj zvRFDR{>fVDbnjspjA?PKR5~mE+o+UInySDU9roTdn|#3$8$9 z)%&T4#=}_PX~5e>2@T-&KD31gRw5O#M@eg_eQH`mNghLMFh5H514F>Nx8uOo4xYb};4L8qsRXbBUW5=(pM4<$AoctWYr z5zb2G!QJbuKmSWU{8#?7sI1ZJ>`S00X_RuxZZZ>>oz=-qT-I4ecMI1N0Bzrgl6|55 zYO6lz7F4pmSDSU#ez$Q!kV17nXx3S%d#0ZZFK`X?w-d}d3vE1@#U_H(a@CUc-F(LH)aEc@@p6O7mK_d)@7B&Ba_Nm89_bXrTtefR^4`o~( zRJA0bn}zM}-h9Rj8`Omj>ZSL-OVG!LbkX$}D6)ZSB|;eDWIW(mXM_6MZ~h-X^jCgb zOP68@_-gxtvq9+=Xz!IJk**h@2Vq*WU6w9&L1Z+whY}6}vQNbELy&%e3xXA&IZLbv zg9qbP1gRLBAgJfXb{&u#tEWDh#!`dQQ?-YAilR|5PwwwGLl*9S-Zip&aQIDR)O3#X zu=|tee;+*DU+q%r;&^q)?l8TYq;cVBlz0Iqs<8QqBU0r{VnV`;G^ zq2c@J1FZpPMIXC59S*@qfjl&q5quJ|9gv0ig6(4Dr)lOzjPv={#U9eT^6uv?Pc4&! z2O<(*@#*jUq5nIeXw3<`cW)VD0d3(xvIt@|$U3J~@^ic0mSYSdxWjubo@OMS`BwXi z=yHZ9inkijEM9NAxgp56+6DJmP*1%%SSL>i(h+b$^cv~wk7|fzpBd*JbwNygAg2zl zaqWE4u_AA_3-0!u_{3{mEUdvj1XH4)Gc5S6rL1C5(IMWwF9}jw=rED=%EnkyUMiPt z+;$-B!S|{^ka+hlxj�U)J1sY?0Q?cjvI=P2t%$2Wy{7lI8ZS4;(iQNrX84eUwnY zoqdav1zXeFMbnz)1_26^vx9tlP^BVHoUaMMUOVwom(Z2coYdshr>YmP9}BJfL#ONZ zy0mWUzl}x~y^2@Sc?h-2I;&2^I*)gbfrEV8Pawii_V4by62jUvb23?o*@G#htCHAE4a&f&3L=GisDBRn+`TrH`w;jo1ul}r=d1D00LZqK}25#$5W1d+BA zZFE?z0FG2asu&lHp-VdyHFbit0WNr0V?rXe769+TkYU<@Cb&3kMQI(6Ya5YdyYQ`P z16&ZZnP`6Sl&=?@C{VtP*nlR9Dl04rNwA^Ri=40BQ1IsZjWt)QDIR5NZAiF=d-S9r zDUY7C>>NHm7IotbxWdEH5NhhhH4^`J+UHhfVr`x&DK&Rv{V#L8tc$ZB^{V+3$K{d0 zRsH!1&o)WiM=@B3#g0kvDoMTOlE>|qQ!fyCV4en=2C8Iwl5uuUk;LP400voaDXud; z%2&I^p3|*2;$&zvW2fUcO3(7uVSUsQ6{MS2&@M@)hxuxEMB18bf{xBiaFR?<^VJ^U zEhO>iflg3^t|XZr=d1k=32u`-)ZcUdLyG!4C#7i*DgG_Tyu#UO=s!bSn)B*rjy%YD_NqK z6ECo=cyH1dK2f5FAFM3bRqSa6US6*AL{Qg;=R1CImgtq(Ppab5^~-j;eq3*ETR{aL z>frJTB_52}an0>GezUk*=Mu&B{%TXcKLpC}C)??GDJe|Xf4th1(0julC|$p7$MIdo zqCQ^DbwR-)4sM&+yIDO4Tm^~{+&$R40k6JqZm^fI3p)0>cet6C+LV<`R;rcYwL&pZ zk!#Uj)9Fpn3)@b~wFQTW+NB=Q3kNUtfG@uMh#>W|S*1x3<`?|ZU-H9W9%UhFlLrns zxc&sUK(>zzam5`;ehZWpM|smzU(?g9g2`ux}YH2T-@5*MefaA4f ztmSCIM!SP0QxpcUBDb^4KJ2ABfjNwU0>Al7fAKH;HKCIfT^InvtvhaaKvY#xO6nv7 zB~o|X2#lMNNBr2@9k*X@5h>Lbsgr!g)*Xj#abT5Iu;7lnBcdXx8eo~mQ)g=+NJn^pcLDHC&uJ_z(G+b}S6IqQ`f&!G66{lRb!zr&JlKwcZWYB!d-Tp{E z#1?+bD1k*hMMy&I?s9%PnXPx?#eeE@vU$so>6M57^k;wX-wwSpUQRYiYfQaj)pE!) zp$U^NjE+&4Sk>zAo_`zlN)ud9&>B;(xF9B+@2v*_Gt5P=*e<9G>Xjyl84iN@p{v3a zPzX}5xZosNu|$v7b}`9SEYFY$PFCNlNi)fb^4s%unz&H~zIjcL?{jVDTF$pGRCI5J z5_-Lk@0;P6QEmC#dqRPZX}E9s>hJ!PZ#=2trkb|0hMQD{S;HNsda;UzL$oKk_c7x3 ztlfL6NGZ=BC=3RincLPdi=`B@N zo+7!o$j|*Z4LCigOPYdk;`Gw6&xQSOh>U%r6cQq?r24;5TH=HyC+AY*-$AYC)cAux zQ;=wLCK%nJVFxhK-U#>xVPP} zkf>!UZnfyhPzvD(>g(5BW!`7O~P`w9?3mEjJEk4l)6>Tz}eIe zgCxlZ&m|EAgS~@SIdbw+2ZWYhtJ&G0%BcI|5@7k7ady;R*3kK+a#hXt=!w-z`esf+ z`@bdb5Bn$Zt@}>T?mdz0Ui;TUt&1y1|2w7{{b*pZghIUGp!6Vzy0k?PdFq&LBlmMCJkn{KH<5L>I&*= zf`NvH*)Ha4zh06sn`^E)^`+F~^$Lf?{)7dO12%}71t`3;Ya$?bD; z)m^7GGSMY8+p0!4jRs`6ag=zu#tiwJBwS8`}GPyaX-n_%3y?kC)?e57+W* z`Q}^Ryh-{J*>IE?9-x+%Xo6sJ9KU^PT*p$I+_=ugwi(9boE%)5uil-k#HcMR-m=}1 z6`-T&k-(5t<&<1o@RB|UgU*##9aP?(OZxnoPoICnANkWCwK~GBwLK zL&`T9WlI-BU5Qr(aFJ6#xu>zUDF=1|TyB$8;n@OQE^WP+w%(r_ZN2aK_>cds-y7MA zYiiG0PX}t@VZlmW7mcUYnXp>)`XV)Y|4s0KY4Hrt!A&vfm!R=%f@px#+WIwU&$?67 zc)H+ZH5(m&7eu8BfD0PWC1^{;c-k&xcxgPFpi}5>K?9-?LI~1$x*$dc5PC!Gx7m|m zqx?Jq{DmYi8)UOO;%hmL)mcCZfw>tV~qKe+*7zAuAI?0Ci zG8ya^)3G++P(#-($j=2f^9TDOwvgHIz_vu9uf;O9?bUbvtRIcoK!Lrd&TB;P@N&KC zlM4w`B#^1S!(&;91SzK8;ni`Fg`j#fC=#%gw+^o`Dq6Hwpp+%Afs6}cgDsLYn?c)h z!Z6VPjD}(AK@{;}Kx@TPsown5hmDl~qBQ^wdp+p-y2@)9I7>|{0BQT6F@~eCvs;Dr zFbrShLQQ`^!I;=<;aGB;SLp`D-eMZrlq~R3t?2W=NF(>$3l~!J4#k`%HCJv42lk*{ z)7y?BVf?y@g&V?XbUr_gY;R^@fAgw_FHz0?MK$woQJ;!`a8I|0=QUbx{RlPQbU=BS zhS)FE3O9DSj|3a6d*}Yf``A{AO^+E^sj+UPB!a^uXuofR+U-ZtJV*1Qu;r!;e~(gtE) zFKz4~D!tdWW#jSQYRqiwz5TWEa#Q`-NR)Lk{A4Xj`BE|TDSdd3;eSZe4j=sN3bA>1 zV^AS>Pj-XnZ9_K9gv_-YJXW%4+M6pTq#ZjsY~#fbrl}b(elX42c=_??yyHE%BFj;| z{1Ucb{OtFHgjl%;D&`5k5h-5G?53)Dx9DQp?`CY68sdoNlnU`_1BqjS7z;+$ z5UCjHh+woatqG!r%-U~vsFSLv&Vc0}{9a<(w;(K2OX#@HNlU0EWh?+zi=wR_`nkrx zowdsLk)QPtr^m7_Gf%SR5wC4rZ!r6nyAyYtrwtw_l1B9iiYZT!9CkBC(Wq6-#a)jW z73#rsy|CBkG@-GvELS_BQt;MWWzRQv_IzFwd_)Dyz4yO<#EakkY5(faXa&()E8xJP zRSv*2mQqYi)In)r-z-Ko(*(iHgI5@W8*prkk7~P+bD)}WK_gJbgGJsSdDlabYQ_a8 z`O$Mx!6Zq!6hwKM!W2n4)l56}6i0b3DnQ*Qq9BGtV-)2qi1sC?Dasi^xFlB6YHa+m zT2b4DU$W4iqX^x|%#RB+lQ4ovlKJkmA03(A3&6657lwnsU z5j(o%O;&iSICILz{>3YtpJ9}7B-pr+o}b6-B(P$XPL7hEr<6{5uK_wrVXdchy3M&6 z9(&BsRn(Wb}!M{hN&6q z2iPtMN?am`p{gAn8E71VAgC(MmDgS7F!+i#tOO_>!VN1@Xo3=#*oqjKM`Mf?mq(Od z(8$H9rI5k|$)?g7UY9WP50u?k#0Z8kT0y+9sZc$GlLD6Bq0qJKanQzW+$(hP(zT34w{UTK$$Wz_&yWp3xK1M2 zy=1vV3S8ql!4O`bqO;tU@KElm)I^<`bE8B(%JZVORbtCAz_be#yG?%A1e7{L<$sYO z?PgOc@lVDL`@Yi)oihCQ)^<_pl#wA#8UW4;x_+21u*M1q3(|6KqpiKT7kA|Y@B6&> ztHBe9)iKaIm-tnIQRm&Fi@S0+?#d^9!}5pU(hq)c&Ot@EG0tVVBsxk$S25gp6>XQf zD=vsZXdnfrL0m|$XAz`5biw0l2UmesZq1%gzTB}Q&7ljT!IOi4NkF@_{RJ}^lX?U{ee!B?uvi& zEzYVSH>YeC$&+q?7*+3DrEL^*ssB36kg5r`I;la~X=iD55#Sc~;gwqW^cdcNuD5+9 zWivA<(ypgld5(-OJw82W1hBYdN1vVSXli;awJJA;i|vIu;WhLoi4?B?)sKJ7OEb#o zcVsI=kL}4adW-(R^}6eGND^M4z+?+zKC8E%QAR(N=aS&UR@^NJfr=)VsW;F+otY}PC3cu91S%`Cf25Oid*^$PsA;kGWtsyJ+;PD zsJAcvTmS4|`GgN|*)Vn8uLrkKTHa$F89oVcYa=r_3LccvlK{8&8xH>~f*CvsaBGb* zznx&lP8zs{{Fx}DgTqyp=iTVQDGzqfdc7G=SecW?{k{yhdK^gu2d;1=+u!FA@_)7Wh=d2xU}>f95D z>l(O)=|O|*%r_{&tpkWPyPs3i9_>0E+ycjxB9r zW`g7f2$~@X?*AU=&U$FS+bc`~dtseSrX--$vzef)s(1#7v3li_wGh($D9IwIfR4^S ztCGzG%DADWL~uE2CbkPC6$DghEK_lf*-W6s3$0~zzz@H_ zEM@}JoH%!qYhvDJuT*mm1R%;zioTIB;P8lg_I!81#HAw~4%?-bhx^%2s$WrU4l3w| zadd_&<;p$2!Wv?lNoTpNc#l1xwa6&B)@R6JNH-PIbPBuRJGuk(o1mcDxU zy|f4@B$aVn>x-}8FB+XZ5?hL>B+2wxU!nSkEs8ihQh)XA^B(KqS#6K5Fs$aS>|c^# zmHYg^cxL32JbPHvA8US$hqMzeNBCn+M%6gN)@zS7`BZQGSWhvlb_FOppF3Bq@EOgW zZaoz%=*|nX=BWYPH=QEdGrNLb^DJgp88{xj5@dEQ%%(CXGkxKHY^J>3tzt!|j;7^g z$Y}cg21KtN6)Tx$ORvV7FIzspynOA1H=ZKeGrMAnX!-u9m|bzbc>p_^T@gI)k!q(N zeDG!+_Zd@AWjjiI@Pk1^w6CL$l+KCpP=BqqiM^nW_2Omj1uLv?f_F9PIuNabGuDO4 zyDAj2ty)M4XWPmkgiR!?1^&3Os$Sbui8-06-SVZ!(M%~6vr^IBIxe~O{`dK;QE3e& zuRut)f}UJiEnLt%q!|T5y9N9Wx@RW}giymn_69@|M;S&@Dr)E+`Ki%y#j0L5WFFWsR0OG@ zn;?pv5b02GK^FQVNav^tE|70BL9}pILGk%r5)h_V|H6yH57kKqg#rqMm`9Q|!R@MU zsV%}df)1>&8Il-JKaiw}bIAk#Cobf1$Dg1(d%itz2U$(c%sc1Pcaqe%z9yLb6`chC zz737_>pbbi(GLqhY8EYn#s_VzgrkE`hRpaXX*gl?2uaGMewh~`#|1pbK6efMugZFM zCdtlZ#@FL#mml>L3?)sI401n#P>YhoWg#6w@t|Y?L-IgmJDKd>Wt6$@>4d@>myfS5 zle(C3evBGFZ;m}0#Bz5ooCGi><@3`m$mbU~p}8EADU7e9KaV>GZg)$t!;Qc; z{M+-pSM7m57dR}Q$T+K>Bx2?*--BKRZ2sZ5|Hl99zlmlPU8t|_#8fXh_M9~Rm?SM3cmVq8n580O_X62yc8mYX|!qBTG-bwrFP&0cS_<<;w zDdwVTv+_oN1M@3balI$JQUtT|KCTul+9ariu)Pz^$~($H(G@CwuV0k|Hhz&?gusaE zp%hWJHIU588+mf=h&`@{#j2h1Ms0+yc`)8nI~~uS?+zMVpovu_vm>^Ab7@NV>jVJB zVM4gh)doR(CzTFbAWov>v*xb&W6DLh-SmCm@za0cC!>r+QQ|(`giH>JAjrJ|d(lDZ;*1)vMNCjd zjzo(e0(Tam$^>_9Ml>>5Y92$7*3q^QNFLZIic@2#G%Q(3<%l2Zlp1ZAG@Oz?F_4gX z0P;RedW|)^6$KmgF9Sj&d}~7ZwB_~G36}@2I+Y#;_lW*F!IEx@Y0`)+2RVs^%cB^E zsR&u~U=@t<1Bv(IzfiN_nBPjJNOBmLhCXYX4XU7q8YNNsAkDMGFhc3r9a0)d7X z)82hFsESY1Am_R{BeG)|iEEM*_T^G>@+wxGm?}GQi!Ls}{9ANR#mT?+N56dc!zWq( z_G^@T5>#FuR|;T6XAxZh+d>3DDtsaxp!w5qHU#McgdoXgDTuxzjZs68E`SRHO19zv z6sh(rQeQMd&;{zLQOnT_(gkqA0|IRcq{;ZvpPDX!3j!eqGZ-!A0&`Qvw%RTr19Smg z(E6z;h*7MapjMK4)0YO^00zKtte#ISsg4!(r1Ud| z(gXUy9`Z%|R>{FD2UNt~J>=O{^24f~#rHQrkP(Ydg9YL$MzDzj>}`+r39UXbyff^&Ahz?C?~Mi#+pmAikN>@|3WcZEr;m9s z3rXkx9WjJifyQvabIgM}IC|=+Gg_~q`Kte2VxA5AaiC0gOT+=4V2*kAE6iqA!G)F1 z$ElNp^c-5Tl(8Hm-H9p}*T2L(h}$HQg`tSMJ}%2K5BsVFwnRhFuD`|{^K7XashqYz zEo+6*N#(>BSYGV%LDj}dq)@dGQcWtKV+#A1VFKwoE#ms7T}LOF_g^OyhfpGQg^t7y zco_2#Wz|zzFLkf!U_c077Mg=r8zRni!qj?ayVq-=RlQfehU+bu=50cnqw4X;wZNpDYG+pojnB^zL9v!CJ8zLoi~BLC$f~3he({8_Kh5#FcrhA5PBd{XJLE~Jo&Pbxh|X{Hx* z<_w4tW6=4G>H{X-E(wvO+jUx8Bv_b8$E^-yaMxu5eW5Dc!eUHL6{?C;8`n=Xrxa=; z167?=aZJK19myAklZs!94>8L4@i;Gca|R4h!}~jau9JF65Zzyb$W+mZThtrWOM>Wj z5=1}t7eDUm`+|JoM+OVRiu1ZnhK5JpkCaUj3wPfeTWf~bR0D$gC7e$|S{ic#JI2Yt0*Z6e|{ zboyvi<$@u6vZ;&SYdQqz7BgM&jpXm*!NcR# zA?5txH6g%BCP|R5WW#!726GmQ2@@cq%ID(tK|Cb5M?N2)jC{O=)nP(z8V})mK?K!m z9EkH26DFlK`TW&{RSTv+ju*%DLP`(fV{RrK;r!x%ARQ2K3wWuVHS2klGtqjA5jR#x_BYrqgn z(Cy&`HZ8mge)9yCeiJt{loYSU>EYg zV@5XqjZ)L--ety7srM6emTlpP^-FaD1Y9DVgD3G+=FT47i@AG-xu{jKow!98b9Xo9 z?kB$Ki+|nkJXP=4nh@@vUIM)bM^pvOpqH2$c$ITslk={`Keu|n$#GYryu5gEa@tj% zwFT%xqu9}DSCYi_liy(4)xg%uKV)hN)aKEC>A#=uzxS&9b__eV!W70Qv#(mCAJXW{ z(o;Qs&sLnn3~wKMMhw~ql^P}HsF03VwnTjxcuDtX(<|xzRFcPE&-2d@*&K#Uk%gr* za`l3;&dZ5iqR{y||JGTb=G~%;S)PB3&Z)usGe76sf5(r8Sysqs=hOe0x} zWHL}xt8Z(`(wtd*O|Us0(Z;UDjA(3Egx8V`2);||_n`4SKuUC3PNsejU~?D81yicf zq1dHVb7H;~?4weWGcQu|^}MiJt?#LnD($ELr&jrfiBw4(@_?wXZ<$SVEa zQ-II&GItlVKi{U@y4jz1i!Nq={w+Gk?EmDq{MZX`ii$7w!QAYdN5=XjcF~jU(YgL@ zmnBzSu$6{^qS#WQA(*^)G?#HACRbc92ZE9^^GLT9!2&Z@+&fmJg7s5J5iGc8`d+yl zjf3f!a5j>w3qh%2{9?r%je}!AsbscCFr|o<48a_YZ(&3QAm6U{gXToZv9*gt?}AB^ z_nC$_mK3w^lKVZNZ(ijV@O)obTe|N+1ZY#L(akX3eYZgpLDmG@fF`Qb*~{%&9ZeE> zhkBhia1hiaTYYWctfxC~Tz94Z#XUCyxKrTHf^Q>-dKrCv9ursOBtCZlr@1TR-EhsFaJiUTO>;{GN@})e;nwYi(%xp4W`Kp zk1Q@{m?IMxMd_5S9+<|`y?Dg|>4IGxQ6kru4u}ioc~v$o0tZ4N1pJ=95JHg?OYeb` zP7561N*T*uJV07BZ<3hkX^eQNF|W7L=$HKLcEjP8t=Nq!$cZjO+$KG~PSlKN2#uS4 zd4+Vz_?jSU#yn9zM1$`>{~-daaC(Ru|8YDTASIsp5GnUD@1HtT^&!I2_Jkf^ z!W&<#q2MXWn)dh#`U&>Qnlq_&PCr3UG6{DKRg>9$;DeFQKO|XPW^V}Y+gaY2BB9N= zYxhR8#@GZ4#rZ7@^YDgC)@VN7aO+v4dAH~S3C+Jn=VXok!&g4$?SJkRe@WDEZk9G$ z*zQ`FH3Bs=LwYiKfPA^jij3P+ko@-vj8S{KTdcr%Qu8e1_7tSf{fguE^oX%y-HPM( z6a^c-;J7^nkfGiG@$;0dQDRL*-mIMaBtY;}$(}k&;D3>Rx!BX!ustn7?gVrG)7b*X z1uuX5ANY-L4?U)Ra1L$j7WiE@Bz+^|NG5dwd2N^JF&DHtZ}MI(jZ!uQsmGci=Cgy& z1A;3I3hY*-9&zeyeQ#~;4%aWmRG4=8Gt*K(L~db;+` z)sZXCXz!d#c2UPzo`U?>*Co52e;aj76U00T6t_xyC%D{lR_yt=QOCI8{;=VEA;Q1t z^elq2bxeEj+XsDlXZM@*dPNVfIS$Wo$UcGuJjgb#pE};J9J*}m6QzdQ(iHt><>wdcNzE9zOXCF-cIXdmU=GT3=Ljabgsk zISvOat+ZX{QoA6g+Ob%Z6oN8*FLf#yn}PAk(VG<~eZOCk&7cTMwTKJ0K9WWo8mAU> z=YoqBNAJ;qZaMd_tSIKr1P=?&fu`VQNaH8w&IIul%p{|>rN2f(1OBa1QNR&XSaH8I zR3ffXt0CHwHg^vtEtsNIFS7KJ>@coNLy05jS9g-6Qu4D$Zwd-02E8e{k0g3iP1Tl}mNRc{8YbT5Z0*tpLYBIKTYV>P`Jse2D5(Bul&#RptsFo+8^|>)lo4GH?0b z95QnGj9>I`|ML$9I-+*f(GmCI572qge!wzNr`J3CC2~0|bjCfp+&P&i)yh?3njI*o z5fu>hSlIMfI~k0Fs0yvPLO@P3RN}^{*653|O5}n|)1i47S=iTi<;VrN3}^i?a@m2` zx?W?BTz06=HwK$^SDZLYOQ{aY6ReRw5-DZM(oE*8mhV>0n? zluVI}yT<+(Fzh9Ax$Vd$b;py$!C#PvTHfY5X$HE%P{j|wGZkcYmhFic4VuPO(EGmP zCqCudLIo+(>)xc{zTkc|c4QQUvZR;=Y}!85C7STka*hYOjvAG42B``zi&9%4g5Z{>2%!tg5*ke;pkN1@eEPq~cTF z&(E=E>=PxDax)Y-!!nl~(-h#$4h0tr-x9 z;2u+wD7e6^rcO`-VwXhP3fCsz=Im+0qH~_4+A~*U)B*bW=BTo+gJQH$HhSJM9^H_M zPjl3lo}}il-1uHJ7@eeNuzgkgj6f$JWEVM&4ipp09PQ+V*S zdqprTDK25R>%;u}05oAC?r+0}yp}@xdmfm`Qx=WJy`Hi@F!u+#NU{29gXq{g*r}u&RU&;Qdv$ zfV5`5Irt$FS1`cX>~{TSO7X6*iC;#W_fWk%;PaP8JC{7{cvoc2Ejv%kuP%*tF4>^v z{c6RQyegS*5N;$c4{Po*qNjfMS%Hw+-za?1?O{BmmVa%|#i^J`e1P8k>(W4@?L&4f z#%0H+6zin`i?$E1v^XkUkFifY2jV01DwZSOMOR|3CF7|?ye}7FM_eg_M@{)TvjmkO zFgnBtiIfgA2=MVzg#N9FT!;Lo<55LkYU&|SkLPx@gaH;t4SYHt9e<_6 z?8KNFX(@~4^yi71c2vke4a#gU!MWbW3_~`TB@~02qcnac)`R_n- z^(A*?LgS+bc3^CvsDIF+@c!Z*4?(%lPItOI>ZsN+EGR=fG5>vJx}w~9TQOR0MoNS; z#1kzyBPGHa;%N$t951T=<1{AStDG^Fp=*uB zJ9-`C3Tn>EaW+#+6G0E2#r&4S`e0;hR!cNoNlOg)-r$KNAdOC0u{HI8tQH2H%CDzU zh)9hkE@7xt{JJYB1)m)Pl}?ZB+}T+6e4o%NgOF&h(wevYn9};2-}D84{da{cstfhF z^l>XQ8wfXZ+H=)KxuGya~Q*nqFwld7-BS-%bJzeZntLf0&wZo z(k2MSidku)0*@XUR@^QzjIdr~R$7?nhY~%yS*vw7ZdHr={2F&_5E_UehRmw(6sOKg zYrB^zM{N7p3XJ6#3D*f)Gj%Xs@}%3SO3_sC#Jp@#anx=}N1w}@ zrRPcwk*as+Igz1bcq zNjJk?-M^l6^Bdp#Id6Pp=w?lgI^b@JQqbzHI6WFg21|g?f+%|qwc{%~fL4zR@_1OY zZtB{yg(!sBf@r7{5Vk!wmJTt53#>(QhxBOj@Xa6JAF?Ixe*s5o8jUL4yAi4Gi|fr4K#ccG)BK6Cv}(dbm-1b0HD3?m)dM{^-*vTT`L0~o zdCQNvuDjp;(ckzhl6sU1O?O?r26PKlO{%(3UOM0>&n2L{{T|#}P-cfM-fwZZygsfL z=)xT%OozlJus3xJLr~PCm5S~$WK3K*5T6?M7J{N4T@XXXRXw6JrC(9hqYFaO3O+fU zAZ`69CWx;J;tzOw=tmh`qo_v{gm=Be&x5B7)UfI`$2F>Y^d*mo0(42x&33;}DbU@6 zC=8e**IZzfDP7mJrl?0-^SIbD3cyc^lDff~`R?drj4uR|A>*6%HBTzi&d2PEX`4<| z*YOl|sZyJB%Q>1tb?s~aa0k;}94YAkqIwO^8GgaW*rkDdaxMoP8yBMY>|E>5>wLljG3nz4XM zX6y`LP7+>Acwo5XB;j?63{dqoL3mAt>R)D(@HzvSNXT5zcb&0-X}ar7E;LPdoj@pD z?G)X$1aOW8-+=DAsY!lNH5YlFgYjHL;}7c%Jo4&@7w;O0JcJ8~eNal$_w&(Iw-sA+;!cVZi)&wEpVpjsYRDD( z?W|}MgR#16tg+9YtuL(ZTv}m>T@}Df8v0{mR z!0N;lDIRQ(TGVxN!%7O!RWY0Vt_9F9v&!D8%#6iEM@3vAzhbTltY9s<=p-I^z3 zAGOj}391{nIpj)LO!#}4+W3^s^K~X#7aA+tkUfw0UKX;?Wg+z()5RN?*yp_B5lI54 z;tl%O2}}an^gYHt7sGykhTYW83E%5t*ymU8oODZm&WDX5gGNYbHVG#w~Hg+#>? zP|zwAgC;;85{wEeAsrqHS`~$W62EUe{xQZs=U#KIefHk>+}o?GZtpeE8uOoX&Bu6r z;~QhJJpnA-Tn1wmK^k@!gxzQ21!fC_A2mZ0D^f?eU{qtEG@=)zVRym90;LcFEFwRp zd8t^DhP?^S1$c#FXa6!u(1aijy9*Lh)=Um)ti7NZ_K@^)3{23{oKGw%hTSEBX=-r^ z`k1k8V!1%lywxQEsdCyDaz04SX?7^BNw>=-qr`*!sIunChF#60*58UbR7={Com9%w zLy!BQJk0V5Zeu(vvKwb8F@QZZ&6pE_48TlIfdmc`hhuiIZ$a zaKovfnWz!+PRV0Sj>rVDJBBMz5Q?|YIZK>mg2p#z%6UCVc;^)Qe$9@ua_IShI3RJ7 z|7y%Zk~|hPzp1JCF*SuQ#8Uq3wbU=CUo)xDT4XTs!L^g>xkp!CYl|5`O|RjjCulJ9 zMVp*)KilWJnp^)GYOOoU<852-3Z%|Zn0^-c-goi8AvE@hQYl~=Adw(sCCb4J0Vt;^ z;>x0+xhHRw5kLs~b%rB4@nc9-eMU8|)cZQyplk%WKdcFQM*m1jF4=DL3@IXJ3Gwg| z_3774&-0AM;qsV*LAJNtpB|1Bv-CjE;ASeKj&>UyoSB{#NTw%x1|Np#1ZZmu@SqeT zh&9tAjic}IB+FGoTBct!J<~JPj@UE3Mq}k7c{figrVII#%8yo^z>l5+96qU>U-Yi@ zu*GvEj~%}5pH%)+TW{n^#qn%%AdDU=g&k9)gK)liSOP}}6{5_FZ&f}Zx8wZR zbD8(k63Lh>v@X9N0%d>MPW#KKq`3YV3A8SwtJc(g>G-l8`{RP;(@{=i%gbVr5_WEz z_;yW-8m1Gyu)}bo)ohJ0$2Zsb7R6?;mD?Bg4<72K(!C*!&AimQtX#a8HkYOik$H!e z(&JK~uEgJ(;Q*T{xwhcgq#G{Hxi~T;M>kL_+D_)!kQ_?4p_;mZU0>@%avq#~SLiOD za#zOXj@}jSav5DT*T;*4nCOas?63R&kAHh08wxXBpR!nc8ydr-4B4#8Y>HQn6RGdtuDdYoNcmzFn>uE5aiBfKv0TucxiyE@Kbig~v#{R-z;Qx>QUpyRSf zNrE{;O;G$6KQxLn%@yC<5b^lc;rMeE3s?Ry;7HYDrG_cS-qes z#+Gx?eM=BU~lB_{KD3H zjd zz&kXkV||yVR6{UuWO{+38(FuKO}*+Uz?_G#UKiXSh#G?&{WCc4@F_Dn2K(Y~MAOCSHCAOF*#B9+kO z4yn~be5?uId+2}j-D8ewfqLxQhIyw^+R>v@=_fa3vi z2?8D<7B=CZs=K;*VEw!Oxn%$D_ARG#m(3FtDbXK)b0!oiMYAHUc*_wzZ6`ai{Ft8p zE1Uo6qf!~H+LN9Vfg!=Hv;@$%lsYfqu_{P~&d}7h&`011(;-}0z>%%*)=6U1fxN^ViSrPRaIT} zmg=_an_24j*`qyMo$7JNs1DaDMxL_&HzuPeHBNDG_tpOb2e`PgPmdd$Amh7mW2crM zQ;)y>@M~vZ5^ij~*6{}3MWmma3GNSl03YfR>S6;rHBVpyy4{s|h2Rvls=EUnaBb&*^$VXY6YBd4c!7L3iVckEN?+0c zj>*KoQ7YAUjbH*7zS!-9s;Y7TS>F<PRX4&yaZl99 zGSQF)lOV0JxqW4xs0;2}Llz`Slpz~}^hBFrn@qEm@)LM@AxKr*1b65KsN~!or;!yw zs#+Ipom-%~ZOU$}NLA~C(YXbkMtdR~RjmtZ`hqSiu!_D74OMLuL_-3cBUwl8iN>Kd zg{9;kc#Y^(Ba&;(#pr%bW=BE8uF<@JYc@~R*PK*~s*a}HRjEo-Pg4|*Yb5>+4J4m* zO=O$wL$n~(RZffByF~{F9XW8fi>bq24JO}GdIbg%Lpubdl#9^75)ngN2BaM@GomnW zG;R&%jBKZ{w(lqan4mCk`W~vX9s9E-N@;euKW}6Ma!TkS6X%b1S`$I zIiL(kK>eZQq}gxAlONP7cVUp)?rXbU=+~YZ4RY^kNz>*BgvGXh8U|A#5}$b1`M>yP z>=Px2VG(XmOO;;G`rAkA&-VlDIluS;?|=ErUeWqW;iEc@6&sJ@tM^`g>D%57p5=@0 zz23;&`rwq)ZqdaLxSQ(OuYbqi{OUhH)nXr$IZ)DpRM2F%YIL#^`V%-!Hk2eRO*S-a zTZ){>cyH?zdO*MMQ65L{bRLo505i^y);^#5jrEA>_7t`b9k!&_z=n{5b zdhB-<9@3XO#mP(*rcJtnDVr^gQd2fNd5S<0)3@7G^2<+m`ugWS?xX(Lzx*++1Xa;T zk6jFlL~2;DE)XoynO$9O`>Ld`c};zg(n9L*3xAM0%U5jK6lf5zw)vXJia9?+1W;Ac z5>+vsV9w9P93>QY_JTP-0|F0%0VuZ}7>w${$Dwn6X1ChwbRtZTGA$T_IX{C(g&cLL zOO)UDKc?ho8VeKyV2z_5OP2gh3}#xp_D_<0B5hMh?3&40?QE)nOP;7PALU_;qUD;p zQ~mbnu@~n*x*Ey4u(;LuJ6h+O)U4DE`YIExcwDSyvr#YDfs-Y$1%QREucTjONTw%yhVI)&cSB@6NUWttdxl7p)zyIH7OT0I zA*40avpqwUt(Bvg4lz7>dbnp8#={~A@R7B-M0u}d;*tL%JgekK;GsotiNQmg9`D&^ zxm`-32&*Of^AyeMYvS120T@Ze!J1N5*d!q+EaxryQ1luFDz-h|xbkb1>he6%W^!=! zlzznZ-0?i|+u=FYXAKs4 z=_pNfq$3*k#aECp zAQ@*c;Y*GlQ5#A%lX25N{9#;s>U=*22Ar?b-9o(dd_Z|_nsJ1~4NgKBS90(10ETfD zk38+)VIOeA>zD;NYsI+|KRxe10+xhv*2@#tSbTSXLJ5}OYBuu=;Ttg!Y`sw4r?FeF zwI0$~f|dW80dJZ;o1!p8wQOKq`?oF^W(Jy>DQ7yqZ)rX+3O_t4Xc=cl+j@|}AZ*hpT`DaoO8`f`5n z|MPV}_(dPpP&|EK9L2M@2gb=W518)QTd{0ucZ(g1;h0ch?42?A%0RH@49sOX*5R+d zHj?v0$DGUs##VMK(&Ki)C_h9!b$^c8(gF!#%{NmNivjr|TiVtS0~K@Z%gIQzRpDhz zyTdqnVM$<`#!8vsAy_Oe>iKjQ8|JK9m2{F6b?F|)b`3fK&HQR@mFY<`;mY1IgpvyY zp7k_E$=Z&r3)fzIa2zo6sFTc&5IT{vg&I7j1MEXDnLQ!gJ#FHR8KLa9S1ytoF#ARJ z5Rw0YQKm(5(#uf$K22t99)x_*y_~r4*jpM152$@l_PpmDY67A<042H? z6PyjWJZIrR2n{gyCuy-n_Y;jQ5Fw)-TH610F}K~`u4}A9jtxtF;l;(cKa%5Vw}>|S z)wo6KSHOK!H$fc2<|a&dfv*J@31uYwO4{HHv!(0%l2VnUW#H2)|^-9qIO_&Rk6)1TEjP6Eip$gK)a6wdjX(twx z8CVBw`wB@Px)?6VYEUE!$=ipw(XB`q!vz5|sFg?f&wf(r94Boe15~wek1&loZ)xzI zOdyjF%`{Sy|H(hZ|JhRB3Z-qP{!qx9dFhiM_|8XKx%u-K!vErm(*?5&IcrsWW7C4g z1#`*6X2VhCd@R;PWYQ(Kehn_UopTB<97U#{-~?4knnssIJ9<`hz!qZiBb=ZrnVw$s zriBP4nHp0U%obtZFg?D=UZI#;o}u}C@IpvSC_lQPR(RUreb@6m{UJhDC_O~j>K`J> zV4d_3X~L>KklaiU5tc3w5x381H0DpQ|BVND>=UH`;v7j)4GKS`kRv-$d|$Iq)K_Z2 zsW}G)(I&FV36h?x>3|c%aFHT{)0OrItE8kzB!`qwxTZ+pgcTGBJ{x*0oBTy*G zYb4l4VyW;6QK(W{uHxq$&Dix#VbRn9@nSl@G(k=0-!(;5FOZ`);E#BB3a4x$3rInTo~OlG#A(qG;Mv`Rz_y;lAdykZG~8lP;-v}Gne$# z`(AqGrI)WBiO1^BtifLafW77$@iIO2?r-_w7yod8X8H#99_`CS+ZbtTK;jgfOgg)|~Ku;0O9xeP?cs5uO zr@-o!BA7ke4F*35^$jz>2{IMI?9uL*D1=d0Xu0XV?&Ptd4b7V4WJGlnG%G7+j}1;0 z063Lg4N7oJy=>$08~}v@eynRIkL?*G49TU2;as9me=nIlwr4oMLQ;K)<(zz@x@NvT zz!N!Z2y22-*x#P)v4IS^gMh>bDD3Um%r^*tA=83bQ-}W5qvtz>s%+#oc|EtAt~`6v z3si6Z40ug;0FOy`JQrbe-_8H(YVH+^A7}scYIIe=W75ipx~g6RUdJxHO=i6r*@A$+_yi8G*%{bE65x=5t^ZzTx@h zL#8M%2wT($9r004$q?Oo`Os;%=z_4_P5IE*eDNoJ`=clyQmU*c@r*coyk8M5o(r;P zvRD$(*l9)a&e~VNC}{9p5c6$x#w}*^aAHUiq(9OG)!wm|K*$&qU=I^hQiCrj>Xf1B*{4d(F z&1Z!qA}p6=!NlQ87C>n)VRB$HOE@l|R+TUDZ%>Lr1yTve@0b*W9N8i>eu)Pa{Gv~y zb&WeTz1u9FUY%y~unC9Ze2ZsK@3|JQuuLoY#Pd*8!TxegEZ=KuMn3=Z>a)N1`G|Zp zvErJ>^UUzqmxARZ+YJRFfKW@EQ`5L$q6=`IXB8CK#&XAP;x}}Y4U(`mpppdNGQs_N z11cZ_8YII9g48sAXmnl22u-@nL}JASj}^r!vK4c$gu#yyEXU7r2M?SpVu}VGa45N0 zQT!rbal6r~2(*vv=yt$>3F-JrB7K0tbX*doz@GddkD5#F(FRy05q8$(I@Xjlt{wfb zWB@0pIUhDb$ae=(mtG=#fI+A~NM36{I;_7D3osaDK!C{i2MkP|Gzby^!m7OHq&ikL zJB(6_X4b%AX_3jPX^*i18Ww`sR6N@tT^jEA5g=W zP}NYaAI{Kq2fZ>@bHcy0B2F(ipx5**TM?Jd6Z9?-Fn_Nn^e#nTjXX4udtoE0nw+>r zy=lDkL%P0I#W`%m@Bi!n{_p+`eQTFL7HM$1Lz;VS#G0__z zTb(fZdlAqi>o0|pJPQBwgS5`9k$29!uyd>L;(xovWz)a&zhg4-ZY@873P;l#vRJgzFq1VeoW;f^%Vb+%n@jpuOK4{CG7@cMZoacgra;KL3c!sIv4 z;Es|kBUR5f&@HZ#)+*R9GSV<8v70%XzSr}VDus4*l>F;&-=Sw(k-X`H4Zedt*q}C~ z2OC?h1h_re5cUU~AIBeTGAO#y&HSerh&uwE<7vOc2m1)2V!&?@zCr>J1|-oQvj(Bz zEX=yE8HQI|BKW(m85ROYc-5>KK8MgCDS;pa4EPOF1DviO2nPkiM`FJYy#=A6gaHuf zcfgCsoN;@N+{vrc zS3PC*EJvv4?H~Oqf9Z>VP4mSRlJX?CZh`ltg#vuu**~7yQTqy(D?nukdZropgQa^z zFhFI@)bNIiNSo7globP1hTsxCQ^aUuOccyk5e!fnhn}y{pC9%O2F98~$DspM=7|fyd-z4T<%3_8ZxPewaOved znVYERneP!$IGsnhm-aqc@+22P98HiX)!|&|U(hFY+g$FJHg8S_zZ9U!LY zjlDNXw=`NKV^)>tC4W{uT5jZMDKtz`wPV$sheEK)H7a zPxk(V9Vlf5VPQJp>4fEJHC*9LEZ>7BG%_Fj`8WRUS>zM!JYhRRk0F23#<`-8PoHND zH3q>p&UK{qRcJA2$TqtLqn|bE)g($&&9Bwhmzu)+t0^?CcBaj{ zBnoaPQSirp`MqE8E72fGZ$=-SZs#njV?2j3x=OQEL1q-fZ(tOQqCz27WAr2JO$ipl zFfry_Anf3Zt)?(m47&suO z8yGy?Mk}D8{evUDHyVFg1Sgo%8V3g`!(b?ncu6CgoET?*6%hA7)< ze`%$Z_6LvqoTyW?BrR&w%j2LUi5l=JZt4Xj^zO8%?cxR8w-+#Vi~7j-;sxA}7x0$P zd)p_!Dd+-W=EBf0;9js9H(HPbJ<#-~3NqFYF93pI847n0UBCx@z{3jw9<$kT-Zc}E zb4$g?is1#oegap8yTI<}yFst=@B*+R!dyXWfs_uI)ZqnC<+V2H7FD|HSa)o$i9~Ss%aa#?t3Idef%62<5(LJK2_Q#a?$3TsHXBNp7dPeX=K$%RA0^ov@tQ-`0AsbzK9>Fsy z{B|j?&#n~pLh?)mK}ZLLXH~Mh0GRR6CC`T2u|MEuV0USMDPK>X(F7ii^7`6t043-M zM#8h2=%_7@Tbzzw9`}si{HzrB`xE*QTezAn|JrS0U+UZqC`d1W`C7a8PJ-VTFeP;n zeA>##>bO0Dnq+1`#GXbCEHJqTmI}u?UBt9+85H< zR@&ezo@6G&P@&^-+UP68XixmV&ffSx``_;CwCGrWV>5R?$KlDRI5-&4|9$ZAFxw~l z0Y48Dqmup?7cto%KsTkJ3eZ6@kOJ*g`E0M?pVcPAGT1mDk55M4pW9(z;EUCw2y|sG zY%-0_!(PgE+8^he4LrQS<3$yM_{aWqtz%0sj+;By)}grUV!>*ckk>^QV^bd&3-+p5 zus43?fB1L*gMX}X)s)@q7RD;ZUD{l%5Tpfbg2x6eie9p! zyQ6~^#o;$W1kBbx1x6G$k=zme3g<`*=7&bCe*Hv72?1=y9b!hIpn(xJ7y}^M$^O)h}2D-sQSqXA_d~Rf7avcW1T#hypa*ERLE`Fgd z@E`)XDf-7Vh`@}O9%8MaEnqo8fC+j?O2f0i(Tc@w9C-rgO{c$e8m%>dc5EFEfJjUr8qY_xi}5?=QNyli!M&X z-L!Q4#2@;*AN)|XbkzQuPH?tvn_$N7n3IFGK^dx&hwUqO8elg!FR%m_#AcfK0}wf- z`i7ubB@>KZ)R>ad3yM*4!5#i5<_nHXFDOof9~ylsB$7X@R^-?K(Tqcj)8H$v*FZdJ z;#Ygjm#>22H28|33Q63(nWHb7T2B26zgnCI6U1?>E!hH$rA|Rnz?6MZ?c$LBEFp+P1OnZUC$d1Bdv`NB-zIni=oy2@If!hDfZ z&2+-U(#)+Vm9!Vqb%?x)x^Y(|1Rhh5 z0GeIfXr<)x)s-{w_+ijCa>*8jr`}ZV+`NA_wETRXiOq6jGHSwW zm7pj3N3w4hkN#=!=DG)*25@&<4L+q6imU0U6|q8?bEp z%OjT8kB#nrY-C4C^xNx>El`?EqDKM?Gliaad}aZzIZF!I&lLk?%9@ily4gcDt(F1n z{xu5vvx!u5ILLlA8*Lxn*8<&ZZ7MslsWh1Seh6J21#I@=eaUXSjQ<^5IsT1O$H6zS z;B$REu`u{XQN<-?kqOH}fC;cIfoHJw=R}}`h5#sm$MYEnl&ZyaK-muBd|zjWDD^Dx z47FmV41i!>DMJ|4>B&3ZB5382#wI=G*4F3E&uaSseK#-+09UuR4b7Xlw5R|)AE~p~ zUsw?Ac}XpJWk--1yZ*g zv?Pm2h8Xf(>uTAOR2cwq=!j$>C|yFRCc!rB1tXF{-!z;g&JltuX}Otx%nkLADudT9 zQs+^DbMgG|rCjJdJ$VeNyOayP_rP~l6t(2MUCVj3g3S6;q)>Fu-mOfCwCEh}lS zHJQ#bGTY^fGPPLxmBD4E)~6sA){pS;+8bV)(2VbEQ=hr7oLJje=4Z#ry>#{JL8{GCZg9@5e9wn2;ApsRvdu%L3$Td*xy2aXP)AQIO-+l>){3&O~toCpyC%!$#v zV?|o9Cb$E?OIBPQY(iKF(t^3*9&;9?EyV$Ip8Ip81#>}we`vBrrU1%;`g4@zx-!Z* zS{itw2*Ty>el@j~uh^PPgG6_nk%ulQgdoXZTiJA#_%)hbw}bD%5x^y<8G0-hWy%-yVcE+GPX1QLP(Dk}UVc2?6Oa3CM!=l5K*37qPgRb6qI@kmoBj>tXB*`6L z6J6VQ<#C>v4qYWr@>?`8nWtpmB7N?7gX+?ZwzSrDav){@G8|E%U)*L>YdtTc6f#n> ze;CZ#h$|P=80R>$C{V=gWIV;`1XH#^X5fl9yRdy`U3~!PM_I| zR;sXl07^$jv1a`9=qOfNQ$O_439A|ZJUY5Gfzd*bZm>O8#A**?N8LuZhL0ZNTU!T<-oKM;N# zM=9koY6fM%7(BQ4$CEM!alQp*1!M3W&{NUz_&IcV8|e5DR}1_@CF;n0Qhsgk5S)?p z?dh$tZ1)MpJSY;rZ+hOb*-#H>)1 z$1rPuSg|||)EWEAX!tG&_B|Ofi19$mQC3O_(ssHaVp^ST1zT&4tV57WuL-s|SO~BA z(326K+J(MiyhTXI>cTpkov*gD+~^S*EM&maua$nL`SiuLYSu>lr?w@R zriEsb3_L%BWe@4O*5%X;)@jT4nvq=dfAcfn^gn!6;D5%T!OKdMYPlk!FKorbzQHm* zEhT;WzQK{|vU$XjZ{l0+D;g;cJuERJNYT0wGiD7JOzhdIz@fEX~00b)slEKA;Rzpu? z!T+F9t+K}shkGO5q8}ZFPlPF%k;4Fm?uQHh=aNvQNCHxFq^oo38;qF_?ElO)iPP4L zDG734vsL8o&=FZV4(UAe4Qd<*V4zln?UIxFnVM3yT{IQaZ7UgSb7ljH)9+V}V-Ra( z^lelj>#jiF?87lSf{Kh&7v#Igz}xIY5FW-6OCixea&V{eMbm?5^&3Eo82Bi6ZJ*Og<-}*CO`}I?G zzDKKaCD~X$J-|#+;gpc^cctgqLS;<4eOlxUhz?rb)+NOtm8ujd%*4z+9sW2 z^zHG~Ul_|Id^-4M5A$7xN2e`679PFx8~>Hh|Gkkg(1jfIuw{|=Jdg(se+q7M{~BkV zw1-jx=m9}=7t#V7TLX$A>m;Pe*?5n+a-bfaV#qp)Ie#S-ET#Ww(I8nTZ5Jr~mlfN% zwm5XwN!FhgItirZ{v5MT+RyjwOGv?$jcbb)g&tuFb_a$6E)SH~YHnl9Lr@+)+k9rH zO=KYn1zIoUNor1g@nMM2)KCxPs7lO<`VgIr5<(xvC+?pAi*Mpn{+^%Xo3T%nI35e2 z89-^%Ed=Kr3-A$4uCX$n!EaKlD6phI5J zDd|3tjxXDBe2JdZrd*x|@E~GFWR$dBdV!(s(zkc6-tNh-WKKf}aY}L%7qgkt35px{ zeO<5+O$AL@px#7W^76Nnmw(eg`0`JlZiK704k+Y;1xgi^;#=yN)X`aE8HG$x;BbUk zK_P>1Kb(%0L9U~%F=%G}9FNY%qP}#&CuxnL=B-a_=)c}|BV0YoJ*C>=k@9~p%rCA# zn)=wkW_!yeuVZF)(jpPs3`bt2knx9DQ!&I4y^6v1NfTGOcY=FkgfgBRmEF^{Ux6lH@Kwsr{y zes}0>@Y=Xe6vGWtgE{TiddcrVrq>3uad~Rmt&QshJwYk*NiwJ1(zwo%!JauM8sj=w z=#t<4o|1Npah)TzbtUZ<<2o@`q^}e#X}4AZh#~DZ$|U`we1pOxL1{*R-Gz2`-=gqI)?Vh3j-KyPjO$#k2lq${UE9&yxX#svL(tQs`9!h5dpq(s#s9kfIv>}G z2iEv>!!fdKL$?rQ?)sYDQanx>P}MFReo^xWCD5$$?alTv_*^LlK45=hj#Sn0%O_Hft{h2j_~pZ zC~klBe0wm98ZG$cVxl!ik(|`Zs(CH?)Q!|~qSwcQeP(D>1u_b2|6-Y>$77vduR~Dt z!ecUedSjJQdQfk+F8kU)<*KO;rFFIIe-V~Sn~4Nk3WFnqrhGIrXo={kkl4U@IEO*q z>wBW4_saMXR5*Sxk<-FpU((;qKbYGImZcMuHs$g-N(;sYzxJ2wA-2$?qa~r>)_@&y zzH+wpCbZ`zY&|_Dy7jR2v|H30xC>5uJ2>qR{@Ty{+20cs4fQrW9jaU4fY0}&Kd}7c zz|v*pB<-sbwjyP-=V&jcLwAVFI>88AA-HBAM#-C^`lu6(u$7$~7pz+rE(hn3l|x5V zgcX+{A&OmF%^49E!3bM(i$@GT>CZ7@qV}s z&mTUz)tRWm?*kF*SA_Ti+NO8%i>nK_6)!pj*Td0I+0%^~QEU}ZJYA!KwXnfwL}}C4 z651rM zw_Nl|rAgf-dgt+@tJIePTd6Yxwww|E=A}NdnW5sQ9n| zO|Cmn1Uhl_%^GWw`-Fa#gU&h9I?vbKpy9I=XTW)~7LfK8?xw^_E*Y&%mYfWmujlD6 z%~g46u$5jKY}re5b^S{NA%AK3@z_g)@BO9W$NUoX4v0Du@zOwk_|o94yrzO5&wOd# z);lEat$Bzd0zjN8W<|_g%H&WAP-52Af_`4wVavuHDXND+zeA2{SArtO{;T;+)h0?S zvGRjwAyD_{c0|Ri&5YPgqe89FIHUyG5UBgtAbSOjH-ikT^=wrzUI^6vxgE!ss*BiM z?PsgpDszpWQ#_p&-{BPVua~oR=B}-FvvmO}AkgoSkH22e){HaUwOTJ`Yv_dNtqFm; zKeywA*W1~e?`GS7HCvb84}rRW{rzj4Z@&NQ&1_xDQXo+G=XM+)7c6h;0R%tebS

p%I1(`mct5eyrGH`!QV4rUvHb&p}x zV!-x0=;lsPd`K@Pa8!4{U0VzoD~g#l!2^0{s;ObhuUnCinC*r(|ERzdJGW;AKs`q> zvh~n-`B7uoGlu24aY03NA-G;Y3CFg%L}ocstUBUnb-}0lK4So`8i4VpSG}?579I84 z#$@8(xMCW%u2VjXneQH2q7YU?|14&|?|ttJ zsSCCC{@Qlf9gd4XdW(7}-~!p+4rKe~f8g7`<7&zjf{n_)ovb%OAIHwjNIYZK!{7q= zXc&zHsG``+YzkW^P^3f$WhB`YX5(t(XR-fiG;;&%7*?F{NNL$sVV(_$J+uRQ~c#CZy&7NApECnzDV zr|q{O7&BA@pT%OLqF z@r&ObuoT!rgWLiy(1~B3linz2Sy<3c=0bmTfgt=MX|WT}lf$jL^ArriB=`8MnE;$` zpZLv5Z=|2i4a0@ENrrx&lm3Xh1ZNSab(a$xS*Y+>s6XaJGzX=5LyxVh_j+j~QtPg} z_lV0P8&^)GwypQ{w6t-TC6mXx&eSm26TE0?*$_ECFb*aPUuupOxp%a>i~UKg25J*I z#t9CD8E?`x zz4aXuxH)NfGB}{`%f)4R|I1(YiW)u4o_PPv!^X=XikIH=PQ=p&GK`PTdOi2L`@SFf zOW(eYLA=3_rd8A}@CrZ&6xtRjdR9bbUl};yf>B$GVGqi%3PHLoF4$VyV%CFAYYIV{ zL>G*fwkuTEib)JXT0|F&jac&*n-NtWVFcLV8CuKS#C~ikvpi6 zKF`3@;)x|~AJlAGY3kKU9c*UV>A&B9&ikigzN1yBlGZf8-g*v@CBC%rx9+W5V?GlMmiTr^CoeGt z8uuYe!ipHR*T)n&q<9s}RnbQYIb5hk)X8oukrWi(M50B*@P+fq=hinOu1{r&FVF*> zYaW^cO_Dk0+b{NtXoD5J9~?d3o#Q32B_uyu0-Ns-^v;nI*m8rOpaiy4>0R$tRkoI< zy_TIGG+PhvuGY6TEHKn{d{X0ZYo)Lwp!219`FRnbaO9I6pc9l8e*%1<_-pg>n|3R= zmv%xkUqI*65zR{}>@^S5c=Y$Q4^I9~U;StQ;KxOJS2;^w3Tq4|pf=tac%3}_x zDMdn;Zsny)1U9P_O~`h#fAmb#rj*#UItF;74Z)PzfJaEi7tZn3`(AooPV%^Lj<4SF z=sho81$*fMwh{nczB*+R@;M3FU;n#*_Upc73fetM-XR~Ij4W1{1mtHd8 zAC$GOBpJQkpmw-Op5#DiU>&^ZWa_Q$aq|b%nefQ^u~WGoBg7tc70#_$()G>3;8E=# z?qD2>I~2X60jL#aJh`qF26a(Vh!SCDr1F!C!8f=?+z**SzDav2du6T(gRZSrx_dD7EkFAwp8fgI*UDzD;BCMnq#0jpt4^XnU!B7`r6mr>{csiO!8z`7=qhLQeQVo^iq<#6DZl0 zYRq(WT2q(YfvhW099oF5p;0}0zCDn$v6i5+sAf-V=KFI%+Dz=}27M7!vnR=XgAN$> z3#$e~??7@=v#aKuu6hx@eTssY1;^o4J=VF(o(IkUbrz(Z*py7{N6F(1-V2y|`(Ua+ zytf6W+In}T_)lAYEQ|J2ANkBb{AVJIrVCxK_?PJU(rJvMS{6Gp?x6SwFSlmV>_jo= zNl1J&C4h*!6|-VNhKQ~$1XoLdR8?7Jy#lzJ6N;I3no|Rns)wxj!B?Q7#86*Q0eY2M z6#r_?@C2G_kNi`2=&bk;_#VoSV}d~n>jaD9CmbgEHnbBk3WQw|#3x1Zo2_Lf#bz^5 z=UMUN;5q;de~i|e*35SY(UTqh;LW3wWLEs`Vxn2ZpfW_#&VD1&-Iqw8oTu?Nea#!I zb5VY(e=RlU-Dt*AWT7h4e&_0KJ=(oE>F%75q{S{y`qSW~+w*&_uD(=TT&gX|X=Fz9 z^*yHdD?jH;e)X)x7cG2AD$y!=hOCY0MdFK4ToI&EazQH*I3e-nV|v-yuuoIbXYdu< zm|nDqqd6{z&WW!W)2o9qiXeRkUopn?f^hg6N_;WOFdKLWxRjeb$EW=7rMu3n)?Me4 z__`#%UX6Z8uXo${Yd-s@Kli65$9mm*;tTzSSx=8P9t)UJ2|*)UQxhvkGGw%kEvqAG z9|m@?jWq+Ky^CECu_R`3B0@)R;=y<++C~>lr3Z_h#{6-PIq`KgIag`@$Fg%J@zutd zjHTyf9%ee6eY>BEzfApq4@^5q(XonB1T!aA~b_ayM4u|H1J#Hwn{ljsu zOohf6yB#{xZSrh};Bu<9)EQwZjVB|)j+H)2W-RT1JYY#QN^N3JGz#R_Y8uf07Ws&@ z|4xv+sI`PZp$5rzB#b9P4MiwWe}a(Qgvq- zuWe|Fc_)|-ImYn3Ui!An*Kx)9KN(Mru~@m9T&WpJL!k_=Xei}sgi2)D+&OGXt&dOp zOF4Nu;6%rpC#G`Xd~;85md_(i6DnPFW;O|uifAfX^9`{nm#3*zT&>qvUE5cF?%)46 zz9KSmiY#4EE8n1Ysza_u(KWCkz^JMa*C@J%_<&@*0&!8LHi*m7HB9wE>WAjqzJkKw zy>Y>Yu7S(7QuaUyj-zX+nClNsmFg=7x(227Wa!$X$3+J{!g!^mEJlYOblRf#>bkGX zYJ* zz+mh#;_QqYK1N4oWL&s}PsSxg1O)P6*kcWOvOVOBn(W~qra??}R!oDL9(hIvW13=s zG4!Jzuo_^D0KsdOP8c&w3YT(XMhIqlK)s~>5e1e0HxQ`D=XMIBYKW**)#d<5`IQ9o11HuQn8;wL1x>C$ojk zH*A95CFUBrlUFC&ddliqjr^}TJ_yL2HkWw8=Gax?W*;PK;Pwc8 zJHhOO;1N;YDEKyHrx&A?G&t+e*y|H70fsmBhps-z22E9IlF&!AKXmp%VjQ#fMJb1_ zKFA!TNv?<)XT6b278Vq!C8_qXN60SrKPFpPyctV$SizEb=XoKEMi&kiSrku_n0n?NuFcKSWvGgX-x8vtw#XrN=q>*$V;y>G<5nFI=F5YO?Uf4Ku%bm zsVHyX@CVa=|BA3pZvVZq(qcWVqlP{Do< zQHfPJB^ZoO(T^w~>h)tX=&b+JHcw!#`y5RT4<1ZvJ!&UaC|%i5d|_G-Uj3N1(|_~& zp*9dt{@F*>+s5@^)hRmi6q!(xls?{u>Cyt4{S~tV&Zssy-~y0^KPrYcZSoBbM-bsF zDxc2B_|3+Lzc#942K_efUWI-32cCa^8GR0v9_bjrktI{f58gLLh3qS!LcBmtu$BC* zcY}WS5_ecH`KaVsVHT*o^|2yVn6C&Niq0Sj-JCjmJw<$v=B>)UMp;@<=$4Sf4!zwk z0jg2qNaOpuq68x@h-|V7ik7K@bO!w#q2STIo-3{oDE-NTH&;xmOfuqdn|Q+jZMbhI z43;-Xjd-sXCF+&eFukR4Gb*r-cLi1`aApCL>kc{09N-#)Tj%}xjO6|0nF(OJ>r2aa zc4y)AINuzmv&azV7w4~yTjvYXDc4K5N;G{*4^eBSO-Xcmn2a{B^0hv=*cVrKX+9ae zE?mnSDJ^@x&sAC%uJnZ~{o%ot{;F^K_}}nH14+^Mr8l0Z7uL=>>mIlV3v2tTplm#2 zs?20ztxuI%E(bNe^#7X$TcE~M4n0{|i(*}xx-F`Op{YuFX)&J25@;RjVn6RoyRz|& zIW=f7+xr%!zDn&iVh=bOmYlcq@9V2};HpVDg9Zu zG;xlNW$rrDi;$a#-Ao4*np!>}t#BCS0iOy$OM&7ia^XNn(6=F=bmr!Zj{||?qsVp~ zU$_NnQ#=~?5!fXHpvR9MH;syqSE436Mdq5$|8`%? zrk@O8@Ak3NxsN5k>&M@|FQMSRvi}`BLi`)0j=@2d^ff~yOm;Ve>&1Wt(N2tbIp}G6G<{X z+%vRXsr00Q&!8Swy709@vDKnRor%63@u74q`A=MsnoczE;vf>g%w zu|sxFKm(}61aPT~b27mb=zNU*AbA@;+T~*^b}8Ue4Cp zIYUZ*U7RxrlMO+1SBM`xKw;Y@Pd6J;Y+`eFCW;9z9rb z#u`cCw%82A1&95Bfpo!zyTV{qeDDqQQ*Qe@W8%79+c;mY!_LAFWE@ZX4Rs!mbV`ix zN~^>pV|J_WLeD(4xFJHa%cKJQ>(>U)&e$uu0*j(ol%MUpDuF(5!najZy z3y#USTsz^hOUqqsN)Ior-lEg)3Z<>Zv+l}U^qRjbj4Xcoht~h@TM~m;CP#Q=VQtlc zCctXby+;QXbFAzuOPILecE=%m6ukGz6sY`Th8A2G^p!MXijKj+Inw*`bKK84l2`;6 zo_B46biQ0LD54vWr{Y)B8oD6zDO!bxfx`ItG^8P@>=_%#v7PTV)s1-*7B3`8T1;Pa zi(p0)MPSdE-J^ltn_! zE7)|^jZN~?7{wSowsC#FTLqrD+yYjaC>`#${Dp z!wg>A_oxeUnHH%2o;XEQwNyx;fd~kk_Z^k}R;&?LV!Xvvy9vLjHq>dV416LRQ z4m_eK%M8FIDChvb7q5eK%+Gw!zx65K7c4UcFkQnLoC((%)GWz~EDnQIM@`2FF$>9) z^=^ zdrRkUmIDyHrepRi)taae@1X5Tt*MGDgU}6v_LNNO+pn6>lQ1m>^Mt^&6W&aT=9%SQ zb)W~v@N-d+PozKH!|=bCs)O6DI)MI6?>QosS9KifB~rOR!kg*F@Zn2{=5Qxn{Y(jX za8I{L!;^zz*am4g9Z=5nwHc{=$JhVKpLu@}-t=blcAPU8V0FosSazmD+2VE&VN9J? zfgEga(bD_v8y@Ech=MJ(B|fa$|;*cqZV1)So`-8_>+E z;q3}@)KDkCK&-O<>x5IFLSr3d^hoDIo4VI6x(N4*!rabej2GNDvaYNEh|b2 z(=tU32T~2`1fNx70EaftNR{e8j7-zr#>Ov=K4O zx;9ciX8`L9|M@$9;@_U+pdfGoaTL@N41()P7W4v~kpQYHI~@yI#lj5x3Z|IK!3DwA zAPE?P7+0qeK?r7!!*0v!U&cb9%=Uux0(`~Y4o%@?#U&=FONcuoYD9lgT(4mh`C4kf383rx(*JPd?Pgj0P<#IrgA6-Tzgrg4(u1vJU^ zLg&c>faPq8$^yw86p*8Jq#k%JgWpp*C=15MxR%Ik+3>w|^n82JSI1iRVD-V#%l$#u z8YIieYr(L`{yg&yvZg)cwQvGq_lwFM@)5akTfC2hUg~MSez+YG1gg_fGi&NmjI>=- z;ZdK3Yv=z|)I-4Vk*+rG-;8-I*ZVhPeVO$byJ0t*T^>8jvr1(BkzlZ^IR@8!qMk{* z69lQ5jE%w(p6K*4AVs4fs&HJz`X`R8dIQaO&?2HZJ`17>DOmU=d4E1Z;cS2tT?(+k zTRp44bT>&}ORC*>VMR4x$g{I*_PSl%k@vs+Wv{&Wr5J*wL{4YrR(9Dyj>`@3VFxzcz~4X97MMHhEO@7^i5=p1+Cul}Du`mcTMkJG(u)^2wU$YIPJ9J|N|;`D&opgYzu+2T5q*?l51ij!WD~ z2|)dpvkR;o9XR)}&@kU2e9ec01y=JxZUJ^N9wWoHAc?g4;40;Nw41XpAFn(nrS?}T zmyDsba)X~t$;HN8M*l@OLd4afh-A5L2h~@%ugjV1x7j-qcP4v9{H$W*<=^t%DECPB zYGqrcXiN8khh!h3+-uCAihncD$YF7Z%Y7U9-mX%cj>d6EM;eJI&jhYi>2f+TF`k}D zZtF@<>0T#aNGW+be@wnOr+Q53M&YROm5#1fa0^8-pUXG0XZl>v=v1qI+VZ_sCrtiJ zZ~4PN@pA!_t9#%uxj74Xi;=j}aMlxX_7&pZYXf9`??W(0U`ONL9Dr>WD14w=9Z6{+CD#uddm<^b6ajncO*+URu=9krcbo(E#Vt>s`fZPD}J&s<( z-br1ndZ}Y3HRY(PO$nX)c?v0)U3F2Q9!bip{J}J>rOO78_XunR&yE&kkp<6L0$AQ?Zdw_Jh)hEV^IXRASN&9vokv~Y_ zm*hCy14h;O*`Rr;)%PXOv%9oT&E^h2xT#ZfIEZ4*$iAkm?WLCD7HcUU!W+EL_Liqc zFZMEAqhT{2D07Gyy?ojiea|=ip3r0PfI&g@0S&sfpqoz6ge@)5bV;hW>?_k_E@ z=#_zqAH1g0Lu)B>L0HcI1A5$(2EJ@UT&QoQXPo4)XEEzBNdLgY=M@M)PMrXb+xa zX?W?+Bgvt>znHz)pAl_39qGo?a!0sWNWGn(JF@3?LJ170ET`(2)0Q7YNdDYcJpRC6 z3xq_W7Dq@7ctO_vNTF3hg|~Y&&?AO4Qa@EN`!;wQjl_GfHDkgt+jB7=)G%et9-Y-~ zw&xrzNyP=S(>Gtqe@x8w9KnwHEV*DKQ;!u|Wjy$JofV&_w&a2YZsNgnmS)xDN5Sla zn7%+WyEA`-XSP71xE2Bg27{6NN< z;0E(9OUv8Gk16cH&3w-;rc8dYl~+A>Nq(SnI@tyIIY>G8Kc^%=FaQsQbF${rOnW+d zzB{}1QVTN66Y!GKr3ZSN6&X? z>%B&B;W@F6M66TUf$B6&C23U3xPiLSmx;;0UR{qM(bv&E(eA1_KAmTx0Z-%20c54z}W9?n$?JGk(T@dxx=CXkHR*lVdE(^#LJ;|V$#OAV~ucs!B z;?Tt+y32y5FPu}(hIwY^YEw<<6m5QfK)ph5WXD~W4N4}9<*Wq_;j*Bcd+%0VL0L0#$6V8B7^fBcUeH~=zqFo;QfwU{~p$-E;&G}Ty_B( zT@q8`%w^fa59%(bG@W{^V(o|gQSVD^M5IkWJ@t8P3(yJ2; z;F>G0*{B(z4q5?g;we@P;Cf+Q7e#PK4mljg5DefND}q2R3}sCFX1rVk89VtoA_zkz z8&_QIP_kA91GvVD7~(68Yy4_r$7RI;t|18KpA^ZllNiid5foG5$KI?k7mG>$1LkxN zB$*>|N%+W&>JbO8mPkx?YX)$Q%q4i?LaYFLfu^D!J%DRm%MH>qa&(02Q0rAP-yX0V zSV)NTT*5i%CG-6OSHq^Pui1F5TQlDv%>7UjH?9(>OhA zVBeR~UrG>9*+ZGrlCpow7*FX!M0zFN34xMsl0n?lsLxqF(5!MU zxv-6Fb4F4Rei0sxMmS57>G_?3u%oj|;9Ig@COwv?KSUTqmL4J*lh*E$x+Nz+L>O|` zKScZ|Yc+tS-K~p*~XW*ao#v%t~jv}sQYs}?TJE6w29viH}g`M9hWu>X3-{SwXqP+)CHdlouB;e8IHl4l4}bNiz{^L)>MkeY16_c zy2k3RZ3qc>y$nEm&pT({St9NVUE1N@J9<~R%Vhvs8cRn2?zepZ=l;caO!WY^-i089 zu66_ZWh+B0kxr>U;sP-q|iPB@X~a*hc;Rn z-tk~kZNNLw6sWz$itM<6%u;vgKzHzC=1Z;C1;YY*sQ#D$;2`MDk|1cUn&MX{01m$f zA%L(vfn$O_QkSgEQH-$_YCJMj7(LKQ1^~z3Ge_eH{2p}L=(-cYLCkjt>eRYD00OHV z(@A$qo#-wHq8^UX?uSEX$2u73I_N$b{;+&H#f8>=#~M26i8wr!yszzP4t$)~`Tx;uvg(!A6TajF?^Pu<+chd3qzxltu`m=sIi29m1=~Lr? z0obb>fZ#;0Keon&I)UJ7J7B8`VxZY-kob9^dIM$J-7NByDR#b!(%+LE8aqx$csO9a{ZLJPr!p z;OK(q*tHBvlzplS_w$@ow^T!_)N<^4nIVg$;LM!@Y#ajv;Of%k(2uc+&yJZVy9k?qGwd^<&=m=wxut ziu9x;jIi!XRA=0Va<0on;cntWdo7z@jK!M0khkaedy4MCdxCb(O2C?h)~YzEB) z7-2QR14h27pid=<6$K=+b3_kZav>19psSlw!a|THzpaQLD|7_Jm)1`&1jXdLd zYA(sv2ujOOk~H})iJ5PDfndk2t#OiMgyoWmWt9wpYi^{yBuSI+k{fgk7HFGoN$YJq z%jAod#aOjwx7F+(Da})XJ7dF}*|#3Jwsjk`)q3lHDa?EBR;|7j<|*lY^8l3i^hyJF z72-os;>+d<;SklgKmFHe?I(`5?B$wRp87ura|E~k%)7qfC%-S)WxCK2)$9E#VH&r$2U*`jwlFG_6@v-^`C4zP-iA4y*N#9sen^#KXr9CwkMz?rqmTcMsV_W1kXw zmyYw2qD&dkK4mG2uQd_TjBiSaN`g1h5Rst;{+<$|T?Us~%>tF)bp{t{)7;l~g$!=# zx`IS%Jz+5k@q=-`nTE)WaG@b;sx|%K!3Bda+?EqoVx)ZaYIzfuH$S^(PYr+lA7U#P z=V24c^l(h(vo*NJt=s=YEVf2cDE{H-!HOqy>q+60SErBC%tAX24@0w~6ms-q-tzPR z#QR{;(L( z>1hD`&}bP)Q$afYfz83oiq!uuh<mn>xJf$U0VQ2LxzL3; z-%QSdx&q@n@86Mw5Cvvks!m*6PA`w^(udeY$Mn#KMI((TvLte|M?REN!P~Mz%AwVL z(T918jYeZ2VN;*wOozxI_ia2H0}0!{m~rxcto-78g$7(Fp2&BulIvHeAcGf%_cagG z@D{xmz}rvwSAX`KKNQUy^?eyw-uYg8rGO*LJ|x9pRLhI5W@33c?V}T9?Byotu%;cQ ziCzLy>;#MD-J`n*1FAjJr~QiA@`C8Am@MKhBW~NlVDz))Md2|4R9e4{ac)B}TizY| zpWx6CTq0rHonyAV=&3?pI|MOuV_;9|1p3cLnwmZQ;WF>%#!HT47t0HH!&<*=7oFLE zGRxb{8$YN`n`#rUQfCiTJ;kV=A~R-qUDNdj80hN7@VGJx2Megaz@%6J)DIr#;?81aob~arP9WQ&egkjnc$^@NDae`Wooz*hFC4xW1F%wKl_jasLY+{F0Zsw9HYG@D0qw z8@}MpfBkoT%tX<78)*t3kIZmD5>aDIAJDd-RlGWVxv&N*At+`-i+EvAII@m<2r;^* zm_tz1kPB+lG^oqv($J6)6fIkhpn!b~VU3jQDFf?Wvhhm}o2^Z<@uQ#q7IX{`dA#v&*~xh16AgmpVPfqq zz&HLD@MADF;$PcafYo2^x8MlngOhCOs1ktS+!QtvDmCDPMn>p7R5&8YB$br^MCTzE zspq0`Fe=U(Wy>PL$qWt1wUfpYz1I~`vrB5D8CY)zy-&Yu@3pa0;3<tc2 zW&OL^de=5V2uTX>!9#2{Ve|mtrVDeE3OBeVYiiZDSzyw}vuFuFkJq)@zS)o_=-Iz1 zxwhaix1~$BdJN@+WK*|Z$guaE87+vyR=;T(gPsf71E3T zosam=k9vDlsA%l(H0g!}EVt~%Lp%vJ79Cz95rvgKZs^X7Ao?|Hjk~P@|Kmo$Q_R<1 z&W&FhD`s2+&b-p3_nX}SE6K3N9#g0!qS$X1Og9wpN3@Xtm?hgvibVmdsuL8i%LTP& z7pfP;r2$Ms%-=60YF(62jlvw1_}60>;OT%a%}8Ou9HO!FV9g9X;gYklCk+7_3-oK| zyMr__Q_%1cQ1NQsq5gyilU|nw4_yxc@zLqZ?j~}E>@$5Wti4ngP5TNal($kG zjPC|$P{wIgxt{_2_zn)aiy>(LI0TCPt6}v6PR*+$074nyo_@yT-Jo4eAVbamOu$3w z5udS&R7eB>KP~O<7aO2Ydd6p{A|-(Vh853I|JLLI12c?-L{vk`)nPb>AwA`@?P`U$ z0FqnW^1&mS9`o6LhZ7X<11AhTv%HE5<>=#sQlSMvS^6n+OxNlq(_*Fe9@?-xiXqeoPPX@5bx z(*8h%3i`OFI?VfXJMGWsDK_=-YH*WYE#G|evzru#J$Q&MAeXJe2%7-n+9sCTl!7BH zwkZWiM-Nuq$YWRs;T?}K0>f}jCNPmAck=2tK~jha{K#j1`Wu%~aHM=V_fd@x_!SIJN$!KD?gZt{HHKaEtUx|O4P&fG z``uPVHbg0IKuFa#gdk0W3vOX=#DFRtu%4qlw03CDTU0?ws#HOFI-Lc$M!!9pr45`} zHS>PxGmK5gu2U!K%)+2-f%v&|o|5C%*R4j?>WD$PX~n8P?s%_>TEnxJzjFlEcwTcd zLCMNV{|V7CsRJz=3jG1sA5}Jahfe?Z&FK27qV+vj72$j{de5pNJd=UGYgJ?DU)c_Y zCvZ{PWR%iSa0J#;gQU+RdOhIkE@m@aZdl@ppZ~o8H(6I#I#Gw^O%(I%u^n z``Y3a6jNtk8IQ9Gs=cA$4w-e@n-HY&biob!G$6EMOWirEb&DX?pbHwO4=W0_unN+6 zy5L5d)Y5{%zN>+cL(_P=AjUay3?Eip09fx1P2=f;sP92t56%(oAIxsYiZq@sh-v|F z@o{LdYRqnjpa48g(h+*N7J~~Z`q3p1ARI|+D8zg~%j@qJ%i@xtT9E0#;T0l%q+CiN znz$t9J}QMCbW#>HSIK;PIDtcAd9d;=LbpXoUMcqn#ZQ8(Z8^}ZUo+nzjCAI8$B^y? z1Mu$X`3`|%p%Wi?^uce>w`jj#`BlP{w0_Nek9G@S9&(T7JdJvEF;sq)@E~ck8SG-% zqyP3Bxk4HhN8e%Hc6iI$4quJ6AC9=ah2XC_Lg~h|>$}g0zzo_d%c@P+aJ?KVm1mDdD$qR6_B4G`I@CN4CS!0kBYME@)g) zs<9zZjxXD>zu;VHQ!Y;giZYnNpU{WcLUDCOhIJF1ChFYQh@!-1;|T=Da<1vFv3wUd z>ZvKczRo%ETXb=wPM?3am_N`WdIvb(`_k2yzU{@gzx&bqU;eUJWF4v6CkFA#3(tMu zXH8)c2cP)40it^hgTM?;D+k2b%^q6F7(|o4CviyLK|pe?joz_~dHE;(tU z6_hYuKm!=YKk#3V7-3y%tcS@_&_Z|HM!leV1OP4UL+)4Yr{I6bR*rw8RH^{6%Dmodr4&N64T%%3028g(9wYoQ_@|H@+-TzrYeNz4Fq_SC7Pd0A?*QFW*-C zct|GcC@YX{PF&MI1@_bw%7n{vv8Q)qPrv+EKls~zDwUTCeC@4FjCqepA2l?LC^4Rg z18NF=UsArZ8}uFeODm5I4VhuoiXiQ2TX8kFP95M^zyuXRhP^Ime83!(^w4Ea(ALnmZSaF?7Jp zd%+Czw!+&nD7>J&J_-&f?*Ng_ATMSu*d#dA^J)0#&{Xc+1&==l!8r*$1ZnQ#$M_t$ z_1XwiE_g$8r}pS|m6nE@ZfWU@!7HR3Si~A%3gi$Ljx?JT-`$SEvnTXo@ETI46=6?N ze0SO{x){8>F?j#`H-7Hs+hW*-5?kHi8O;^xRIlP{3@c~AKL1vU?~IQgRa`RHo#~no zl=#kx>(V}lImqqS%u@(TeCL8Ye2}^V*fTYuAt>>k37Sy`hDMUGfR-UB@tv=DK*u5m zs~8*zPF6ifiSJyn)rFxlzc2Tq^0M{O1i_?$_+x(1jPOT3AS_a=)0>_@6d*0t$-G;cAI*h=Xo0HU`!1}QmNLj0x4bwv+}P& zx%Pao3O_m29FcQ;(zVA%BI?QP9GKo^U^@1nj=?W2gwD%2i_a2HiSp_^3I|gzX#tr= zpJx$3SP-GJV{}zN7nkMfby-aLP28f3%W^j^%QrvwW1sgKk>XYV(IIKw0tbJw0BM}o z24f~*L+~ovSA{9p=33A!4d*sQj1Ix&)~df3%5yAhh^$y+wq2P*02<}K!-g|KF$z6--fgu1khaeil4}#?! z{G-()r*RTaK+N7ntI6$uW;X{qAl7~(;}#3#QG$Ok=uzg(uoe`te#sL2Ba6=ZaY(}C z4kSzPzeEQ@k=$ZxXm^?=_y-_^)I8VZ8TXPU_{S6xVQ8b(@vw7o0cQ?#`X#(b=)ush znS*~zaKOt#s=UWiaz(rqBFTAzbl$I-Z&7QQct8_|0rXJr5qek1%|s^&DJ6`@W73BS z_hg=2mFmK1;BLbpbtA(G_Ag#XojK&{SB$g<)GtN~xKX~X>z!M5r+W?hB%E5n-FrE{ zEfr#jdm~d1Zu7*Ds=nPIc->%8r1~{JWGT1bwcFG7gz13N%`fjy=Q;1s?G(m$1?S|o zmsG`6_d=YnJjo`+A|7NJd z3A}U~XV1$UtFALRBdeIE8aoT zFfdiAqyi*raIqiy#!5!t*)Bt>s^P3)sf(pX1FDSW#oumC)|ShGx1ZhZ-2xAi1`xw$ zQPeXYv!T9)$xucb!{lLcw$Uj{8dyLH4f2uM*GAWUtyl%m7L$d$G#^PGer%N1uwoU_ z1HjsWB>5jhNlfKZGE-bhu>&rtGl#Jz#u-Z>m=n+?9@?Q}nl=EZKv=(nLsO@^=A^k+ zvpm((Ql&;l#H#_%VSw0Bl|MDLn6XfP4l^8|bj2r5DE5XtTz?uhJUgwAG+D04S+-pU zl2*Dn0TV1CxXvZkK#|H>RU{gl#0euw2Uk8hA<}Fre<>&#f?BR%OTw&^J%rhq zm>R4QUXr9?_cb@@>xi?YBpP9gvydbSbT&y2=TXU}wT(!Ubh}(~hqDk!6g9cVa!Qi< z_CSt9i}}-c<^m+ie19-(1V5RQDB2zT_I!f?G^#^2lswt3Q2!u$cn$1@u ze=gprd%@XoKf?aOLCSID(S`kQxEk@vzw^KG&DbYO4KV}U`9`De>Q=Q5HCgQ5W1vYc zm9;2TEx>`|ZP6t{w!EW=<0U$@-*mxnOUIUXXA(VVq9E|r`N?e?G|0IgVW)ib8@Nw-Cz65pY%gd zF?JB+Fc!n?BQ=nR(60&XpmD5_svLB>FS%4Gb`H)U%HS1K*J1}-;x%M~F-hDZ7a7@U z-8?Y}wQKr*vXK{Ks77~$piHwUU!otC8`AiLn*|ee2Y7?D{YK=;D{YA?&(3SwrYxy#<(zK!1s;CS4qxgVXJH1-dP4 z44NCFS)}j|G-^h)onuqjC~ba-z7~6JfDy524o%VYiN!LcJ~^`{1aoA%^U`4yX&GH6 z1T%DSn6L0XgI%-+?StJ69UMSg*7$jkYE$K(^J|B0{twULi=c?1DSwpLInvUDV`u2+}OOU~6s- zpq_oHko*rpnq?Q{L?f&SM1O^eXq_OJv#gYR6H^12JALzca=>zAI1bS0~IcbYnZhAZU5M#^1z|b zF~P{ggIN$R1c?CWB<{s#ClfB0$4$7|Y=2N`v*9l^&MlJmH%iOfdgmJPQ#rS%E#Kq4 z!QBe2db?j;l;?K7R5BKrV`Pk%pIwwE1_!W5A?FwD2R}!6PJVL^b1C!`V}L1{ z-J037k>F)!1MyrhS#HmK&rz1N8%yZ$Zq0muSi>QeEHDSgvWkl&eQ5nW+k`-=b)3we+NMTC?7xIomhmDv@K;{UQVb$rQ?rQIT8?uDtN|{TCq- z%!!S>N9%#TmQtLZeE?Q0hmLF&qpcK#I2XLcZ%k1SsOjDQeW4Kz*hYmQe!fgk?Ld z7X~0Ar5ryPH6nP-^8VBSd4Iv6BE>8bYHW7K5c3S%oAKZwwqX65G3+*RX{j;J&L`VT z^7PrpAOA;w@X!5l1Qr^IyKS_v9L;W)m}ZZA23=&MU<0O-Yu(s2KJ5+&nCjFJl)K=9 zjVc6!i7NCEhy@3R&@KoC)Ns1_mwGv3#j zHQV_H{eG#ULonlgjaY;3yf741u;6_`tU-jP8akE~+i07t!!!(WOp<|xOJWv{P4B~0 zWNkE**35UOjqk$`vOHdr%(tgy{5IS5@E4W)(+2pV#Zv`EC$+xnRSRjZBD6`lLR0r` zD)R`vIKqgu-?>bmo2mF5JMnXOD&6LIl#_FWJ?DX9zPaWcOQzq+r+;2 zNfbIY=&|>6!fuQOJ%8Z?-}3fF1U>N{oY3 zpwiVHm268g{m^OY+e@|u*BKRi?|LU)uFDjVM>&%s7lkuHl_zoa&KKV+e1R-;sh{9g zB4GGAfBHZEn_m<46H1_S+v&tXjGbi30W!-Q;Bw;i2h)yjw+lw2m=(L1FgyrB+D;eT zeRy_>#r!R>BoMi=h;h&?%I&PvDB(17Zg?UrUQ$=QIhFHqD}LFJQ1OsJN~ zP#7bo;_OUROArbyP#hx0bD%&euL$2oT$w27B+&%Q?QC?+p7Dt&hsOOfr^SauHWNNF z9a4%QPnaPIci_}O^t4;l2cj2N=IyXDpZ&)F=>Pq$=o+MGvO6T6fB~7qSRKIRD#M`C zKZ2|=F{cH6>y+KFMRKR6bac#TJG7G|$8{v;P7 z^C3Z%C?-tke^tK3+kGX2unqI^B)<{`hvFKA&3NK?9p{@(7$=1m6V{`ovK_}4#ZvQQZl*U@gYcDIicz+xei( zEr-;YCT+cj(ATuDUCSXgYvK{JVMT4{U1D$QDL?dXyVC~hI|O^`Tj(w zBLPV&h$0*uUo$!#t=U#KOP=I8XdoKdNZmdXEN34D3yt+uP8_0QP6fL8 zOCy5hT)=u3CM9CL-|4mR1n;3nRPy$a`g zBX=7M5?Fo5SAETQ|8D`TUYC@_>oUI>!GLLTtjrxFLSpW zS{qgEr(2l_Rj)Sh(YRL^wp_7oyeEOf0AkZ#+p?E6)z-asy4RR(ihtut4}sWZWz?#K z(NH6jg31AtMe59A2dc_*I@3LI+Zq6=xw|ijfoB1!;6S1vAkH7SEnno6HRd~?qHt-_ z9Z{?xuW6HSa{^+)kR+#(W`$W}>9Or^3VUp~Z((%m<_SiCK&=f5N(UWFe#;%&g`YoO}nXpKn5Y>!rH(2)AC zK3b$fz2|~x25_WCgV3Ez9|_tzWH4r@y}1E z$IzBsfV=Hx4j_x}mS3PQJ%+9vUOYFbW#(P*w|=*uGsb`>Iqc96rLLH606`UWM28@2 zfBRB1-Z@_p%{^GlOx@(8fa4sqszpzBc3^?v3ZYv$^hpXwUQ*RA_mUoKO71a2iMDYy z9%bHiGQOcVhcmAMi8t^dhC)Odncj+qdX4VL0^J}8*ASS!Q*xa9v}C`-|&%t z{v+S;2E^)t%XzS1aU9C!~v#lHTmOk!t-y21cVv7RuK86$|RtdSrnp+9Qqy7;P=G zFax;)d6PC#P2n+kE?La!BD8G1_&Kaqe9}YS3w7jr+lZROOSQ)9vRdO*ulr^o5xwsJ z@)v)@pZdgUfP*7Fk$M5)YhNAJ>U9TuZ40ebJX&oP=sq%%1*Eyr_1z6x?qshUIuLb^ z>LXjRZ8+1#>qb0))Qfb2umpSvX0IF0wkAI~5}}gPG^Ow7h(V@E`AaVZA4n7{W`F~A zb!aezb3|k?Y*k&2y4y0KIx;)fiqqNmPK{ayz{N1QV?yqENtI>{*oO&0ROlnN3 zHN_bMwh-mk^j-PDjo2lpE_4y^*6?_&ayOJ_d}`{mto(TET^~HOkUjJm_|Ap>Z>%@= ziBc)Fa0Z_L#pH9;nw8qGOJ5@TAsMz1za(&q5fr1r77u{}A&GN7f85@!3FP3_04Dz8 z*M0JjO}TZu^<2qJEPv_y3}m-%yIoQcoeP$WL7p_bb;$oKZ})(%Z2v!d?*gn_nwEtT z!*v)O351&jkEN*4?DD@~63Ad?#zfMP^~n$0miKDJaZD3L#<< z94lZUrBJD8MMP1fLCOdUf-yvhrlcSsL`>yUA_Z3RJn!Xu-|t&%ueJWY|GoRnnKe{% z{2+CK{J|URhIv^=Q z0|4bi-O~zYx9+e$9<|;L)`TIq4n;0PxbR$n@wNSVntJz&86HS3*T(4MCpk%-g{pY8 zG~HIiey9&b$khk`HT`wzA0Bl@Ld*$fbf@uR>{VJRpFp2X{Ed=HhnXvwO0Td8HJ(;< zTB<~L@W7(vqI5|gvg+CwI9AdDvK_U`7E#39?NUY7kb1?h>ujm0wc@u#ztHI#Zkm^NZ4EbV`M%Wa#WO#0`7g(41_tLn zWeqpT9*{L$#@}@GQPyxsq+UH3DhIBEgQ2p98ypOkHQeA_s48&X4~>PQ6KMAb8UCTM zP?0%6Mj7Z9#p(2og-VYo6vEJ0sC;*Vy{2z0RK7jIUel4Bu8Biynl^sa$C;phU`EK; zU)&Kq(bqK1Z15M+qxPq1-ecCx{2ZFN?~`d~_bA(cp)guyD{#!Lo%w7gW!uq?@TpD- za69l#0ji_}ihj!nl!vK!cpY}KQ|C#EH3;iMj+%-NLP}ft`0~1!HW(YL_f z36w!=Xuc+cT=0M#5Udvj*PKMiWQTo)-U%8S7mT)zbIp?8?Kv(2K?HE2ot6YDlXB?& z5mclixH8_gI7j*cE_hhLSyaJghc|$JfD3|T8woA02=uWgZeqo{zheVCa;Pe2T$W+5Xg$eD}z(v1Q>$`{$>*02Y|_)URh3;G8Z1 z0yMh){%GIF7W!KP8v_Sne~kdm);l!?H9H7*=O9eGMYDr&J8;;a|JZ-;LlO!5Zo30E zeFbwaHb}P6)s2b-l7b)y#_$IeIgq$iL3$Emf=uwJErxIqjvJDUSAt@JOb}G3Xh9VF zw_%055@h6H>$B~KB^!hqTVZRj1Vv-mis(JnNldVpmRyZ<6bHdCX1NCUI?VYIiCd&X zs};pTDCdY2upWBNidGVwWF;d=Mcy)P^o7gW`ZWP*{)(TQuhYVJQnYSkVc$kfytp`~ z)(K5urU+FWpcEmtRT_}~RIMV?l3L4Gga>U1F0&c(@%VV;{Uxsy5oyywd1}6sSBir~jWejr*!q|wG7==(~DRIFpYIibYNh}d7T*)~4D zEH875j<-q!-Hqf{RRp9$k4^8CvJ`%-twHKJ**EH{0nQl z#RQBY^cOrQt0(qMXB1^;y_8#2G7%GV-Xkz*17$*ts?uPS-0E%hFDKD=sN^ZyW3e>I zh5aD#2FPU;M=HMpuy-6o=rnpYdd{(9o|1ZhT%1ABZIs9Yn@ZP zj43kVolrVeINeY1f9D+f)3=dBPaXrEUVqw2vyKeAdkj-u1lxVY{>=IH>GlWQe&=NX zoOX+57h(D>I%O{NcYowZeEaV`!9`dbL6EK$I>$z1df`muI{S98c3-&&gSGoyXCJQJ zXBT0(cAs$&!?pW-gKkp0Kbza33pptCvNw@m`5$xw>Kc57@RAgIKH)m!K_4K`fFL=>DJ;c6h*b#~`FWy- z4@80wa*>e;=^Y2)Dt$YTL_q2X8JKw_LeIE^^Ae8G?;-87{NBbrQX-I7UwHAkLVD8e zKjglg&0zb=iIsg{eBtuF(Y%PQ2Puoh?+Tep^_BX5rRlL3f^mxf@$ujMTmQRX44j`L zu04IPTT7ViHHL^X*$$p2g#T~?>?=#(yWsI~L|+>7{9Bo6Sqaj>6+wW5B}D=LKSs-y zAnltA7E5?QvI3RnN|4&A2(B<7PRRP3vDsQWUG^z|C|N>Yh(QEp`LRP$-q2( zEg6`HuO-_v#lW0B2Qlpy%{hqM$w7Sf7k~d(ygz&Ztr@uwU=^gN&>oJW1I;E3j+z;- zCe|hV!x3|I#0LQWysmm1#?R~ML!f|M!XE?$&6-B6RP#Z1D*60gB)d%s_mAA~}RJ5FfxL4?{o$@c~?Nx41siK%;AG_DuFV!fW93 z)$m1CLATdc-(_U(<PyPP#3JLWb|^+i9G-b^b8`;xoDK%)t-aWU~r`S4qX4@ zAz*AGNw%w6dCo)!J53Khp(+&shc4B35Vv(|3U#Sqt2G5MO?PIcr!?YIHj0sK+&IbR z9GgW-{`WwFdhf*d$(=wh3@Z|7rxzv$LXlKI= zj5^^=Bj$p{$D>D$)qp)QekqHbsh_~nu-U_5fZzfDgSD#!b2R{|1)WudNT0KpD#5xM zu;zFUw#V%aqi<)`Rs%MuKZ@Xb-zjZW)qv$f8J%!3wB6OAt7^bvrw~Y#LOO+rsv3Y^ zNKol9$G^mdHA^~XAV-V#Rc@im z1UNqq8xY!HJZAz5umDH|;{ym_W0eUw9M9txnC#q)o`AO&uc0GDYCbL zR6Mlh{2k5L-*i94{>RZMjZT5au;)sAJKK03L%|n2BmLw>k7h!7onwOV@xAH-f$8(j%Z% z?VPY`igQXM*X(sH& zbvNnLp0K=VQhUR%p-G?rf#38^UmX=KU8t)`WjcZYPxgvh44uMX+P089IzmA(-2?<^ zD_Ch#@H@bzg5c7#DY0Vu`JJ|chd!_hQ)!f}NkN$8a8}lWYQd~YkrE<`hIkTAQLP2D zCOxdS)|z`-k?$?rLBTSW??DfGb zO^V^_tP~NZdOURWc)mMme!;v}1+-d@FC9JK9u)hSyTh7jJJw`ZlFavKx7tI1lCX&F zk0cc>+mWor91aIbOr7YgneWg6KED1+I~nhue)wzK26d(z=xgzXG4@T3%BkhA)=AdG z^tZ?85&GQlaHHc>yK;Qf_o;Ee-BkF$Fd1OFI3#8drJKkalvEbA2Cb&3qH*LTm8*I( zHM*=$Bb(G_*R(&T(w@@jvcma-^#%iy`S#pUQ z66bBjb6QSshOw7nL{ZPpxYLt1X9vPgyG3nOGLv1NhwSp*|JuiY+V_mn`UhVJs1Naa zSE(rR{0v1gn1BT+HUlU7)HBMJYiGkQvNlAj|&KTSiy(zm(4oL2+QmX2WsuE-`BdZM7^>j1Dw5jGgJ zTIU@nTUs$kYTJe=veYux8Lq~X=^|jgun(^kY^&}JvuYn+Neo(34CNG=IGJ%{I`jIU zk=I|C`#HtvRAc(srl-F49l!SBt0_IT=8Nma0pm)13h8I0`k_fkNvrRkedXyX*$qAl zvMv%Up)$YM)*KUHr*t=gDwk-t3X?MZ>o&%3?=#t70K?$l%Fc^D*WYj7`2#U*I zR&>VR?ZW7MLQsM#6HJV~M}Qy2i_)(=J!OJuTtGAf{fUhKTB``LqNb-z(t;Ig+NHn* z4~2r%7zJ!cL^Br0!tXWifwU%z11<@CfwAlM9bJMdzaWid33I?~l;(m2rtNE5sKme% z@XSi`2FjMoO0{CN28b%lI!^`8P)f*)@^dK7_#_AGW$V?`*mnm2R7}2HcP1UmUHVMt zSHat3(bQ+p^{KJU$P}r22Ki7-_%QTM;(|seVIn zfq8UX5eh>!MhH^SJC57Ii=3K7trKo#&EG zQu?zu<5h0xEvOkkDG=#(9&{ZqquADqxlhTQ2>I&KPPtJVZ$ma!z($AIMrKm=U__6x zw`0UgbY+Hv5y3GOpZgv```*o+VjJ@M?e)_My zH*%8d!MW35{v1NwB~p=$b3-ICknQ;z=x6dBRyPome(W=8Of< z9Sb{646JWXGqH>zW4t?%h)s{)k<7OTz-ppqcts>T%a=aM6;QL;jHy>MYa>!hEf56R1#TJ(>3fLuK!HkpPxacr@OVm~*eO3ojS{AjXHS^s;%*`fQ z@;o5fTOECpS``JPTJ1^i7=eP2>Zm7Z{a@q{=Z+CVjZ-LzP^(s`@}h?Z?a{AAp+43f zBQw3jOz%JyOkwl!hy~HYlI<4v9#WE6^f<}skvK%I0u-~YBg>&Qs=z@gu zihFfft-wQB3rZo|n7P69P2w(wf9Tq41f`Je6Rt52L{ben2jns86%{AzlF)v*8n)^o zb>2!!A=@OkM|L8Jrdr69VB)e?<+wEW%1XqSE6KoibY7zlbxq3(Q{CAg zT-AA@Sa#C`-R3wdSBLg5USUaLaNLBbzoZ=`g^{#_RP3{MYt!6( zYfeeG%5IJQ-`OfZeH&K!^f1Jp>k+ly2}9=Ez}?jbrro01^4<&JIr^`HMxK(sWj zZgY5GkO7-AGj8bEZtM9~4lj~@U4Fxy!z+!bz)KBsN*Wefn&dlzIfoY$t9LNwVkaZx zmUDQS_p);_Ifn-?0-!J$Kf0rU7b6W^l@yD^dzkvX7@S-yOj7k&EO7EtjAKYSzrR2l0-z{R61bk*p9L zOK^SCmtclSYd3!F*ACmm-1dy1k}us(^WVl+U(ZRBI$+v;I zjW3yiHqXkpHzzjJYmd+!zpl@jMyFV_$($;BxSyOn=Rf|!Py39|{;08r)b*M@oUG;I z(nTYvIc^(LQTSj&l!6E|8o>yu@bg2rAY55P$6z>JBN!nSf)QYNb;X_**9fVwBHB<@ zzai>tVXYBTF=21HSR9(eg{$gtgjC4p?7`8(bA&=90cIr_Ar%h2v0hl@ML7nr60ESd zK=YF3jJ0mG!nBgiU)k>pwY>yOC6zEZ;Z(K8PcD@Um)wF)7(eBfO~75rsw95^=cleI zWN`I)=G$|`O%bz#$k)tDI(l_~0Oys4ogGrQHA)xlLiq*(mu*J}17G`W$SYc|Xtm4K4y z`9j*YWJ_PCmayv4=Yavui z`|p`uNhb_}YJb^I`{R6(8Yy+T)$w9obycnT;-?Fi?bu)P)M-aGmkT#)l6$PR%Th-2$OP0Pg|Pu>DI+!`(fO*FV|JE)l| zK1QipTZ7=mcdn*J(0e~IgKuq%itDZr^r*~h1JxF!R(0}XlGztCsJ8NExcIbCAKzSK zZj7M_+m|JS#2{B6{(&*PEK$@~pWe0tHPqKs;GAuz$0c@xMaxJaklb$b_1wd+$c zgVUC8Z4x_@{-59Yr{4c_ff-c)!}E>qsqacyI@S_e!qVN=$Q*19lmx4|C!(%{HQaBa|c1BR#hTgbpn4#%{7O1iW~4%v<@i4@+V0w5Wh$eBD&NhhO*wAM=9&n^LdLohkFtoX~Q$?0ApTj_gV7Q9=qB30;(~yiMVm zx6`hd{-5Jc9ROgMnOCR;87~w;H1l9Z;HEL&3pTS7WV{f9m_@0F#`MZYkapb#^MEv1 z#Ck=>3od9dFI3Y&k=b4h?YawE*Ase_aQVp3ROd*$?t;Jj)g0eqkCGn1?6n&B+XY06?@`h_gQelQN9h(4 zBy*3F0q2CY^7)4^i}HQ-<%gHAyzpd_SLN_FTOD0=6H%>)o+4zB`4?^8a0b)w7>F7HlKxBv=j5vgL-|;6P$Ue42x8K#P&O zC!`uSw?){d0uf+hgi4`&fB|Gg-ofC-iZsd|o?6O{BWV`wQK}AI1>3NUh@lykvocx> z(kT0iTX0iqZ5g$Ywq#rd+sA`i(Cr$um(7Yf*hXJ5I*?fj2Jb-~?jkU*g6##I6^-A) zTU{+VYHCXeT*BDPE=nuDtLKAtLu31kHU2*8YY7fh7@v56{9k+%pYr$o9N+YPqD0rs z<~qbYBkVL@Yt6+(Hj!^=0TAEyjIcSro#WdN4nng3=xhJxcmM1g3N~HCD{uGpVCz6~ z35iBmLOonXdO5x=?Yx2A7R>Q2 z=%tmv6d7^CzN-~;d<$P4nQ~fjfYXt;t^{*@yIbOz8jS96vRQDt-P2I;!J}%f(u2C) z_Q9j^hTAFT$&Xb)N)H}^t<3kdZ^?#SKHeUe*)wlX8Gws|$v-T1^u?;*JZAtdwhE#H zL<9ZKaK>s$f_UwryeP9iShQ)MHL)f|awW+WJVOT|A+Og=&o7QH#Sb_|tIbYbL0beh z4N2Gfn#ewId?VJxtdGulrYHCUwH_?B-T|GwBt#?~{lv%UvVM%PnY*^JdB(>GtNCNZ zkIiFr`Jg;T{CMNX=$!*qg}D>!G$?-4iPa+W6=|P}ynxEr$oLhZ^9fJ_D1OE1B4GFo zrI#vN@!ZxM;@@L`AnmDagW?MU^#LWuiv4#Nc&*a@z|&W)2y3eSe0+(hVt;8JNc(Ru z))lADn${riFM(FsUpf_1RfZ-Z98vwXyhw8&74fxqvTcDNMB4$!{&55v8EZC-qg;dB z$5s(W-vBpV*fxEs?zijuG}dy{{4}ca_OmZM|GcDOO1%B-bMJZhWgu7O|1G1APy{__ zACoH!_9b?>G^6-(oXh7ho4s?4t9E@p@A^D6)MeO}!HhYQAwNcspA zH*^JHw(TpUxN$*D{jvdS$0buUl^|7{3x*Sf-aPerV?}xlMG!+gQ3YW+X4mQhk$KYn1#GI)q#kd^l$8!UAA3xT$ zhK1U58|t$vKxEbVrLVjaQdjEl(B4-YgD?fPneErV#U`2}E{eW7)Bb&nQ8Z0l_8qj1 zSKGfSd3ab}6>~e;ldbQXxB8dx-<>AJRZIN%)rDu#*W(r?1F0YJoR*)tI@9hgN)}Q- z=6wfUl)EdH{M}D~+b7-&TZdvfavqLL)Gai`uQ1bv`5g?fG;pAOWwy=*k&V$r5N2Xx z*fr}FAt?DBn{c@YBR!HVU~k-GBy}Sw(Xk8e+2g=Nukoo7qz3atV@4>tMOe;RY?xXi zMTD+_bCmp!uZWg%K}2w}2x}@?Q1Ux2xCTp$+B%=Z9V<%q!UPXM1`5*g0O|oP=Y*i> zH9tv^&**S6bOP?$t5N)dtqIhI9vnF)e5ij(&0d%!;N41TW0;ZZHx~j;Kl*XO)5A}M z8jv-kr1Q+T2W$$gJHh@OFdeNudcHqK(L+nMvkFyOv${dbjt8#=p2->%xTrtg0C#WW!{Cl8WWrTRruyDFIV~(kNVpE^}I2R)IQ{dO02{y94&9M|y;gW{jBTY>Yp$(I7F1f|!O2uN=(=jQg!X<}CS<+Ovi!Il zvdlMVc$8(nL&Kvi^DP<}Wr@^%zDIiy=Lw8s58#KIAG=uG%!;N450Vn^>@g5Ry%?7q zHB@1aUN4#&F&t`807Z-~CictO`S5I&>z|Y<-_dTxUYL~4jj&C9pD59_S2ImbvUeXe zG&NJrm%U#j*0Ilged?e4&mR8U!Lp{vDK}q^qXKdZ0S+`in4UY+9y2H`ctk+C)uP9! zAAQ9^^cdBn3l5^ks2yF|60cR86D$maDt|XeEKDc< znp4IzB=Ca+68Igz?!*56GI-V$zTo9i12Y7}D{7F(7SWX;RY>lDW|bZnJGEOu$w_J} z1&W^26wc*agvd=6?aRf7nu)Ttl1@=kXM#6_Cs&C92EkSy2Kz= zF}@Dk&{lRoG`jW?{!?&q=-|U>q>CW>_SE!ZK%yl>^p%;JPxg zA6G+Eid!h95I5!i@7%}!G}Fd-X#%m;VT%>39JO4Dhhmd2Yaph`n}&Q?!9=anyA1y+ zeS7Ouye7B^j4b^v9&r)+g3vGj(I5IZ{>mtv8o{Nc9ib#xPpb4%)}5##@r~zP!A%FM zoOS1J!7Ma19njJpC6az`+!p9-(F}+lYR#Y=m9D^`ImrnQ6|y-nD_fl-LmU^x>?Eid zsKg^+H0_Fva9j|0UG_d>#qGN3eV(M0!l8du<6yCwaj@7t53EE3i@?ER;T8w`C~&a+ zn9DXU1&2y#~ZX}-Gj%0d%FZhgU@Qrbo9V?g~V4UZYSA;c@plBaAA;$2d zTjMvYMnFGR=Xv5|1YC1Q&Pk4Z94Vr~+ZIk!_yPbU1P{ZV49 za8oO~jJZT8xwx=qlFuodtT<*E)eNV;1voV(I2_)A0N>!$z6lI80MqF9fG%P9-`UJ= z$BdAk5z;LXz6;@EnrB8x6XRWwkAwpJ@^}2LfAMV}8C`(_Mee` z0B37bKLo{%^%b=%kl3juz+Uw^iW}>KtF0DYfR*D2@9JVC1>k~6cu3I&hycS3#GxzH z7zV(YBpB4S)|4d0NwYPPr!aUn=mJ_;U5y&k+y~rpw6e9od4(E70)m)627C@LNJr1N z2M!`9tP_{MGFpQqneWf;0JxYqs37R;Tv5J3Hl&E*18ODB&nc};c8I48{=qx6V<%|( z;U_tMn#5S7B*sYz4SMLhL@r^7FW z^c4dm0uX}Qt`L+c)K&yNp62mT-0$EoB-}K?-J0A5l1#%0JxOlFiWyiiIK3w03I<2W zRIq|0)8RNYFe0S=t05H``T>iMd3BTorlJFspu6Hf5IjWo&~YLurq#A=O1xNj~^zCSmaOC#p}QI||Gr7N$%N@Ta8$qDMBeJJ$eTiA!3a@4&% z&(zH_IrGCA6P2E5@_$i1nG;MmN-$~u%`xa~Fh{=Wbd)!t(X?(`T|S`tAcc>y>hckVPUvccBt1oAiCwICih-r*Lt>QEQwx^R#RQ2# zQ#}Z-b_)dcD`i7ml5vQ%xF8Eskyf(&sYshjL&(FXZ=Zl7?SK3^Z~TIviAERo-Q8U*>4p_& zNlA8VwQKV{IlC2UupRxzoR?5{(N~1m#4%OKm!T!HO?6b+6CH{SLDWp!TvSe;7`BEz zkxOZ$%dL(%yLDV_=vOj#BD~5ecVa5Y5==qk#P}Y>6 zNV*d9Po#`ac64x5E2bDM)i%J)E`gApe19-RjFoKuNINfU>LQe^ZV>pUqds77ynum|GAj+OVf|McLw&Toy^^TrUJ)^a^gECBZjSOU$-UG@3TRhzkL^TE+)@(n-pli&KbsM@IVzd8&D zHBlQA_B$n@CaMFIIt&k|WQ3Z?kfsQVR^ANj2FfylW}Ei~62e~B#vjTi51hsh^jLOa zD>=ps4`L50pJv4z;uQx1zz|un3U6~5Zp|=oAV4bE<}<1=JOCl6i*$&5vQrX(5T!P* zgVua^0uZue&vN!H&t&YwnvV^U5L0SKJ#!jd%fhRb_NG(YGMmj)%KwE8@B7qm*K@)X zjD3i^OMs=7mSopE41f)|gA9aKL^qN$)U;nZps?+v1Ku|D7w4O?w(Pa#^NZo1=9e(o z$c~JZ|4KwENt=iW_2==3@IE#XZ{C?*pITWl6*Ce;_62#L`1?QVyM8|Osg`J5pL$7l zdKDb>>qs843f?Vl%kS*P?J_>6`0;iyWWyLl_@RRUVb?8g=PEdK8E8+DjRK<>B2r01 z=)tfe^=VnL5Fji$>!%j1s^Ct5fZl};Ux1`B>|zQ5!ft_i0?mq=LO01EK-hq!rj@L! z;AM8tW(^kB`Ya{L^XoylXv2Fp3-&fJ|57fzB0wn9d(ipQnIv2hAYjUmPVa#M;LxIa z&3u1?RAGyrpH?#8pkpCb=t$l`o2yE`qFAn9o-Y%qn%XgXVG>9&kaadcU!|?HT%Sz* zZCtlE?5qI3KtaCMsZsc|-Lo%(wvnj@ey8aa1imr(&TgP=>5*S-JqWoHw;1O{Z;{Hy@Fy16c1Ni zJ8U?#T2?DCeM+}=g#W9}Y9W~Q{@{4@O7E}PH%X|{0cDfss?#&Ux)|&NO%7@%9UWbv zpVn+UV8M14An)SY!T1ui;(n`wfXto3gS29riW0}{u@JjFRV2t(XFrghCoy~Ij zF_E~icqpw|-5;>z>5sGlOshK2$=Y1iX)v9ILPb-Ze%a*X29&4j`o7_ALt0z+I<=0I zPlKOBXZL+FJ>KTrJQp{kpPr^&ImHeL#6RhAzP$;SaJT5EIrnyVxi?crBj&V?b7sW! zc@Wdzv;A-1`lcYuQ`SBA8H|GuFbtvG20iMM?L0JfPJgLm&0TP}UIW)&nmQLD zNTcL}R&gTNE7$;<6={=P5cJv1l#!Wr-#IjW1{VyjS0Mb z%=ZV)T6T1#6E&(#=ULsL)k=rI1B7iPbV)MbA)A_u^W1FEk=9&MzD4`Rk^mfV72wj; zTT5%^djv`ybgJ>%fvKz?kCS~H8h>>_0HN!rf-$PVu~T9jyM#93fW8A0j0H*diif!D7-1eMYs#n`2*sD$SD$% zz^qh3w`_-ZBl*&_DIvNCt$?T`O@Q`x{JKtsM~F(jiTIzo?BuGXy(c4Pw-d$Ap3&WT zM$>N5>=`}$lIJdbSWOv%)-$b!6L_Y~v{^3L`u=(U;Mf1&Uoj32o(HCgfwpP|^76F0 zwgpG8^WBLs8S};3B!-k!{pcl3 zMx}&IhE-lfKnE^a!eqpuhF-F-yi>#_@wJ7?nD^DW_Wm##iT#dbf0&G#&$KWZ=V`OM z{53{v-zwM{r{1JtjOBeF? zY2Cu?;?d$cR2#4WD|&31Y<$emWlo~#$eos9%wO*$2SuThKP1DD-< zlZ5iEI>X+IU0m$4w$FHK7VTZRPe#{2s2=31!+qH@2szXpQHNDGfh4CBG6g`qda^M* zv8sgF!8P(ZN@W^hjQ-F2dG>=w0g$y@?GPYLv`-~G6+?wOx{`y}}P=SPm?*p`lO;MU(-%7l#%N=txuq z3}VE$Yj^WQke1XBjoxm|J7h7e)N@0Smed7HL&I+AteGK5OIieV%rjP8km0Jnm!I0D^&~zlPwR=0&J!&!pv7p{rCfmmuvg*KC|u7vPTJ zvJSKAhE%ZNb!Wf~m*tC|oT_F}a^v!RFvDfP=IQVMcfRg~Hi;3vU*NKsq1+Z)AQ5Z zqU+7<*v6=N;ujM4*(KpcZ**Q0rZ9Dmo^KDPSm~O`y*6Oo$vRq*$8n8rbU9=6wHbqkLXVjFR76s zz1PSrrf;Y7a@orkwK&IxlrY+w_HLSM$gYWn?@BX9{8X3+t!MCupf~LN8_z6<-8 z#D#|qGQ5_W8NrR}+ovDOH%0AEk?E*rSH(R}^Q@YmP}Mvq?d~pVcRlkq zC+*IYwEM$-@WTqN0Af08S^2>YQNS9TGGQ(W0aD1C{bxwPRd$w=qmt@I^=1GPi0UnT8I zT9Y7`PAV?n9V}#hiS$rTGyF82U8CRpb!`~?y7ZZ2N z%%A*S0Y12byB#w>CDC;R@r2ebY<8!C4{Qrlq--o0$b37KW2t>ZQ0CJXHx&`?}x(qr0Tj2uO()f{#j&+P4TU zw&W}Ug~D;URPaF@n%dU|_evX2+{2QTkJXBjIxCVuCrIjy135I@^d(0FA8I;PTitY2 ze0R>irO zoEoqNeUS1`{Mw(w`^BXzxBp% zdl0B|?W%Fqxo!c_SJ;|CjHqRD`^wF%u@hoi6X!dEt$B#B_v#mqY2 zVVS-Ote@rsLr~1D2_7&JUdVukV#*4Z0k(=~C%fbqNof#1E!9*@54Bj)tl0iC^1 zBg?neniaPX{R2PtNB{U63YuI4Pq#D{hGK%S3>-l^4P)8$HH|D#PS_Na1l0Km7UHN} z9Kb?!=onLg{nQTXQ6`v-Vj+f5bvc~|44hJJ0SnQgSj_3P9iUpmv^9=}SkS&I7NRX5 z)>sG_H(bp$EQC(N0lnkM;6$iUD-3QrKV?&KUtaOnZ)gGY{pcyL)h0ly}Su|$5V0Utan&^3Ha$Ox?GnK^!Zl=c4uYH8np+mzuT z?S(jg%&ZzbcK)wVQ|Ir?x%LT`t4SDn*U$bhd%x0CegW1`9b$I`;78jv;ssNDfYxj; z%3Qo{8M&S$({p}d+&yrVfD*z}i8Mo!Ob_~n&1WLs2ssR~!bvhEjxR8jiBAyL1m!C% zd$p#(G;GI<-C+$C$!F~dI8(ExvS8R1q1zA*XOIL^r$bLF>bI;3t*dVj{M0%f{LI^B zLP3#S6F|iGtnhKi6`^Cc{1g|5C7KuIJP)f*=|89XE}#quvgLU$I-Im1!;Cd|_?Z+N zwOs&iTO&vnmgm=w@l5bhd7hvMtR$!TKbPe_yDaY+{$_6%{#HKqzh{v3_lzIYdxm}e zJ>$pxos{U-qll^o?=|1@ zyuZBlaeSG&l#ai*K|y;+2I!b$r=Q2i#q3@)W4e`eIWx18w-OrSq5VwEy8^lR3jT+lx(g{hQ|cWjpPU z^Ue2vv%lC>oaq~C#C&|wkmdKo4_4hyZbmvyf31STl_$kpZe?z&p$7{K!r0Unt|womY1gpKL7A#No-sp zH+gk>pi@@Qa`aMv`QyIh-~ZJ?R;RkW^->4%wb@G@#MdU{z+nFB28?9CpBhBNCer}H z0WfT`-k20SfLl$*`>6rgs#3C;;iHD4tr7dh`b5=sQhTYO*H_B4$YB}c7NagE#! zD~=va5x=y*U#?`UI7{wc`PXiHl8@&i{;!Kx$XB{{hJE!ju=;D+d@+vP_;0?l|J!`s zY*K2($KyNbKFP2@mR|G=m>S+G@yDzeKfIUh(O&=|yLzJF38%*y3T_2=kw*vXW9N#p zFN&-l=Q&H~QA3%0;!_GIrnpJ@DTVto^pw&qa+1FAko?*4f90`LpGcmcvYnq&oI!p{ zxq0TNbjU-RQ$%AfVQtbid?^N>4qh%euHmo^JB;wivWG*LwDNG)jfW%K;i15RgGZP= zJb0Xyhcn{gwJseT$_?~Xc(~%iWDlplet0RhhYOEs*d-J_n18VBAvGyBai@#J^wzh) z;VeoN9umO*QE=oYe(Qi=z&QoAY)Q{?$|s!9^oPNNP4;6RPcP}ip8MWU`KmzAYf{j? zBuf!W7YoH!cjhakNq7mOLy8&-vK{h*#|9V4hWD+|eEMOqbKF-@Re zTE9>TR#hWp1&Ih`eQ9M@I!W@0_cg%+hv1%)NFB77Q&o*(0yPo>?e&P|_cdbz^&SJl znk%oWMwYyVM#AcyqgVH*OpC@Dw~t0uHG=N3Y0+EGtEqo?vHX6XF)exns@_U+l9g6- z=@~>f)5(>DiB`{U9eKps_7)>uG94)DxQPF&$8lFOS!zs!(e?Xae2qsKyFI#bNe2-o zJ1OuSwHXam(7R(GdNqu+vrKIK)JHX+Zr+a#2eAkq9Gl=C5K`fK1Zf#j?2CY5Hri38f5f{X@STi4XXayPN zx*+(c6e|k$40CXN#ZjxM*2r)VG+)W;SS{^JgV@+BH-7$a^L1J{N2eZ}s>!^Y!Iz7R zoP$<~XR>(Gte658I6z>W3X2DeS*4)GL8|3*L;_!@=A&BQEguyvd`>~bqZ*zCC!m@L z5H*`u;w}AkiowGsAR!SGRZ-7Xd10V7?Fo$p?leBB3CmLhPh7rL*31rn(r4}U_$ zJ^k6?7<;+$w>^?-IXk>BV=qBdqrPnjR@vb~(*TN`w(Os?!ysv(q5*uOr@c65hj&Bm z#Z`6~C^^w_0IYR56;e74WPPP=3H&_be#gb6Y#QgbT-}FUWrqhFk*ls@OymU51r+QS zbgCMo#K9%*u}x4SL8+_c!6vRG$$WQq%Z+kGpt5V+r%5v39uSa&>Vikdi+(K(LxU|- zeP6UCldJ&pZex+|EJNlrjCp!MvG5AdDfYd=rIy;9w&F+ zbv~-EXuP#D>#W1RT}2|>Bb(6}vJB5UGs;}l`@oso20>LMvcVi{iEUO3>&A_9%#p|r zl{?8z?iT=|iGvV=bP)VvN+NH+^dx2oii6;ipm8$j;&E$+H%T%v>XH~Xswq^Y2D{|w zIZP~E^Q8DyUn{Li2f^3Gz&e{th86||U%BLIp_Lll$T;eDLMy86?X&kPiY#N;S^4C~ z-v4dBmQCHaFWIQa^LQ(SFL&K^X5Y&3x5nuAq!{O(;q&(l`(t>nCShA|#HMsG<+Itp zJF`FS7R_e=b_RKUc z$A;zwV#UhrZ&o{rq9JJ2!w{^^KBmB{C$Zdi2DVCGz;*;!Y02^~&a&s=k{2kF>jPOP zrGn2f2Gvg~^-z~Y*2czsVz7?Z8T~wGvu{JV%UO_AD0w4VLkwBr+DSpWMU5TE_{(zycS;-JRqA%p4w{QqxGdQ9fu`W5Lqp7~fI^H>J}g_nAZ_ z6Q4@lWFKxZSvsIVo4S)0l5(Mn4`ePpH8ke1X?Huhzv-ra5kU;YAD_MzL~varxX4 zpji6|#q2qhdsR{N)t4V$zVgDWFT8a5K>n^fJRkc$kIBTb?~5;7z85Q7vkkT$y!WN| zysE*GeCK^Q^;a)ne#txE9sAvQ0P=79i7$Tmtr0hA?%Lxf%VfWvJ>i1`RO))_K>tpz zr*0RV)QbSCZ-7d!r$%nGr!70zQ<2ae=*kv6wf08fhaBq4rXlyAe4s1a*hgFvEx*cr z07c6glxDfJQ z$EN%|?or$)^mTs?pTQpOP}P;1kG9C=#h1SFN=Qu&x~47P<_U>?`MQ7c#?@aP*VQX@ z>l1(iTz3n^$l4&VFT3q}82bWnhbYVy37%@Tk#)fVL;-^DLw=?+P^8w?E0Dn=@;si>UF0NKkMoPN?(YjAh_@dDHK-L)d=#yYQmrkLr*$7Fzf35YHwTx z%SD%KBkO9E-#GOIYc7r*UV$3>;uts+mQBs8T9GA5fWDSD#;3dv82~ z!Sr$?7!*zJ!Qcc%OW)gFl+e(Riyr?qpYSV}|70wArbI-<31Ea?TUp4-v#3>|pSI1L zr@<;&t7;Y2X9YdJS#;8nRq`w< z5SYKxIeNZ3QU8Dysf#b8I+qDGRiWV<>vjMWMIVRYSh7ubztx^7o=pC)@z0x0N)9#F z--iXO=k1E(%}z0&$mAooEmOpYSDYRKpsshwfN*WG3a6BAWYzI1Ex~Dj*$zPVjh$+o zZ|?Y|Q(XbtB~g}--;-C1(~(}j)M6_73#Py!i07oWpte zTF&9{yOP7HzM7K5IWF$0m@mEX#tVI^cN;brL&YuJVzGb-^ssKl@SmQVc2H+@X$ zkJ8xW>NFdMC$<3oDs*!;U@(bUML(jQoX6R3oO-b*BFmv$P%kdqp|xuAZT&RRc)jX0 z8RXC{s27nvYXg|%-Ju!GsTUXBfowT+Llb~eFJh0j2SZH0pX9bsFRsyS&<0O+=w|pu z@Ftt96Tf5?xXX?ew#L3ic33EuUm^MMKm@>OU zp9q!e`6RL_g43vMlBY5AehX70@-T^MXy{;7daAH0mP&8b4;WgMP@)LBzdt5d7VOrY zHB`A|xmo{DOW5~1$GB?O67XpBgD7J#(iy5`UmXPv6uEh#0>LO3$*oskx_seXaz%1! ztjfzJT?y7$Xyn!nlgbZK){kGC5zv&o(l1vQ?E7iCi(v`)!*XM(mr!LUuiDk11iCA4 z(FgopVNvTJ{@r){Uk@W0s{TV884Y$-XWx6Ut2#L(!_Csk9~o|zPA(%7kpn}UlgEg` zB1_U9q72hBU^zLAPYupj&XLjZcx5UpbIZsGW+c?7k5?}4c=@0)NYv>0g}N?%HJ`Fu zIPtoGdpTVn$0Lb5iX;5JZ~sNPXC5?mS{77e=i;qNZL^qX)ORYPKWu@*qZnWa1BMXx zwz8Boh)y61HQGH_lO1#))GX(yieb8hfO%ILS zBHG5+;}#_Y?dJK4Gwt49?qC*LKR$+Fzu*0aKloKAG)aM_sjY3%)_ScD89pQ@SfK=s za0{KMt#!dDJT4EN6=`c-5YsZPHyRWn?V;sx3y;W;T5t54v#;u! zCvB}CI(nm52jd#CuYjVhtv1>ci6x=_?9leH^bhQuK*Q20bO39NK`6)g~EiL66sDk8@}PrY6)U1T?o;wq=qW z+5ib{L`+DWtJaA;Zw_s?AeJ(OF-WGGbaW1FP@mQT1pv1-V+ZO<20)hRe1h!}Eb+~X zRne!^k&vh9VwQ8!2elUVxdOAi@eVD&oQbND>0nWgCE`J?BN5eNOWEXAc|K^GpdtWD z8dj<*X}w37ZO{`kReMxaeT7Kg59;(0W!q@zxoZBeIh|&cl0yiM8je0vV@a`k8hwCz zNDDyCb+SDA!0DI$hBXzQ;6MX+SO;L4o@pMPE(D_R-MU*NOb<0GJm{4(XQT5_R~4QZ zXaM|t`<_%4o-)t?&$l&i#}!o-9*lc+YO{^m@g$iZYYeRd@0~u@Yt9-^@cwC!wNyFe z?(?3@Q-ktEdaSXP9&2pXaHHnIu?1KxOzVw4);Np&SaVZf1V5qbvBpW@>vGa3Kh{ID z5?XY;pxqPlr~|~=6A~H7AtHCGX--m<%E%pg%u0~lj;}(si}x_N`ej^G-d~bHWq%!a zm5wjdq0;^ksGw4^9Z(?*HvoJ;_D3Qd-hJMmQF}T*cBqh3@^;7R$ZUkPoQy)q%h4F+ z<=R;Xn9g;lvkqk3RXSmr4wX(=#uKCy)^P>pf-$|Sn&yQf1SS;Z{rLms{X0Kc^>fmw zmz>Fc866gzc=#~wKyxOTCWUV?Ny|4eNg?SvOj5v{Ef>c4C~mqiH|qmoh*mmo#uHM`_|$*>EC0w}3?gh~MlkJdg&YD^rEXz<%<;gi z1a#{GJsaYo+gC<}T?7F%mJ|yHJ9Gs3))v9tnyoiz##)nqmWYv9k?*q$V#F+GbwVvI z1dm(^QfIi}cD>L>8Nfy8NmYWhTP_HU8F^bU_7J%i1;E6i>GOvmU@a;Lv{kbrEtv}z zY&TVT7g6S+akjGLDjJFj9RrjmAHk z?6l;A@^jxh@i$5gv%Jo=j5F3U?z38v(E*jgtcwDkETGQwr+p-^K+&96n2h(eS7c=Q zzO=pU@J11cDAK3^DXDR&}{&k^zD}?P)n}L4qhm)ss8OQX$@oLf*~5jv#^k9oh+X4tuyoVLLH9j3h5>9;|qI)_+I+5*=gt#-(f zcQPhb+Tw^f6_?GXJn~}KOI8%y=-D<&?9qvrQ$Yfl$&+CXBJQ>L;0j~ISln6 zRK6izHRPI+k~tKu1JODRy-^VAWk5cN_>mE%&25G(!mRH-jWB0!34hPSFEjp;YzmlS z{%7C!C2v2xv80$)>37`C!;vW@hVqLAG;8I`F$8sY3ZXAYeL-*uf2t9z+)edMF?Ivp z)V1HGy1J~Wx*C4zYIST6o!w0o@;HeUEABRMQ0sHd?&e{&wd^)B!$=5M7n9vhjI9Uh z7!(!)@Xm_a-8>$l1yyj(_U&rL%H7WP=t_+%K;~&^HNEk*`6-s!-3`(TfA6X|Brn|%9r$PUw}mb zk2I||<{zog5R#H-_BHq5e6cmXvYaH-vwdO25qP$jXaH`mNPsEYeqYvA_M|%sfcKnsGp1cM8 z>oh{{DNpzmna*4APInf%&O?WuMe@@rAK9T-IbN))X@fAncbb4k0yXo|Facd9P<37` zUQRqrn-#L2odhZp?kRzbiLq7dEXMU#30T>V1i19Z#wJGb;)zVlNq##0ei)`(E!P>g zi=-@Kj!58-4conG0vb8tJPL~m=<514(;L5^Y{vy7^NWOgTrd*a$P?%Dm(+0DU;O@( z0B>d&>*H1Uc=XpQoA`FK19|ZVHxo%{4kq5mCR5b7l|Zp9_-%JHrKs<3fxggLkK(Rn$BkyCFl30-%!UYSRE*R81z&wT! zE(jzb;VghAuQ#1@q?_e}g(|QY>PG;+OAbT*=w^lF0=^x8AQt_apS%JE-UR9wu8{{2 zDGOfw_wvDA6!m_JM^yYFcbB~AUzUG_*~;Ov0VUhfeoGnMaY#D9v;DRy6)tfMrI zO)!?6j^DQ@eH9>fA*kGaWRo)ArFIo1|620>2_Qo5qU_MmvuzU~20s>N z+Xm!C=nm{917}?Nb~mMJc9Wvq+(LABeBpM7N32Av#%Cg<^AH)m{1-mz)nD=9QE*sG z!OHP1vW0ijOSy-QS7-rBhviu~zQqK$Xv&eA@8N(^e&XYXpqN5m5yR7AX5fh<8qg|f z2#P6m!96~(gaP&t*hJ>9LQqVh31SQ}^POaj-4M2d#8(K4DfAUFwOtNJ zy5MSSh?(u)VJrF-u3D0^E(j>QSm6V>P}H-J6}8}Ck`~f1hjU=%rn(w27PcdLu$-h9 z6C1f^z8r}billlKToXCrc1=l~`+1^SSV(oj0%=sj={)o8*}`8C_|XyNp!PnBcj!ll z$HS@&fA*EI4p-+{-5{KWc|V}IZO=2`q0;nyK!8~Po})g9x)@EXlh}3|b9EoQa8i{` zJmjg_TyjJttLXZDwdXzuLZ$m^&R0wC6TJG-K0~GVRb3ljHH(E%za+7I#Z1-XgG(z&;6qEnJW3f`P!U5XdXO@cDwd9SKy$_?Ngye;&_E z-p3|i;KCzPB`SxILi#?ASiEb;MfPfrEZ-VbR-b?EbGzU6{)me-!1B0Ax5%8i23s37 z@^MK*C&V1~l^FvU!~g*VFHjAjVk5O&B}i@Kg2%;1dx8$=gwmKJR*clq629U_=feUr z)Deu-5dfJWE*Hqk5kqRVOdTsm>Ii~plv1!G`c5^{3PC;#evZ(`ED$0tTI2U^E+$e( zpq*K5SoNdey0fAfgR-}2wQ`3J*HD+bmpJdUa@F&hdz7HR}oR$gNzeWV&Ru0X z6qn5}#&NoE6)Y4BL7Hh_F(9=l3bp4bX4)kSq!u3#KGi2FX4)l7HWu?ZI!&Nrrd={1 zwSpGQYhKe#7YnGi^LoP?xrpvR+N<0b)2Ow*1stuLX#W@dO|z*!EfO0v)i$irp3cs& zMqqZ&F}u;0ABjskVb7e4y}MlOv|BXW_1l?w|D(@*<)40YAU8BI?%}tk$IPQJx{WI(xN!hlqktQ7aVFztjd!6EgL~l5d|NC#=v$~u)>n+^A(4>7bVkYf`i?Q zwCm-nhq@Of7i%kGXd)a02GvJ4M&|k@ptMA8lqE4fOCx%uj@#O)=3@PFmcYGgl@(-C z?V1_<4ahHk7#@9(oJJ)%YS-29I^eZIZ|Ij1h3j^|m2YBT8uk2N^R;a1a*~xPbVCJA5pf*xDHNDC(@#$OOG3(9AiA;S# zPPOP0mZypFH+5wB)*3O9`Oc^Rz#sdeh>$cR>JgHcF3_~BUE7%Nj)|+1%hk-RB{E%b zw}*z*8WajAjbK$aS?)NR3K`Gk3M~rtit_V&C1$bF8Lud)5nEE#fpXCV%cpk>j;$l!G+iGwYf^}63 zx)#3=Do|T*j!cr$YT(z6R4+6sG2qB~HkVUXwG4fOpAxM%3MWi!=BietJcX<(|3srY zw`eWZdritybQeWb_l@N{Rq6u)F=wey+?kU4oQd(yNQ`%%gL|(t-rgSZ5sf#8NYnlA zv(Md{c8gl0G^ak#llpwy&;DEYUx^S&3uGQ5m2%l;%~`v!9q1X=5Ge|4G#xIuU14Cc zm?O-PAFfc^M0oVKv;IB{3ZuOznkzua*pOJF1@U&;)Ty z{6JNO5_l2BWekz<>$ktT^m&%w638H}kAmC2y&%bFxn$IZ0f$v!tsi~V1yIYM=pR!+ zbalL?du7as{iTD7*S{NJq&O3V&&xN3tRN11PAsb)qrU*^*5Cg{Ru%KxbAue<9vEGj z@FAFzT*D<`iYfpsq6*~Lqd~3%D3Rd>0jK~&9WoV2!85GB_cZVp;9kl5GrG4`OF(0tW|Eg-X`V;azz79)$wlFVf4%@J7qo#~jl7;|^U7*jMOW}wy6bEI*eNaM49 z^7nu2gGeE2Wz18ERwsK3*?#8x$(qKh40BKRm8B3}@cd-&U{6@*~FrrGF0;XZN=obumsux{s2hnB`O|hT<=Im#+A1d*b!Y*Nc^gwDCP9{ z8zJXtlE!qFuBf^{=r57PCuY?!=UT6sZxH&&=tH}73HfXJHkDl+*ezByph?+buP3z6ErX_+gJ&2EI$^Xfd~uJSwhnAJP*5kBHRZx(=8pbsq*nGtSrN*@}C)9_TMiDu9$H!n0g|9x06imPBe? z7Gsux0p)yllbrWdMtv7Ew#vrNfa&IlIqa~!O@JE5jyIy z-664CuSoUif|%X|l0y+(uw}hEG}WUE9u{!zL~tYB@|7UfqYFZd9z{JKFb`3~QTqx% zkEq8Yxxvr~S#k$7N^@)l*Bc}h{E~&Oy-N#1_2_FJKw=M*1n{V8@#-{{A>7sk9F+q> z2{R>AetqQth!(#&-?gh*{;L8xp>2QZvZLu2{Y+}|ohP-+x{r1pa7#K>qb&*Py(3(l zwj_iv@m*L2?vm2n-Ed7|C@Fdo)Jckd+6rnL-w}v$r?8SXTm-D-q;L`EyU5`h!o>=Q zGctG*KUj`F_2-Fj4bfaK238I;uN>V|pDcgRK% zBU22lROi~OTeRgIC)`V91Q|8q-Slf8H72UY`?#?5n{Fa7RCF1iFv5pL<(>bl&5CV} z)=E{bzjIvAwT~4mOi14+Gb^6;GqaL<9*}aaz>8`%l39`BVNU8bXhW*XlhB4YH48V) zB61#a9>)MH!pA99Px;R03l<#^S82XT;#EjU<>l!Nm+(x;xO4-SClHH0Jj4}6EKigC*{B*P@x-uIGc0K>M&5D zo0TC;{3)m>-{Q$JR469kwwkz7hXD)4po31Bmet`7kX6YeLUDE_ne+3XatnM6B%wHa z0Ji$1TPzFU3#XAY=-mntaRf>TzA9@4<`g;l4*e(f6`iJxRX^T5M<*(=Rhz2KJwIn# z7D)BW-b(m1pqS@ovGX^JCAyCES|dp(tz@p4o6QM|^d{lcgynCjr@!wHz4Z0}V_@x- zp~w5m3SZ9-#O;!R-=lF=oJ{-5Yco#Qb3_76O4r~WR&O)}sR(>U&P>6IFs_*H3Pk|7 zH3X@rT@X5m6p5rf-jJuT5~QAXK}-6fHq$d6mp9Y}cc{o9t;1?UcRVijvWT44N-G4auyh`PH(llJjb7!5n!VR%{UGq2VjEX`|$# z0sf6XJB>e5zhAR)kAe%-fNH@i^1{!uMC^koX}upw zL}8K*&XST_v=26GR*@Il^Ko=auDS%t)!jh^rfIMPknQc7`Sw5#K8#!uP=l>xzCRcn zZe=gDdvvZn-yk6MbjCX{AC2U-Jr8v*0xw?zwB$4Na)0QKz4!}tWL_^Gknnvh;pPdp z_Fp92g!CiwS6U`Z`KvbnHKQ%yex!sO-{Itqpy-B~NGIbdECVuPzvf!k^g_zn@$S=Z z(Om1Aev3}QyMO;*`RKp&=13Z7^MNM~bPEvi0-Fx7THiE z#5%$@*DKP+a6uHXh$Y2};6H0GhU&=$F}l`(@rc^n6~)DHNmRH%eag!QD_4Crlg(@~ zqi$bmi}dr=bA`fkmECh-ue;+U2|x<$kgH;MHVK%{9i z!w&iQh~rV43)caj!bznsMSYdik^FwLq(D)kW%guCYLD=2N#Fa@dtOytJkJwaTwFc} z1$X%zyn=dE->WY_ynN+_S6_JP@_~Fo7t4qd{3CWOZ+S%((7*5VILL+W`#cX?_I>e% z%lG0G9=?=#Z7s1kizr!FP3GzZPEGZOUG~gd?o=^yeCB-R}2K)`QL9=35Qk`vs%=&bOG@SJo$ZL1HL8yl!;Rs(zPXMf6)M5M?z7Lm=i!$@#dgBsskO^#%eSE% zF(%*nFaPq>FSlWcL+)%@wlEPONA?oV{LJrX=ES51TW}OA-5j)yHiB7sVi19*(spQ{ z(xjXJk zqs9Qua;v|x^4x7!M0s#UpD!L4Qz=iIegnykV{npWR-T{$ZMW=N? zcsz22B9uQrdW=DLJt}bq-JKtFciqS(IgHbk-dtw9yE3C`pAoy%8jiWlc%CxjSNzT& z`0@WEjIVa}y7AR5xYNk|!CnEqgHAlH_1RYzxws$@HY{X8uxB6;jjs#tcN?X+-h@q|R>DmI)gz&ZK|LuVvF)5u;XSVux zXZ5GuqS@--PUY`SU;SfW{LEtvE*iuP(dv75LvHbvCOutvrgw!F7bKZA>Qa1ay(1t(5@ z4qF62QQg)7KTjnElgzY4k`z5|SA@Z@Isy?XT}xoN)JKj4oKYqzpV$ zAb*Jer~93X4br`=ycs?SSX%VtydNY^?GoSlDfqu}7JZ*6m4>!@<--33TBz-|lkT}x zGHgqZ#QJ7#6I#iW+T)}O7&S(=$5lmDC8td7b@DisqM}YZP5Xnb5{2?qZH%$ zFU9O$Jig^n(?%n=Xm&4d$Gv#h7ypir`Ibm3Xokm=3YPByuxJk^Ny+FVRo^7Rsd0)R zNOll3GxguiSXUYS1ycF6iEu7EbyMmjUlE+p>~De~h{oEQB$bT|S_*)ve~vn@6ZJWx zU-Iur{Tp`K)_{?v2J#ibszU@4*nO8suQw~^jDGa*qvO9l$2z0GSt_R-u)|I15(YkJ z^b5!NjzsIt!NtAs(}a09o^Kf;m@jtNL_Pk`ULAeZKn=xJWXl#Zl1{~wSVdLn{_(VDFwWXj_w=%j$fJbW#~VjjNs z-irc@slJ*5i#a<6*i_DJs*^WGR8zS{6{u;-Ejk6c^i_ZR?|j}Dj%Na#?*>cZ{k>nMh7r^-7|44X8^ZA zNWW?Vm<>w7Hn|}Io}Xs{X7^4U%ek82iDOl=W^m$I&ejZ19LwpN!HHwi##^rF1{25B zRS8Fko`O0l0U+0H^M{t6#jS;1s_r$7fKqerllGQ-|t)_k$|GqI5@5hos{s?8Oq#y8ifi5 zG_n84xUw!IVyclCv#>q6LM4n}vv@{e7S5Y!PG|1*noVOf^m0zUJRq><)t4?`co*6W z=B6=b?b!G5^CaX9P81>}Ut8P({>>l}?u1FThYH$$bw6bX-z7M<2tsUoJZBbFDmqd4ICwqc%;ujK54gZM_n6HJX`gO4&TiVL-J;pFPrpT{nD$5i)z^I7=eDN(28?Mdr7A#2 zBAHPuezP#`z;*)-04u{GE;3ZN5)9K`$lAy;Hq4j?0`mhv5J-tGCBUv$4Aaip+n7VE zf(NoBRDxmJF?xG_qz`}xGU@I_!~4YXoUZU2qRtR2V*3NH7?I!l+u2rnCrRm(HW!pa zji8uPmyA)t7;T~;LHmkLfW!s3T;n*t$JtcU5XI zmhH2d*{1UIb!B2du99Nd9>o95_%l|N7uafTX`?Mr@FA&>PsZ$BBR#De;nWy*Lhm0f zfxjjr%eO{@<-Q;NgMaTW|4S%5MWuOK&;h~$px!wRp?zfv&jm3+4^$&WF|SsGNbBg3 z27{RDu~?A`&sPM`3fRq{On^An&mi6I^$u_)+2cilKC{WQ#YZ!gIkGPrlm> zPEn8PW3Ta)YlY=6&8T~Lxjme8I%IA?`a4x&^LPaR68q-z|F$OTFBSLW% z^X!{#jgI&ZT@;`4!TCA9>H9>9VI4LH4`&P>fIv<_eIStW>!R@w0r2)6nBa{_0~nvv zHJm;1BPytqrJJhZrY+y6;ePY_ZSVix!GhZlXh7!!$Zwg;*kOXPR3EHdeSe89yL5K7K zCAQy~E{rABrSmnN*Ws{R8Ifa>NtUn#fl`5GD0*sFo8-2Q_b)oc|JQS!fS_f$PmkXJU$uucoKF* zz<9&q9Kh=@SwnG9HJNuRPF(ULG~~72xoOMy>6m}_ zKX~*hZwYr!7ix9Ppz5e}%#iA+bj%Tx_2fl|R%$iEwRFs&>c~0*_BkV!2XD63T2-;@u-a-=4KnhL=JG;gCLGK1Da<^e z%4DSt!|I!}OgI)w0>2`X813Gw>x5%LsZCAAwUlPKTaoosJrvz5qR&*D-U!k1xaiW> zuR}C9`*UaX=dO)Tou=+y2W`6jsqgs<+g6);`cKaqq;Bw=gg+U1{|+%xXj6n?Wy--0 z!K?(Hwg&vG(5A3Y+XJI$-|wn4snj5P1)tRV0a2a@F>@4J5(`pAEePe za!Joo#*#^!;^3N(0D=#puU1BqWbT6meWJ}+z_10)Gq~hr$1>@`NGqydTt%)>wdY#n z(MN52U*j82QHgqlzBz7sC?`6!ElWjCSvgLI(uv-{BVGR&8nW>o0YJxGlkEQSdCcx# z>+iu|ywhQ9AU3R~&!MT0F%p6wTHSk^-b*K}>AvD1qD%4ihO{H%P4799i%Qk1yc>uSCwG=t`OXzuUB%0sCv}VWS$a3 zm(b{7G5(S>oU0W>e?oADSuPsLE*7nS8~PJI87j*vsOidT#n7KP$F-zXNmRcz5?obG zG%uk)A&AkNqG*qsO%obd`jcp`B@>(CV^Sqo$4>f_aIV8rJ=|5-XKuYworir~f`GnV zGv6KS4#&|KhtBlP)JKl3EINz7<6F1eOZyp#0B?SvZSEW*kr+6VB8~DCZ-+JVmgP;1xC~t!4k)W zJ4%-AIaUQmOzvch8uTjW;Alh`Cn-LFpXF|kxK%G_C4=wsS&9!(Bt6e$m4@mUl8jW5 zJmLho<`MsEe{}HyToP5yodl#Pgl;~iR#OX11mGL|HP~Sfo$golVUW3y(yM*)0?KUY_@PxfjUmZ^u+WaIcn3P;;0 zQ}^lAS>?}@A@C}FYTT)Nq2^l7{n1`w_IgtL%XH$G_$q=>`P4+B=Jz0!cXHmAL4T%` zIRl-Hy%4uWCu7TxSl(+V_NFc0S0?%LKl$eG`iwE7gdmdxGx}{!Wt3zTwujCdZ;uJ9u&@*)op`PB zvcfwo;9HwfGG0`FoGdW4O%lW@**UZ+W~kOn)i!&$49aOhRyKz=9X;P5#47~3(}19~ z-L6^PA@AIVH_%9q8jzxN6CyUhsUOMDkAahKujrHo}sA)}OgheF>;159Ak1jV$2(l zS-B~N8O}FnKS^O$WnaNbR-Zq&!GG zmD*0v49ZIjy8Q@GP_b%%o+^+TMm0+Fiy@pd>(i_PnLX4x zECByC*cJM>|E$_w&yd=sB^p+1zPd~*ryYacSU zGWKt@Z`Wg=tLw;^o|54l9J_h#>iT1=P=E3r@B2^xzqUH$3e~E#!$or{R2Lkqh11I` zf<62zoLBfPc2I;j8x*f&X*za3a&LD^)mw?hRhQdOg z5X{Jf3riLPPtb$RNR=}{FCFLxEXP72^l8n6Jh(WlmIn!s0Q;~Z3rR9PxffgH-(Wq- z>vm|)bJWJi3ji0?X#7f}827xNM#ZZr|joc&8bbLMj+3q1nLP%)l@@ z*yf6wOjgX{5tUbpcF1s?ONa<};NzzqMp9C1&=ObS$&ob0d4Ik^`GDMx@MOKYU?g3@ zz+gS5FBv6F2-N#?JME8>XD%VG*B1iwEZ~qe^?yD-w_|@9av7VFeU1{MjIJn~AZ$)H z0vR^cYtxu)Y^EueH16wtik3L)VvUS^VS1zlPR)mK^ZT?}H7`8-!t>7yA4C}@_3U%+ zdH7}E@#X&|?t~)fV~ehko4h(xZRPbGSUtFhLlb-}Sa||lbUH9^w?nI|MkBFeP~^>H)O|RR5X_FZP$5m`eNi4M5bxoti<@z1-u9L(Joik&0?Z z2f#3%f(?OUudp$IqMUuaap>|&pI)V@!CPSOTBy{Dq`=k4q3go{QaZKDuseK4Tdv%cm?RdLd{sKwWcLr2luEr1W;jIJwT zWT>=OrLVoL4rKP=tXLW`DPVvFrejkoeLZMYDt$d@RT8d`K22eNJy<0wY4&VQgZ>qO z@b*Wq^>yKYRg$>sHat8`Mv1*|1us-$p}$R36vrZAr|43 zGD|0pg0qizGQ}|s6jS1=2s~~aN8x;TO&nE~xeyq^|5_|bP_F&kw9njq#eebrZ}{%f#_a-QM@J&O>I!`w z3N?ey_W)Hlf)Sno`Mn@K8b2kdcIc;9D~7ovpjtRdA;^ZIMliG~(CLRY?g>L)K$Hey z>@q=|f*TA{ZB`6jO1jTAyU-y>!m&m$R4HNXASCC-905FQ51q_ihKEb{c)sjWwWlh= zYA<~6RiD&F@c4U8WY(iLDC+;|Qm1&h2vr-PESH;{=gN-fUr@=n;lYjj1W1+#6F325rBM(BfFEooX-x)78a}xFy66<0B zFxGhS4gy_QC`v*;s7^CC?Q#_W%gc$)hPr?yB5Wfk>|Ld)%GsWftak;D(lFKfrsI`@FxjnB@KWq~!hO z$tn9I1gO#m02!awQiJn_)h_vLz;6KGNynGSAst_~)A`rwas7EbMf}0WWcD|{@i#vI zOOZtG$L9?84^YQB>;_zffE5z_c{muop?w8qNFC>blN${NfjoRpeZ|R`&cXfxKB>Oq zVE+JhoD1$ys#M$yaPOKLwy$v2qT^f=-ky$+K~0>htCsp1f%wa@JJDT8Y9gH=|HZ*U2}q4v|OkK_hy9E(ei(yTXWaq#Ar zGUL=(-CmlJVEWpg?zH9mJl#L~?ngiOmp`(!o2g6Idb$Smrl-3G+uGHh?tX)?l~w?S zM5Evi=dkj0H)y;P!L3ZvsC?(_=@I~`F7bM6gcPx&JSOHlAJ<@jqhAW*Amg|Q!R+ZC z)?l4fK{%ex#mJN5Zo8oGxgr#pCc9(B?CIhhF^mjBJvy0;?$ZTF{a4i%4<1$c#~POM zsK8QOxJS)}{*h0v*8Jb*YuWVGpxDaCSL*OxAxrk{Wknk4EBn8FM~J^sY6yC6*3y2q z3uD}ZGrQa~$Y8cl?+WcIt))vIHb^BiWWxQo`7%jT^qB5=aa^u=mms;?Fu1DMO!3;q z5l@;n#tCUlBbgrK3p~8!@xqdLNl$@+%h17o^l8r>ph)?-!)6A_VbiL)@&I7-jL#ib^XHBqo99kJ zQFhofJaoBNFcm$ z;SCU!R0XkuBg*^N-ozSX=5r7W3=@z;`S>&fdH>pdSPKX%9UsMrieV7~_5NZjiZ|2L zChDG%`}%8nOnM*RZirc-H?ozs!wo3!Z1b&e*(3#b?>@GQFu1q5>B8Ksnp5s?Z|KUISiE%H+CX8)YYvZSOby?@@!2QMXAar-wOH%9Vr2fGR15+V_ zmZJVZV$8!PBsN$!5hf1IAS(!Az~DhJ zfdqwMz=Op@iX4Qni9>$B_4wBMzN%AI``mL+-);)jb9UXm>Z__#(`1S&5EY-^GgfnU_~-6}7pLuZKCvTfHx04ux> zbZe}!g4NsNG@IWiTW4G@!2sH_9Vf2TzoaDC?dl+~A%~kxW`G~pNG9tHLyttStO=6H z7J!rlyRV6sA@UmG=y+p#lGXk3DQqAXusXE(*|T+KI)?rz)`W)WooBv7M~tYIf`vWU ze|y)SZ_yDgm-2M%0X)<eA)1EP7}vn$y+d!MTg)e^m@H zsC~%&F1oOr_P$|9s#^D|oJrklsJA9u$e~Z>R(fh0TAK+UC`I|ZLNwPPjv5LlW#2;# zn^>0tUuB&hb*$T_%B2K1pKxQHBzg_&$gVmNvQDy{_NSFd zo0XNgi(gB!s%nlyL2)aFjpn12bMH?1^pqn8G z`rwa$)BYg+!OWr){fT)_HHT9yY1B!)J@POe+jUas;7X%0b)&dD&PsS?~ zE7F#_UkA>t3xG^`5U-3e`IFtEy)ZhfdWM z(3D#cyVBlsYJmDWNs{W%*8~LuCe1_g0F11+CLMK`1nCgR!=Qm_yYDgJR>j}l@x`;xRPQH#PzmuRpOTy?`FT9lzKiNdQOx_- zO1R)fpdIkZNw;o!FZK6x~!w`QY}NovLf1>9=7aO|Q1}=BMu}7_(+>of zTA7=ymAQ7s-@MSFmj_*#-SUi=ge6&B+oe}A?vG23h zzR%sid>P9<{enbk*t+-f^Y45~=Yq?3-t=34^}^-bzwjNg-wXG#{q)=35j)E%-cd1M zVnB)~!`9yK{0p!9!S_y8o?1ds3WlP8E zL@QhB)6w<=^KP>(9T|746!4~85c86_jz$+jMr|SMJTqL3on=trjMa90(w2&GbL6#H zsNoO%SN^94v1dhfsiBI~uyFB7rH9Iqbb0RvvaK`fQ{xys*}gr6m+QdlVozVq_Owd( ziPkKd&)a0FEK8L|x$l*R&7!%ZmU`^_SKs>eX?P>p9Ff8F!D_mNZWs)I5L!r-?$`_j z`^qZlUC`U{idaMXyWTVs-omM-}$*lyb$6WBV z!O$`)6R=|1ytG)6ddvlZmXOp9g>oGj1)3G9$BH1x-z0@}JRlud37)5PR1sK%B1U)X zb|0^h*Vq$QnsHUT5jS1KqX zy8R^ej;`VC?ULld7Y<*{(L^y>^{ZUh?w66^8sn{Ez>$|BY|rlP>xSdnl)iOkISB ze_cU<@~$KZ5V(6aI2Cojmr9Vbr9VzVEU}52=<_v)J)7rhjwRZ^y=Z^l zEo!yzlFPlBT<%Z5@#{bJ15*~vN}OTA)~6n$NM*rF<`ovKwKv&KUeN!Ah?1 zfSM}>#NFQH5$EtKJXLtW5MT|YLoiz~BoZxC2vb*Eu!^=4P5f3qg=&3+xqZb+Q>XSP zwY7_Bs!W}3++aa-DQBKr^W+B9|AzS-`b4RIK%Od{prbR@pvLDZ<)zMGq^z`AG;&FJ!7G9%GUAKidesWj2Y0~(C@>{ejEOT=s2wZPJuiajPEte)7p+D)1jTcANtAqZ zjJ1@VTcnyKS+n4h9bho|B~RVPTnCq&w6-)&VzSByx3u!Np*r2hK+P}82)CDcq=%ctM<@`a}ypL_aUk(0D^WWoFg z@{!E3&dFLrYA(<>?-nhgrskY)I-InkByAqHE4kEUzz#Hh50vFF)**Ik<4YoCo{IUWa-M=NIl~7vP3`kh~;6 z>$0AT7gxgm$)~v(nbSP-;3sk5#1S;o6w!?To zG>Dk;O>^;|x z+Dkv->a=#039~o;`LF&%)3kOVQh@403+ezhha^3mA49YEh%V6Z0nNn{>q62%CFAut zXh_i3k^Smuu~G<9$G9M72AhThYn|%C5TuF;LBNcnw!~oZHie)hM(iBHatu{T{kA&v znnmSVFu^_AX(d!5S&`a+SW%KACWxm)XG>FIwt`{<{3H>*>XK-kL9IbP$ywU1#2=wO zQafiTnX4KRWViSqQ9pxIja4z-GOgW+7F%fT&Wp&jkvfuhqO)C#{Z6B``;>qFr$6bR zOd(8roj?YJY47}*4tJ=ZYg?%0S+OmhP}HF}Nj@V?!B%(BY4;fqst_ifc8?VS-l$fH zLstkBMy*R%6Y@X3Y4o>ap($Rg5#`*-XGYc zQh{3)!i2d3Y|;X-hAA@Iq!mkwpIZ*Dbr?8HbR@RdEl;pZrU8{}iv-e|e7O6PI}9?Z z&U2QARmHbTrJ0Y2RL(SPZj`^$VN}_hY>#(UYkzansI6KMY$`d7!hMkZr`E${7U@>O zr)J_)VK}=BwDtVuJt-sA8h)w5_m8OS zFVPGTt6Y#@;aBqA0&T6(TmS&BF5n&Rdw4DPO#Iq^@Y2_RUNZUMT8&y`^6c;5%F-y# zsD~v-v_eacXvMzrq>>AsP{1pKu+K1lm7th>7wka)BeoAFT?yr4MNtGUh=h*jiZ&85 zR4aEI`uc$E^LND}8wC5;EB^O+=7ahLA>4Zl1W<@diCWz4~ z^bJt5wq4njg}4|s`7XI*i#H`V$9;X0XBl0wb0DixdpBZuRq&czH4*>Br`GhwH}PqX zOf8KpksDgqVg47$%o5YwU`%7}hrxp;u#`WqY_LW~O23^?JY&0u0UGReSZX)srzdVc zgQO~G;uf{Y*HWx_GsTK;_&e|T`LBr#lEPe`L2}S*c57m{MpeAPjF={>v74;{dt9N1 z8_>g|rO-2z?QH zM<|QJlkswX2Sa-V`}`*#qX3S2{NN z;-)$h$t)!gA-&g#%+t5?Jp_BhC8LCWi0q10buJk->^-upYlTX+9xMtm$11ATa!Fm% znNWkK4}))8zHa_Uh0Z1M%%@S#tywuXDbX*iD@koA#HFzAJcayd3hV4yepE_t-tt3A z@8f>q2Y&Q3!X4F|fGa)E5I|3;^N2)`QNu+pA*T{%&o7EZPq#U>84p2yz-z~;Z>i=O zPH~l-(B7GHj;jrD6y|ThKCG2BagJ1aE;u@tNKhX?G=^)4JBoadu}H^?RiekIFS81X zg+fO^7}RK$=oz0%luL=nrxLNCsa(%ta}b9ez4}o5O`0j+odbpY#zlot2Wnyko^2-a|LCRFSOnz^X-C zgkTQu(G;?WZ%9E9z&C;^3_vEpOL=yj9GnYu)QR+qiAo+U*+%U-yvNhRash-LAE4_!vAj|hCPTjF z^pjaGNqlTP8pZN|p}~eeQF2VHT{#U1k11?!^)O{9Hvl#twlsh0++3yB+Yd`WY2S zz$KC4frn#|O^@{|p;Z?!_IM?EbX3J@(Qmq<^gLhSG1f9>Jg!Z#+nG-(hWvP{=U#qF zG3jUQDaF_Rl=9=)Q;P4aru8EJ3pcPJoIeFzu8kdGG(Z3y3rIx z221D|r9z8dWi1LF&Ot{M;YjGpxs|m*gED^xD{HGlkBK<~q~NV)24d!6BNAo z!607H9i1u^m!m_3@^Umj>G$hR3BoXfih}qNPYJ@b9ZUsEC){y1PdZ^8#}k#!vK<$U z@jQ$ON|zRf3SpQ}-k(2Ex?q{-6Z_)_%fFv65(helnMv3=>Q;Jlgi`*DbA+HLJ)K52 z=p9-m$_T`}SZpT^1jc7fiL^SuPn(q^bK{eS`V02UA+*>;m?Qa-c%76{lzqLhgu z$qIKRq2!&>T9q+k$*WtmMmQ&VqS7*68o0Ri(({-1-zL{1msT$6%I5MF&K*99T)^zR zLeJ5`>pQ()ER#VE$(0ix`f0hem8tNDRdUb%F|OLxm_2n@UfHYut`ORN$J_tlSG@U* z$yT7eY@CGbmBWM9+7`I)+t%wzt_;cUY(D39W8(zEMM$0uWBIGy_sT1WM`msmri3=< zn7wk;)w8`B-pLlz1RBBQ#=v{oq3BZty&o#hF?lg?#^J-M;MyRY5Ujj%{3sv;qz|>* zZF)YGm?Te-0RUk9x&Wm_1cjt9@x9*rO>#&J9V)jpu zvb(Bn+?H;uWRlTIU76(8=_6!N*>G`kk_~U19(5AK2ROUf6&bgvaAfgDH(gSC|OJZ_b< zrnV+-QHxhCB|SG&((_UGe)&f~&?b(ZrB_okVCh{QIi8Tw9PKFMIQz;fXI;>yHgmK* zh9xzEG+#w<2VxQ}u?76n2-14FAjU^xz$619^sclkM&cONy&&loK;^XU2Q$y zLq#q|yo(boXuBdckRQ4O$qEk5GFK*3>J{l-xFBFlw%;%~M>N+6ig)3XNF6a5g(8XL z3cM`!lBp@Y{N%iDz^9euY^$vXF^X!$N){}GA5>yn!EyR;g9cl^@&>}P|AYOrgXl4FG=IaCuy7_z7J= zJf_JG`+h8@sr~h}CS(sQPsoP9p51lKICE7B#=Q#p1J%q-wQ zrI8BX8;0a|`GgxP3B)evHTvOvGyY3&zrsHe%+$dWw*$Y_3fG7oYDf_QHX^COAZI8J z;zCP6K%PZ}0Er4}2?*Flhu2F0(>j>!LyZbczIMkCeed`FPw)DSDf@`26~w+!1hCom zNHr?^I5tu~+sDy~@|Ar&t(m%Hg1v>aw5ZB$I6@Vh0R-0nHgB5k2#^pVF^XMhbuZ&33~*bF65?TQt^hFUspA3174UqS)T zx2I|>Q$gJbk#R(VJ)2A0t4r0@NmXsqgP~bD&7y-V56S4!YQ)SUQe-eSBK5yZXZlm@ zdpjp9_h>AsJ^B-Bnn7%yw0B!-n#U~#GghTh9-#``>xWF-w^Yp70M@eaXyMG&#W8cp zac{i)eBLcuQdRQ_0#Ktfdob>w_?0ht_xD7qO5K_kxsAwlw>1uZ^MA~e+SU_M2m`}5 zuMlQ{4Kqikfdy|tOgw_zvZNN~43)){=tm%H%#9a(3Gf!&k+_K7U z_D}r+lV(xb^vKx%4#~v7QED9KUBc4G!I;`xey%Z{fg(%#Y2Na!A&^Gx-}8o_dG~ZU zum%Gy^X;h5*CvK=j2XAv7ShPz&6YI!9z}CimRNgiieR@zH5*whPtur>{NhZ)4ligd zz{ugi9zMIM9JE)nKCoIbhXW|#L!`os*{y8OZd^9Qntqb74gbMt{Ur-4UU`;*;w;{~O=LC;!g>#y9avPklJn7|4QweX&Xk3Fk%5 zg3+R;f;eQ2K!TC7U`U%){N4~~cgR_!9!CavAI`}_v0|>8s(CI)2F|zQHwQ^i^u|{dGeF&cXy1f&HIR}D}$o};h^_!ymJ?4o(l5LayKIPori6JGR z0Oy*A6^5ZSSFZZk3;9o^@fS(@75P4%hc2pVZ(<^~q)iQsn_m7^fn1p<14;j>|B@t|qg*oRTJ~!rR!EWzr(6=1;*3n;6C+M+e{<2(E@`BVlthVl zb@WN?8+!2;xc)^IK8|{K;eUA*^S>;?*X@mnHkC6GAGi445`5iE$;6ZY$H)HjUzsxM z!RrQ&pVk%;BXzS8ylx!rT$zn)8ui5Mwgv`Tn?VL&erR;_8n4?;8Flbz8m}A3JT(Hb zgIVz9hqh`B7)wwgwStvV4_-Gg{r1d%ZPYOnTTJy4AZc^mk`(u&k2?za?u>oO8-MUi@?4*G(5nmiaiyKeqLc1DR3enhs#}Xfl6Qq2%VEwfd;a*t97^DuT%S zZLFda%=qn!IWu~|#AQu7VwNe)WR-MeDDNwFXni)p9ZOIu!JHZ8U=kQ!q%lz^+U8nw zX7sS{$dU@d6-Wh}6`MlIoeo+>Qv;!nbnNr&ZkXDeEtItQISf<& z-DbD8oaC;TlcXiJq}~%?AH^g(_WB+A>9L%=JZv{8&IjOpI-FK&r6Mtylb8F|3Uugz zd;!ecjE4}cl9$Nx;n2+CNpC`xH%(cNC-&WTD^wjg*IwymmAnM;22ufBQHqhO6?5{k zL&^`9RFZ-{nq#q~{`)|Vy&I5ROAS`FWHqLzv@xK6qSxB5MdhAoJZ=zR>(LG5k6)-t zUSep4+Htg6^oA%^$x93o)GBdwU)hn%TK;%`^Eq| z^>y^@rR$fNr-n4%D7B+cx&Vx~(iEb<;0kp5iZT6cyY2}NE9x~gumFCsVpi0tqJ3@C z8A32C>N2SeBqt2ZDrH$I>Vpj`+hfL1%K@1c^{LD$+ra=eD^`j+=9EEK)*SAW3Q!fz z5Y(vOG$os=d*)ZP>mn%92z@%Qek1f&d~)UJf8(2>PmR7CKo^&a_E&L5`;s-hy{w_B zyahjeMexJ*um93deoF8pE6CP1TA!SE5Xf8)Nz3>ldYwVg$F2oTq-&A18b3U$`#*&r zMjyns>os*`{P48FyibXv5Tvw-WX2ECK1MEQ_+p?cZUr-bh`6njT1bol?*WY&KMW?r z?e;XO2y39V$)QO*Mh7bS=zonm{I67>v7u2=(=FiEVL?+)%Bya$GwxKC|^ zj6^-YW?_ClZP85Eu9@-Ok_QDMiec^f=#%aNji?w`HRN*&J5|!@kRNze=K};qjhQy* z;6Xt%?H@eqaZe#m;1YdtZvFkQ>~wkk2ub%JhDry5q=hN@2APtdlqMGpjFD#@WXRYC zbMn&n@fu`nF~zkw5wD07@o&HQx)XMW3hW4rp5n>KNNBn6+)UMUF`IcgM060Ht=Y zrqJ_bTzp(g-Uw6`&6>Od>dO6EdO%)ZfspP(7rgIC%XEq*$%201@) znlwkxcjvU?>4^qk^)5(4HvcgZ^)l;+^AvW2X3czmERo17azrF^C9VJ@ zrmEKehaG++eRXmC&A9^H>_ksn4I=sn=i99Hr||>9R}fKSu#UCzK(4 zGqg2JQ(TKoXlsgAG-ETC7iJWD;U<=EJ11xi{^_s&!r%UXJ~WI0YTov5b^MMMaQnBe zLHgUB)DK*v7EVAK`wD7N)Qt(E0)dbhCXrxtuh!Oupcn%a1P+K~5Czcz-3p4b@f8c# z%63a*0CXx=6l35k!s*w>J9Kj^G!}xQYD^FX1JE#3iL0$mA_+k;1}2EHVcMZ=K5cbq zalKvez+PvTH>@~FOrH?>UF(@Yq{uZja{fe5gkrZQKk|2~aT9yvwh0S%VG4P{H zIS27b+$Kd2{d#7q&U+8MzTnWe*Q+@O2~kJfh0>YnO}k+J5sBUo$<}7yBK^x8*!h zWz<_UJ=qu0q`Fxj+V>e2qr77mC%m0fG<56^i~y@E65Z%m1Qn!{fZz~$N2&gRcnM^{ zT9MQd<#-9UJHp+fQ2lk08Ky3|N3f_+JCf|ZqbJ3KvC{s!?7=Hgo#(ul0Aq>m(Z~Ga z@~L_WAYzX`M9L>n1)2p+am5h2rG`uglIWLn>yvNxL#ZNwaO;G-5pTWzsAkk-?@u#cX_JeF6p~Fcd=E30pxSjg>BQ98u(V8 z@^ai=bsCj}R>ty{al0`Uk*-}aFh$UNJg$(Ny*fR+Ijd(mdVin0fA)2MEXX|#1*gKs zjnOUGg2SG6Wy23fl3-t%_veBLa61*m#0EuZLy(V35d?dk#G2iDWASSU@|kc!>VGk5 z9eCo@UkX9q7Z=308b2c6%Y-BJP6+ajx!`fLB3!|_*+dz#;H06TJ{B)Ros}lvDBlzj ze(?Xo^C&X@RgrIG^aw*u7iw(a2-$}m7v@#3S~(6xi4;5f^W%T-+&x|7w;9MVMkyt2 zAf9b{n~^JCXY~sXxk~#=F1eP^Z+~y{5-Ol7?I8|S-oMr!n0i`qzQHpChh0A3M&0(u zq^`5}=}Gab{E?KXoX;ec7ZZz!D(Q29^YyK`h&$DLUysHK zO;HN|SMlmsl+ao9T2ZB)?!@v#QDyggKl)q$WF&O7M8_dUE7*ZLkzvX}spCg~ABItAB zKpooS=Msd$&#{czMgu@y4_Om=#h7gj4_5@%4u+pc9xp$3skQ)yXAo?Xq|fA%-59m8 zM3pW%%57ZL!jF?1=i6f}(Qy3FCpRwYj2~3>tt}31sz61-ss79WpZ$xw(HZ5_|O~_2w-ogcx+~Pdt&_|RCe@n~bOWWRo(rO~U416d9>c-QK9iQXyWnB9(eyV;?T)Qr z<=1UEEf`){2e3euU>rL8br^iCELngTdcJ4&>zGd$PZ1Ym*`-*K`q$49#daKRyng~H zL~}9o9YDUI^5UviF%8uG>$%z|>xZ&bqaJQbCYI%jqh26P%_#+iuo7^SvRl;z<@CF9 z6o~{x6+{YRmfg5Ih@{)K&&Lxg-+VlY$0CStY5H2~V&uxtapRaU$zCko$_m5~PcEPT zfa4cItkhE^)<6&u-=e3t)S=&A9l9x)31iS|>xI4QX4tE~=`(-n{ofoBha$+mJeDSE z5S6Y0t5+y-oyR&N2`;AbbVP$^BS?K^2sO%`khRx57RFRIg0y%=5LEZDPf(1fJ!mm& zAe|b`1yLq|_<@zu>m{?>Oqz1n0{g7Hh=6S5$T61G?W?1f3T~3w9vb-EZe&9^) zFC-C%AN{}+m7^;iSG{JwJ;=wfVjXK@QcLgX`Tig$NbCxds2+qzlGdDb3)Bhlq=9G9 zEIhev!W7zDjV3&Mmi>hIHU}tTty|Ntiy$Pi4;cv3Ga7=A!tlqZcIEhH=o6)r!vH*& z?5_X}nheI)*leSS0*X@c~71$hMsDj-A zWajmXSydy|$nqu#?vI>$Rh?s{s*gL80z(i?>aA+as=8yh4$l!~Xsc`G@`nZ!tAe|1>=7OHqEcy5}DALCp9OL zN>Vd2Xe`u>#BHHwt{Iuk(*r#3N4U@t!w+@^nd&XKNxyHBnM@*ljp? z3K^x%+FBJO|C3Wss18KYDFRF6!daqTRSMDE`Jrt#B`SrG{?dM>STU!b5ZocC!=W*i z##%I8aMFqu!=X~XitkLt*yfa43Zo2DFW`p_&C)Z_LUV46~WR4-mSnXBdE8`saio$ za3S4dZyNcau_8z;4IVFof(x18==dpdlwA<%bU4ab5diQ&55HB3n%$0b6kNyz4;=S(18vKhHIax};MA4F;=b~n-cl7H1V2UyV8A|TZ%2?lV$rAe&0cy5n%$~%gjbwF)yikGZfqKnZx?LlO zeoBCPU%&Hi8tY8V@q!BEM1cKEzu`r{7_Lu}?;gwzT2$KR50=w>(0Sn$Iuavh(Zk}q z_$Tf%Uc0n(B;H_0BIahK_aB1?(SwmHOWRF!L@M5k;3@*Nh6n z?FMZu^#w_Fn@f&{kkZajB(IJk;W_0S)Zx*P8`R02YL1@o(0;d84-ZA`><6vxkR+M? z=%^d-B<+Y!AM*w6EBbBu9^nDjh8(od^nQ8i2lX}KOQX2~4-%SDjS4B&lzvc`1n!J# z6N$(rWEN|as{hb5I=sFTw8S-N8`y|90AKj#s;U3x9N>RAlAU*Td6 zeKNPpBk%Sv9D}wK8l#FKsxr`-3--Ib10S}OZqy0LCBI15Bli!X z=mAe)-k%Rv-k;l%u0(Sue3f+kbGt!tzPXT;-Jn%B>G!>sD|Pf}u^(?uF@7h`^Ejg06&)#1j{Sp-dsTLIc2~p338HOV1%)_@vUMt`Qxi%Ble^Rl8kXZKB_2^(t#|(fpr^-Bx*<94GRcwpWQPh485D3d!RhXf~4pCm#r7eq3UF$*JUG+9XH&?n)Xcv+$ls6VSVYO4YuK;=v&F1_LyC$KzRt#O#6z_uZsYwU6E$m z1xZ;d*zO(_> zVjkf#X3rhbGg_*WwGvMxSsNGt^oWJ45ASEfp2|~HLXBR<`MItC4J1u_UWF zx#bPP^;zawe7IyDB`|UG2!xl}7=JqNVhg`!2{rIr!jzwHJZ-%R&D@JE7UOw)#&g~+ zYU8uTc;1Zh{P2(Zh7bRd=qQVbE2D-8@yKMu7jOd$m9eWF<09vREVSf=4;WOeHc?mf zbhK^39u-IzjNi?Q5#u6Gg$aZ4#G!XWcv!)E5#s{lSZ_cvPE&`_R5Rgm=!kKlVpc~q z9dJdZud7y!7?&3V$_{~@SF0XY8Zj+d#a{ZpWchj7uCw=3SyK8^co6!Y4^8 zK>vXX^)4*^zJ?0{R_2?7ACfUU3v&*}7@yV*1Oi9bid6|hED@h11A>56JMgZ^n)@Dg zQ3dH*8wml>jkzLe&3uE3FVdkmy}tHqxfp8dqwh?&0|3?SnypU%?V+Ob)<4lDhdmDY zZjkqO$g7Ee<1xmw%T1)Mk#qJv_9j}fNL&K5}M&Vp$r5s-Wj`FY&;AW;!O-1EH)d(zMKE*?E{`5iz1O&|F$ zra~fMcuazUnF!H_>R@-jRIA$-J7xj1F?QOn?ad9r91u7G)tiDcEAUaxcW&ZI_j+6lJPSm+FcZDH znTeL@Zi(*hKljW9!~XTf@#`a z=k8y=jFq2$L83Hl-FrnwPW_jk^tb<$9}GW0dqzBiX&ofNdq35cSBhZ}@ElPRB1Lvi zX-z}pD+cd9+7#efRD$#aTu`TQz_UPI536P>LHYqM$TDY%?oe#p>wl*o;DUwsK4xp$ zS4hXw4{*WYy&vctq#sZO$NK!l4{*tmMnA-CjP6`Ah`d4Ms(DHKilr#@16zo3D-xOdrPF-ZVN*mV#RbS=Q1f;228POUJ@WjkD4Vggej=dTQNuW=&pyeK`at=QCf%^@okRm(K(~DtvR2hJ#-z}gA|jM zM*D4NP;so-MD}1#R!L&P_0`moeFv08VrvlZwD^NqbpOrw0MQN7K=)F+X1+UM=3&p4 z#Or+wAm1KNO|d+$4=eL4y0({~cDf1jY4($S&jEe_13i3IeG7~0ZA1BU^dR2RV_U|$ zlUo1*BLZzPxgcXT5`Jc4bY8~6U@6si;pn>7M(**@KsOz`1gEFqq?? zg4U>SoS1eO!Ti*;yFw?r2Ulkk%%@NR>P5zFA^)`9%GCK1?jriFqJES$OKS5tIqhyu zX95>9Iqi;Zz%Z$k)9yft3VRM+c*1ni=3=U-9|=;XQ6R}oY%5ts{l_&)xtTZX*fCw9 z9aYqSSYtY>ta;dg89Yl~+ny)0ZAnre?^T9--CWeKM*i?(DRx%VKAa}KmJwf~{$hk- zv>(H|<#};p&rHui3TQWGA_c99VOz(Wzi<5!Ul;08ZB;*n+K^5dIZxz9=Y>#Z(!0Cf z%D`Y-PcSfo>cNVKjw24MoM#n6>EyuSi80Bc0+qv&(=%DqO2tyIScOnqRF+claL8jD z##ABH793?Fxb7Ff)*)1<)X1n8H6oh0>N~^E z4&HhY&$Nyv-+oV?6h{;3r5=HMPFP{?mHLlES)=T_g5BNR!ULJOvSU`euHhB37N!x* z2`d2R<`f<>FPj@QjdZCA2L0-Egp;+Wo0*nFzdFVv zC-d5!*Wiw6#=r$)e>U7#m8%ouj(hWsF@CwKT$Opg`Go81$H-p1;OY1nA6yX(=)~U` zn;_fO50-yFxKjyXB@t5I#J8AD49-VrHB8*Zw_A*e_02851(iJ5Emr)tznPc9Smm@? zS^hHEw-mTUVwUk-sh}x>6BB@oTv@PRZlg6im#nhU|JTZ$J7r%###OsYfsXIW!ks(M zJ^ij+r1SK<+9I8DI!yr&_w&PHk#@K8RaN%G6O}x5tor=r^DjMrdH-#4MRI8@Mv_aq zGNZjh(Gh+Fxq#Vsg|60|yD}_SPI&02-q#*L3y^8J%VgMhbxHQ+CqpHrr zt+%AoS5=4hkW-xSPm*thuVm|5POiVm?O&87xZXhQ=j_jhPtoHSlv)lG*8Q>()#>w|Ak+8Q{bZ7+~R2?-2(SY ziIhQerm?qug^+|w%LOstjLcYUGTM^-8ct9MQfav$CPlNpLvlAZTrLEuv|JFx)6Ct# znL|fJyCRjA3!?hP2z0>O)(X-cbwP00*dU_Q&O+)qG?i8nTpbiZ#lUE)!RlhDv|Ny( zlqQ4F+0qJ1WEqkjunVS*P}QOUK^$A2OP4HsK&X-BEZ6Gb^2oX*Mvb!q25atxF|L-( zcL&r#dO=4*sMVU2QJ`wKC@U?|xqeY$2~kg~U$zA!5y8*aho)Q~xRz}og@^>tjss=o z%bFgiRkFSfW!tv%%Rsmk$w+NP(mm)h107C80tn#G>%W)TMQMM8Qq_!F>7Y*s)Ql*6x<#+wjf8$3}?Y%IUx)10a%^ixmfyUsrl-4@?%G9X~0(jI}okz6o zX^&Y53KC?T(;XV6p?AUj4+X5wVh9QlWP+HJNfiKfy53k4B?NhI{Lq+DXIc0i#(Iit z7lHz1+KQ!T8HMnj7T|@TK$(8%4aV8g2R!XNY9Al~AxL%Vhemcu4}IG0tfVgl6{xc% zk>}B>It(7QX6+;?K%Ge1LS zXRFh24;@x3t|Sum@wraYtIoQ*;!d^YK9ppmann%?PTPam3b=N*N{yrO0{XRgXm!we zcZ)uKiapw|ygs$JWR%%J?XDdsZc*#vFN`TS z!k zJWCKTFkxEkx(%%!9Wb3!l%w;d#je|mH!)sXEJg__!t7mb0>j3XeYuKP>gC|l9-ZJT~%KS{wkBPgN!Uy_vDSWuy!LD=B7}E!5s%oM!-~GGZ`vdWVlleH^5R{ zp)n}UwWxp;j5W@Y(HJ%hn;_zcMlho>dlbkax&j`u1R?bjGf5Ho?ESvjr*;jz};_=OVJK8c*c$RS4He41i)@Y{jd`ma~l`Rex* zXp9QIQf)hO^ahRbvNQX;L=UeKG-in&Zm)mWbkLb5X^9?gCVKddFZtb{_>WWcAOKQ} z3dZ<9Si}~?%eWI9ZmlUl9?{U!0BIQ&jPZXvp9dQ7SVje7{2#C{W#$UOj0z_B5begz zp))EN_)-n*bq)F^4U+ zmt=sCEW)WGi5$j&OLwcOVvyJOf)iFa_(^u4ax}?xZ_rDXRKCdsuxKk!TIfcT1Qyle z8i#)yU86fe&Dn=YSI9qH4x=}D!GT442fa#0k1?G0^@ttQZ;(JAffoQqBjAme%BJtQZ;(M!O72MC*w2%pqc$+19;tO`JRG`AQ$&ik{dp2_gC$oR9oyWkz{1*GcG7r|EaMc>XQNG!aXG1s zhSwuSJZLZ6t^i%tHzxr%knF_&W@Dv~_DE}1w+E!x$U39K0o*ga^UU`r#wj8r&^vm* zL70Axq&Pq;aK5k^sd|XSZL*VjqKs2KAU)nb98yy5k}*yZm)<*ieUHjGMLa0Y(WTDL z*NkzB2tL{eNs3flGR7%lZb@&=`~t-|#WjAg>gW&Uud4w%2RPF`cCU?zihTzkMLjk1 zx76t*2fxP<({y;S?5LUoh8B=2B9QF>_O&An3krAJDBM+SvpLGYQ6X(bi(LuE>kdKW=8=VCREiN^jbQiyNC-LmJ1Av(g~{OpK+yAg z@L77r)8V6Wx+Z40$i)!hYYrVg8qRU+C3hqSY6QdnLl7od=o;X6(T}XII{9cgNwkJ& zMM8&K?^ZG=*N$s+Lu+5_8)UaPpF4bNe&ooj-KyMcSPB=NDe>&0>7xbWE#%r zsY-4$uQ};cs231L(Yn2UWK{V#75mOvN3P|HOq|EX{&(TVe@wXX?V&!`2bhZp&Rc#c zKK}cE?Bl-te-A`Z+iN@n;_YN0wqf%Z>38}>G~#dBL=6ma(q0b|h%1QZ4Lddc4n`_+ zPCQt3R^z>K9HRtH$(U|~0%=B7u_AS^pCiV&>u^QTG4RlpAa$<`LWh&h3oEWL1G*8U z?sY-5)L3ua2?fQCAa$<`qQ65UKLCO(EvODHy4NKE7=rl%UL+*Rm60STby~s8$_LeI z5gqXh)ehF^@nCLAfv%M5l69F!3-kr*$P-V+S+2eOFSHn7{@ixXW{oNe5F8-qHCo;q zJo{Cjc&{Bqo~1|xG$WmuNU6dm?fi~bHrY;b2HbfxcbD!C#Q*2f=-_-&g&6x|CK8N% zggq18C4!*D>FCen6PCaPO5<%0B^uN5>!L%!!yX7D#ymgHvGpF6j+?jqkdFJkzyFzk z_5H7(!T=9I;tbmB0X^Zt5V4cttiS*V5WDa%i3N(Q14RShtOiBi^5*a_3B+x5ay&cA zT9haJO9ZZ)4RaJ&5&Xw3bQAt1noa@K!3TyQ2FTXP&3rwhN+FYqI5SPrK>XnU#p`?? z)c@cW?sPa^RBd2*fbeR>izfOzYnCSA07WsbiNrpJVrl!-B!QWaCkRDSEZk0Svh=kj z#UO29d4E0!`FzFp#qn{zl_rIvJV&vK-HX)({0Y4xMWcpyKx?DLj<12iDflI!1JBnh zw%)mVWx-Dt{N#7WT%nKn?%)379|#|QxCf%7g-!#+!PHUSED;RDdoTk_EN@>S8Akh7 z1j`hl0X|@AEf*|PfQI;hY2REhrT~G@67~%sMjV=Y%mw+iVi>Tj8fSzcefT1X-g8aC zgVaNTmJp;aD}vh_(8At@3A;Yz z(0}FM`j+4S4+1dO$e;x+kgh$SGuXx}duUXH7SKA{^^FL?Y9~Wb+%$th(1d{yf_TXQ z6>tSw=qPVAIv}P{^%N_L<7O*jRJHQM@3$B*S^)=IwrRVOUQ9uY!>Y3y)L5|sEfgka zg#zbgXD9-V*YxXr$kdxiI}Mukfn_DUEoMy zWYm~xe+NiSLL`CIq;JV6SZ&VGyVTYGzD(%dYpZp8lO~oQQtMAWwf>w>n{2Wx)v5c9 zjlDGVyQ$i z4bpqy(XdXpqqPyoIr8@VihID{=Et6(-|H1~9uPPVic;yGBKg^#Bh|XEc*HOZ!OKr* z1Z-CnweFIn8WMGht_5<$rK_2(1RIZZUV(jRva-8lNc>xCJliscHrXOzR0JOwuUoU| z;L1ZXy8dvrspHT7cfp~b0;F?uIP_g2&A?HwSy6K~%g}-d-8LdLb&FanuvFCCOhwI) zeE+ZgTQ9Vh)B_|n0DwN!UuH4$4jdKq!R@Qcl0vXsF{{frb!C%HLNF{TJaO>)Te21~ zO0!~EQhGfy+3Mh^QqU>hZYJO5RSQ2G0i5?uD5n8Q&)JvNX3z8aGE8lGcq# zqe(sSRV}rzcacNCR+`sdf(ie=7d|Y;_qL7iQqCI0Uw6P6pIG86D)>39Vdo5d{Fi_8 zd;Zqzqvc3pPH(a_&=aK{Ai1SYolM7!&2i)#T~w#wWr}AZVXz(s^cbLLVo_yv?*Z&=vD4Va%`QJa zm`^h9w%h*>nPmUY{|?E-zfmf6j=NFyzj#7&=IX-8a!}ZSVqxu?jr%0EMYeen!+S7L zPl1UfnevJkr#OO}>*&a`jJpu!J-vP@K3-97gLbE?{fPZn)w~!8Fq~NvYujxA$#OgG z54hXpu=gRo9e)xr#L>_ zuc|uAsd+m%-^^0IMGsX~ZiKNn)%DAET>ow*LvqUXV;s+}x_$^$`^$FPU*-#?^FLke zsyfP@5ZA^1lkM1Fy3=A)A1|+Wx~sisWfR}-Hfg}m_F{*!N}u<$!?(DVKJTZ$iR&m! z?Wj4+W`*pRVJ>A5jQ1zurvScRbb1kVrqe6rX0J}PX3pwaj;s?u^y{Daf3G85t4eXi zAp#&+sYva?HeJexaV>?KplM@8c+#a!eM$$yYITVAjnZu#A|2Este@7lV+Vs>OhzPV zX;2w%O*SEzYzXQv_m+x8uMN(zvLV?a0-Vno0WdZzA_KKxc8E@(^--&|VxvzjSUW_> zcBriZs?)PdeBAw)?AAwS$i>M5aZ&wCW-E_rxk!|X@Stjcn^bCs=D zv01cO>TI3Y*c<*&d~$!u|He1*$-ncz@y*aDN~P*`127PZ3y`rw)aAk04#io|X}L3Fc`C!1%eQDab>}Dlwa@%-rfb&|Kuh9LsGEQ) zX-RC0QwUIILL?V-Vm1D#y0ZwPhsNO4u199T-`fRkb`=0xG+VZ~YesU*@Bo0M`&N*; z(^ov=Bo%kvdN+-IHIMsCUQyK+w^F;#*Iy6!s76egMj3!am)iBpnUv_P)}z^(u3c*} zn#IL>)00c>x(p61W~MMn75(p!O#Bv6|f}cEZf2X>leU=pufc!a&+ajTD9znpU|aCZt&^1 zKXb9NIWz^v85l$(1Bh>UT#YuTOx&Wy+|9p5=i~x&<^<0FK5a<{o7tm6r>4W3g#nn6p}u;b%BF ztqOBSqf>#x{OAu8o?dO0#n9>3F{INiBmb)1bsVfDYIGNC9Iy=?bR4ELKB>S^`K&H~ zgJY3BW*sop3mV3pPJ;gcmsL3o*-o~ZZ*tn?`&vvjIt0>-4)_bdZlbUQviJ~lJf|v1 z7DGPYN}Sx@dp2gk+@Cdf&J@yO$X|Z`oiFKqxWyu$KlO3{>_dNYs^|JxYIit^w23mS zxK&eCz}R7kTEQH*TJnoUK6|60izqY4t!R3=dXilgx318uN3)BZRxj_CB7CkSCqv<|o)49O zie-96INND{4ucz?Zc3(Jv7dG-Ao0?y@<^IhQraoKz_7d0%JP~?JALLie%ufLRH!%Y z>GK8x>+pM`Lj#_~DHtVV?9}#^H4wNU`r#O-fbDIw>68c|1jV~4{Bh(pg6d#xzP{%n zNcH9`?ok;g3SqwklbXaSAxQOB1i_nWf?Mk}2tleh7et|>hn)(GZpg=WZQaV{diOQEvMBNQn(vz#`~Q{3isDO=4;;f8L22~aP(J)_9USH z8+82QZI%}kT~laJ@xc(b^#r^UrG_}7z}bpMi=a4gx`hn<4pcBiIWVlC6%+@~1Y@Gt zW@S1d4lNFxuegPJp*}i7DWU=w$|D5Dfipo2>avF4{n{8ZLr@$zKlFyJ!_>myy3=xk z5EKW_1yS52hd!ZPyFIiza3*;`aj^J=Q0&%q6-#RAu}Pj#-6cN|DrS3^qeV$3iJu(k z7=FqlQaGwL)0$$P{pjHD)Sn0@OEs}ePU=@xtCmU84J#|4E>(4S&M>j0s~^MeE6@H8 zz&0&$o$x*+6r;ze*>dUtnK&my(boDRxqnvcv3g`tb;Z)(b6^)DDYsr8!J+~84e-D_MU8tmQ%*66-c7TOSpZ1g_eB&bvjeiCOBcZl>Pq=l;we{=tulut$>!Zl-mM{W05(ggpp)$fO24WM3Ju6#U3rd{v|t|m!9V|X1-wmvk? zbP)t+g`&*cE$5w87eh1cf*3Tw#uC`S{f3xQB}g;vg20|QlYoYjO@+0fm}!?p@*DAn z81$X-``K6Caakn0Es!x?lhk?D!BYa7b5RZqkPz>kKNqD~Shbl=eYD!+vxAvzpZQsr z8J-0eg=V=aiWO2T+0vG^3|R_$bsP9G^V`BI;3)aatQ#2!?uu3M%75 zcSjo_5`v)~(c{%|+%Z?wR<){-C)6Wg?d_U#4?teC#blJ`978=qutU$j3ib$`w%l_1P*s z%Exs`KE+t~F859gde3R{(k>4U&t5w@8SxeuOr^N4T>URCTY9!Hkma>`v50#Q;&bMf#v1V#SQ~6O^>_4cE3cID0j{O`B(jy2CMi> zgCn;v0{`g-Uz#y)gO+MQr~@+I$fq^ojqJs*$Pm!0U-h;_pxps#ywF3Td!3n$=&eVu zedQWVj8VRDZ5JRBepWAW$yTipUb1R_de^*^U1nT{{ zo%R=Z#Su)9KT_UiNx z=d7OP=tI8mz3=&(Zfk;gzTOMkOOl& z_=x$62l#QK>!Ab?_81T>gTumvZ^GfqS=|~1t?M(AO!Bb>dFoXZ;$ZQsD-Ub69sz(pMC-tZQo=o;* zO-e5OPZ3EppZKyNuo&}^7XByteA%gK_>Y7SDs241|J3-Xx4{1-UeF#+kMcj+COoKQ zt>HnzdjwgOyG-g##-_NQ?tR^TP0>NU{+ZwRA5M-dBOrz-7x4(@o-4d>$`md|GArBR=&XK-$S#fMqTK11d zXNx6+!j;{TYDbH~^iU`Gv4K@+rR?b-1+Y*bagy2S!}sSrWEv^dqt;~#lb)2qRUXtw z{$Imx3+xfr>$avCMEmC$y)g&6=D9<0@`C)_EZRHhRWh+3CC2bBjxkv0Kzbpjp5TWP z7&eTQz=aWcViPHf2o|e=?bXQP^vxgi8E^kbA5xMETH4(f)5o~7%@!@V1OUATTos-d ziv!>$vd>}cAaH901!1uI^O)McU6bRYT2Y{wQg!cB+u7?zy)8iOl@EFYvif${9?+~cJgerodHyMF1Sa*m?)?H7RY0BF{1E_B$^V$E9Y#l zc1clqE*W#%F|J23SNn<>HZ8DA#@zNDO1Rpi(;T@ZG$++9qKEF(yN#Mm^V@@vK=LVj zSpD{f3oh1W*w4bb-C#bh|-pB{7%^t%V$ zc+$FOI6TO1gKsnq0l>;r;#p!^A-LkcCj<|mJyc^reh4zps!1jNY=#_JBU?d^GOH{f zP@b*=83VQh{cRkdWP23@m*RRsrz+c_={G_onDz(eUDX6bpn@!AJMB-;CT$A9UA$!c zT2eMa6q2*k1poHu|E|L2#lx;Q=h_%sEpWk#B`Xleh9_{TFT1_^vU#_tP5v+SWj9k_ z_UC@<2mkaRnJNR1zG&?RsbZ2G+#xSd3SUH6Ymk042wN50m3HS1201r^Ik-dZl(svg zXuCH#ItO}GVnfbZBE!lGOb6C&#G)JbE?)OE*n-l1pbi7O7 z;FOy|yBcOzUE$&cNc5J%wQCOXGZbPmrHQ}d>35|eHBY}Q&99j=q~^vcM&{k3#gxux zfjNgO>~H*$KlqhD7Ny$S`Q@;I^??BdkQPk9PneGl!v}ZTTN@20wI0Yl$lwL6JRVtV zSPP0N^|nf6s1TR3=T+vyRx64rHNoyc`~f&=hd#`9MKPr=*mW$lqVya$YgRYtxX~Hw$KBR|5dW2~g!KeDj@QA1^?g0p!I%LB(Biq8omjp#jw}%R>@WD` z_kYh6xeAgn>~A5=%Q9uYy$)qGc<>ktON9q(V=Gtmfu^xy#)FO1pEa}F-k}8$atKqZ zc%Wgxd0_Miv0_FWQ8Wq$6sTeF0QAt?3^tNU1{xEBYXox5Rr9g1_3?LvuBF0*NBCMM zm7A)Hq2_=6#_CjcW0<%x^oD#=(ILV{`G(BOA$Peqo|UXG`MFtCHs{F1ex}4cD(YjC zOOyn)GY-byMwDdF`-GP1HMGuEV)K?CQexlxIe+hU-x%JhF67>+zoqDu(^*a6O6svM z<+Mp8wGMl$Ahb1*ipfe0I8R}SGDIi@uTo;x2NHr=iNT#hxj)k0DAw=sIb|ib@3uMw z2?;nOsErjXB?jseDPG(ce>ViP62n*}bOnf7^x-KXSSc~c*<@{G-qh<$lJX$BPDV?D zrhtZ6r*cvYRQZ?_sz)~`I<1-S4v1E?PY1WLfAoBNju`GD`WvY#;}7;VCzUN#k}AO& zfK*TA>7Ln*w14r2n4v;~(1-C!cI)*4unRyJbdp@z!~Ut?ZxHJZeHzxj8KaUBQOP3| zmomGMd@^&Qrb#7{_!ZVNit(i{rQRX?Ts;?5GBtUBK00}Sd6Wt$^w2IS=w+%wi9nUA zW`c=9jlw>YAS?NK!iALH3eEj6Go-Bp`oK9)A@hARC zAoAL+hKlh#FgvoYUJx%XiyX$ouVrXhtV76k8fsWSN2M9EYZ&E`mT2)Z5$u#cu?u zs9kWsIrk!RUg^JZtMIt%ry+flwHx-9hcP*2vN2IemLKV8>--K0sq z6KhcaUZqL%%0n{oZ|*5Mj~ zy*<+Bs@f$9e|t&zdAF#IE0!eu%_QMJ?_d9vpZ@e4efB!{qjI@B&Xj@{?G17z*m*u9uxbgfkY7dx`WV$xWe zM8Eef+gulN)2|E$vW6d_lmpy*upcj<%M0^!81MMxtCo_yd$}mjPsee5oX$0od{lwb zrL~)Kae$Yo2`{wZkELGFKL?s4x~d>5Kn|vPc+wMoffTDwy*X}=R)=YaV-0G0#4il_ zKxH&~@U>zyNv3BUopvlJg%@~2-ES}LlpgYnBX~&!fWRc79@(lyjDGFKv&56x%i&3x zqDz8|RntyLKlPG$lE`pLRN@#R?Gej2zmhN+xFi#d(%Xs#iC!8{m<)=f(|=%`0ofa? z*H*j{mpp2l2Rqo?H7B78dBi{skY5~Zwl5B-1uXG}FRivUKi{@YX%i)(!Gnzd;%%|2 zQ!H>(FAkRS7l$9?b@bEm7YC;^{^E>v>Pbu;00t{RM=M3O9y9H*uo>h^+l9^G=GhEP z6D({7Uvw{5E(hxYla>G5@A~Pl{gx@5Kblu|0Fp%OI_%pfD@PIn8Au*Y2xOceiAB;L zNLJIBq2kaP=O4`+(9qg$Oog#AL(-qU%t6NaN8$U7^N*wVQqyke{b^689++-Sp|ELr zaW!@G%4wq|FoTi;&d$mRR5<@=Mj+pw@r*!qe;y(upq`w;QBE1;R#v}s`#Mr!5`JTH zNr$olYX8nfrF5@HmlZ$&Q7Tu3b3JS%DkA`zCy-tWDKbdk^ve@*IVY$2&>ZSQ;~OW; zEW}!1GcpNMSTb+<7CWJ?{;@Cl zil?3ns9)_{8}}nA$$TfO@%DRb3v@%My4tqVObhUwwP8exi$La%218j9gfgukU&Z}s zb(1Q$4u$QlbDp9iju>OY5v6M=sc(bt6cou&QGv!Wpu=d>;$l)vgt;3>MiB7!!T#Q^ zm_ni_5W};*l7efaY>O4EioyMgjhYOz4l5*5>rkVr7(}#xP?}t%5$YdkGSpzapem*h z%g1-;khbb-;7F4Xj4(VVv46Ne-B%Z?Z5K+_x`1ngz7% zr^BtmKO|SA7eL7Xd?M{Uu&)Ti=DUNNh{9A#qCG;PU6-7+4m2+U%da){pT<5$rr#(P;@2I{_%9xwDRG7pXHHsUyj`l%lRCe@Cw-|wo(_K zeCPb4^;fS*gPv)gIQc<3g2n7Vng+cu|JT0j$xjcnuW`GZeT(9eJoJKPq}dVkqL19Z zf@R6zTfmNMR3eK348e@OVI&KSyx4WIHcJ_T8G4H$xm$4eH!Egn6dmq1Bo{4ZYI|eF z42?$D1sHQQfDge8jYiW2N`~7NGc=065gU>V9_98N#q8SwSoNos-}nIEgARfpd(!Y0 zV_1C2R`|S|3dUcD)WHPB{LoZ5OM-)CeVBu;6kS@bwQg_A6(2MNy3U#t?f3K?UWQl z5R}PYslrW70+Gq2in%>KPWk8HnojM9BAsw6SU~D9F=>y0u*0VEe@?5PVcEx) zLE<^FjjGyyk%R^z1Gr++)Pta+?&vThD-!Kr#xjN~;sH<`g0q$8==V7?=h%QosV)>8 zH$cIWtU!9jq4uS=)7yGx=;g<|Ve$>%{>Go1=3Uxu={U~dT>@Gmx)<#R)?Oa<1a%cf z;$3RX3B(n(euL3A3voqVaIDRuuA&6=QX?iFm;RTQhE+8ppM*|f;`B`e;g!wKg{gzSX>Ykx|~=J?TV z(lL3L&_^MPH?3LS9%WAp!t}Z^$YF_kftB7mGZd=zvw)9L;dd@@G#&fZ8iL&!#H#$4UqV!YfAoR@Py0cS# z;a!@Q^PoTftxtaN=cU=_8W^+~!z(y=WOSa0=nX>z*pR2%bzp*9#uyH3(Ef=cTy3e? zuf!O@c1vzr=xanD&{>tBD0VwE>XVhk7GXD-nnY#kS4@%@a3T{(8lTx6hvRxD{701fNhgFQQsct{!!3 z4FZfU^L4<>Ku?GFABw`hvUH{k1_ax!QT$p9(y=Onhb=2}fz@u{UN(aK$%`P=gBAs2 zP&vH1>d;h=E(l)=j3adaRzOG^L8?a=JaCq>Tnv5cMlh zme;(tdKA^=qnUj$v#ZCXI~^vdMqox~Bfb{lIok53Wc1XJao};-cu|j!gL<@QIdI-V z_wL&2ao+MnXz*`6@ueU2d{ldApyt&c9#0-RG_DCOus!u+RLM|zY(CZsk>k$MR)`#S zcB>sHv$Jub-wKhV&e2wg3Kke^g~+hL0h(Ld$d6#qQ+(Ou*qT(~fbKRuM@0RK@rIy! zkM{du9u$8_Xk1{Y=4a|x^fSeK^k4GCu|(AN-Nx!_ea%UwM@>`IQc`BoRYnQbBd>O{ zBTta|U(|*y?G;OVg{aq3?l@d4`8&SO(iF;sQEIKm(q3^h?G=COb3WxKo({{aNi(;+ zoM%g zg{pm5iaSWJiS8>MC}rR0SZi7QFl?n_OZl#}om5}lbRFi`eC+@D(SLV-9VSN0D8Lrb zzC^j611R(RP}I{3N|ft@XeSVZcic*uP?dj>DAxqb{5}{yOyMEK6^G6_l9=Dup*w^ZPIa7^O_rp{pDTG9v=m5n8sSvYtL;*PJ7Xs?s9{_*F~J zvbAdYA^|MsDniyEMNz@25LR{<(ZV~H4Q?32`1HsPt-m1tcWG&OOj;Tq+dRha{({f` zwl9dXO+)IGB|rLhLMMW7A?XZYq-?Z#ALx*G%!d*bvE1Xp6)z#MrDxGu~b6Nf@w zpfQWu8Wt}2ia~h3JFc}PG6W-!fkSt6)wv?j{8ljX7>EY8Yn~$l5F`~8R*MxQj{!mS zfoY_&b$Y1~j64RjfTqHrmu)sg2&Oy+ed@BVk)wXzO5IWi$9*?T`vvUhx?A%$sM`a)7h&L7_RxcmS%XFqBk z8xtfiCX4aisQ70mNZ4})0WwcU$vX1@?4b-iW3sOn7M&gEm z$Y&TWCQpv8eZ^%;%;SCEJ6exL!!rfURnJICQyIspHbk4FYx#|+7;>k=s=G#7?zE=? zWbZju4fV}Qx{C>(gbw}rn!nz6PVT^QYziPgjB;hZ$@`Jn)uKDzzTYQyfbibhZ9-Y{ zK@=^d?7?gUalaiyd6Ly*Aw+WU^4BegnuP8$1`(+E!s^iLQK;#{vz4kWexmBSqtyFh`@`Ngi7|!kc_Fw9o`v!G#>&g-jjYjo?_#NN=;^!8%AIaV? zY04mP^j!K33fqe%_(C6>~P** z%&07ZTft0Os9+2Z|1VI{VE=;gKRNg#IyupbN)BoI{WuW=w8_Kc3U#a8t=6uP5}9#} zF#DI2DPqDWdrtAcf6K&vS%`6BYYU5e_le8 z%>PnO2-5;9YnjSZD(@4}Y6uRR{MoPY%Ya=VDnrwEO^wYV3*ki#zJE4Ull@lF2w%7N zOKDP};5)WdG3CE}MWeZ3XVFUq<Vn5trAHRTSzabgh^MWaUt? z6&*QZl~wVNz0l&G4t?B@8)`yik`1)3ZObQp%#{I8`RljUMb2}H2bw)xE7_U#9_Gx! zQ69aa-n%`WH-e#pmA^~4ZV<~0 zzD{n&67x#mfJ~U+1sHOdev8g`nFYnm*dunqj){PB6qjnzv;_T;M@?9{rO4PokA7)t zJxR#u`(vfhAQ0})(fPflfZqW|g#R3frOMokcoAG;3`A=0sU5VwiMQ!rn~G!@Q?)S` zmw(5?hHZ*ix3|du2D?~d8%{PT8$e#WH0_3T2m8p{O-bCmFUG6$Nz_Jtv&Q$YEh-We zx`vSatd5*t6<2Z1*_X1_vbOBc=h)IYC8TSCai5y_8M42fy&92jsvz6UfBOS>vv&A^ zxVWpWR3Z&OKd?*OB-ur>&if~sI0)D{0Hx*2Gjt=XlNA>z!-sbHpvptXK5?U{^DnG+ z6!V7v_pr&esCca5{@=gg{eqzj7Aq(%%kFZuzGr{wR%oLX^}UH9WTcoP{RJVcOcsL% zYOEZ$U)K*m^z0|q&L9puk%+7xB^=$5;+s!nO2Wo%;bxqR=|2Ya^r8dAm~eayUJ~6ZXCL5@SoXE$5+;%v{UK; zWDLsK-3{OsB$0H>!iK10dW_J%6|ItS;qG#Gli7dNtsRh$N)ZXLxeqFtb(hF0c7(?M$J7GOOnu zv~hN4cr7J!7W3NA-z^B^rxKY;H!4NYs3^X%He`7Tp=33UwdQ@d)Y3)%^%Z4_LL?i; zE&dS0u2!Yco5lE+21s}UUKTp>GKof8w9J}KA|wFU$$i`n37MKN`#HV-lhP&KY0&~@ z)2|BAFb$Y1l{(rON8d34LhsOGfv8TfivXS+6aRPk`jB~Kcv&U&{yAtaD-Lzydp+~X zLuvx2CP%viD~a=h7VHiNIv4d?gaEHC(}iPiB!BoItBGq89h}$ z;^Hczjuw^BIFWUpGA5y`Do%IvwrCk3vzjCpdJP1y=iJ6ToH_H}rR>IDG-<(e5hS`z zneeVEuMJNg-u&NvpAa}?Y{9m167 z{SqTFv1B|a4zt&0*y~6mb3S!;U)NlISTeUTkG6WcRHHWx@lDpP1lgxrJ2bYiZQKTc z1@1gSbE7128i}f!xNTWXL12Thw`dY3yFFS5f9?pW8pg9dvYzk}m89h3pPbH6)1^U^ zt~x%C=wW7oEJoTI{DOcA;oXscN*n|AvWd*nK)^RPlpWJPCJ(JaZpyn75Rqg*OR~C@ zSil;#rWb|Xdrsw>4(~gH0>1CyjapjxLvy%;e3svUHLwAxcgdU?S~22LW1VK=FI4NV z#1{4YL8;>S(K(=F$y9z$a0qVlz5K$TF7X6HeOTvV+aN{0Q(4j^Ux0~~u|yxTqoyP& zg;hejepJ3yJ1-lemhf2sHO5@;t7sthYy0ef(=^b*OS2QA;;J z#b6jaK4YlY;=z#OV^@G;4Is3JN+zvRCHwYD9fP(w+x92F}#`m(EPQqBtJL0+TE z!Q)l#bjdJ;b|J(f@k-Iz)JXbBQ3}*F>wJ~$eX2xH-)S+A5Lx@DYxH)1siNEK+Zf>N zZa+L#1Zg~*H$38322B(w>OiHU=D;5KXLfW5!^X94dUP`QUjF!n3KFDjwXb?v zCv__vdcu&9mQ`_{cQaoX*Oj{DotA)p`2eNUUkXM)MS;I7BfbPHx?}}n>l|mIsHy&R z4e2}xY2L{eWa|*3cK@?_nj%E48M7fF1NvJnOP!os@;!dHP~2`hqLflPD`!#QRi$={l? zdC5+fZ(mlS`5o7W`P}cwEkq{DRIuk5o=C~S;oAj4{W{=9<9rtxNYsA?#%5YM>&tk2 zW&SaT@RT=N3S`ok!C@||#6X*A>gf=%Z=Rp{28>}mA|y~szyp7TRbkB)!(qnE&-e&c z*MA4+37q?6v|Asb%fsvNVb zEeOB+T%G_s`FF#mD}@8GUruftS{cwjFFUKsPUBk)}~>3WX^Z}Q-GI>Ph@d5 zuMjqMM(UTnRSj-Z3@mjd%8V|-5+aYtXpq+RPh7Ep+isz6&vu&2-7<{Lg&}a{pIBRd zq70%CmR?Yy8!8v053%Go)eP~NR?tPrKq&V0As1aTS`}_f08;Ou-<%P($#=_5o4oNYX z(+Z-e`EcvgxI5c$*h(~B5IW)sJb+KH^~9sV^@|5cvNQftTeI0iz0gZg$50vZp0n=w zUC72An=OGMW!}rQk>R6+cxhA#B)?c@_8%zWN&Pj-=9=G`TTr^666ic!TYP@EIER%w z(dz$grXJEe3mYdPAPENn^gHw1lrLd2;bj!X(?*2tMh2MnKaUC50CG{|;G*G@JXH?N z#+s>n>~{TwywuP1>UdssZ!yPOspDAZ3D)9O?n*kTN9(<2H(akrbg+v4M-wak(BD|UvQ{U*vu|F3opqHCBgSeA2E zlVcwVzl4+ZtnHF@+CZA~hWT&k5>#|%#vIF>bkZrO!MG%|dWWW*U>b*MpSj%F@^OZP6!}cSnm3$aE4~}Wt)uOjdPL0#IXfMWat1v{%u>T_ z`3Jf0QEio@R7fDwzcgbdL1D8X zRZnWrc;@onqJO(_&wUiYIy&CNhtvPLfKs7Y`u%#P^IRD~DMnilCQA1by}h{w=tDM* zxO10mvxqTvVBvR3T5zzd8ys_4zu2RhlV&(F6_8SSa}3IHFyu_%sj(3@LWiaGX5X7E zRG`JP>{FuViZsIhs8i9E?43VD($jun)dov0XnRD<4QQG|Ouf|ObE$j?YMCXR5ohb=$q8Llw zwsTj%Tg_%>-zb?uRd;Gb&yR?k^9o9c%^au)P%dTH>Ik}>kiQ*oymd%DBn38q5eV7& z`cH6IcrW1mKS7|N9cdSkZqpSmQlTpQQVz+3h13E@3r8ko;bkvr-i zw3M1JhpeM}&O2xybl#@%FXXwx2Tt__(mQCBxGaOi7xS~Y3+$$PiQXKCu-HU1Z<2l?@r>e?hC%u?d z9r1Y>_bgqIqpr=Q444vXXVqG%)^~CkhJZIKpPwY4zNXO zZ?eglC00+%73u<~Tizi2S$H*fQxiV8+~*&S=Fk344Wqm0FCx_DD|YCYn2;mLGU(Vv zXsFa8Z2|K?6bp(p?Eg_T?M=#UT^!kDuZ4Ov`f7#+B@mWBUtP9}Y zLkd`8^s1(dF!X6ety-3Oo6*Ai%~dP3L5VrQ6uANgeyv5ZOW5LA%*3QR_&5oTU)_Q! zIAu7#Wud%17si(sDrURV@y><#DTN~H`&G$S*SpT5RgJB3ys*YW#0d0%k2Y~UBcaJ& zkB`nBc}n*&{q)6Gg&PJ@hPyI$OS*dBW19h~Z!Z6ZFD6)xcDXc0!@T!`ZdHBXa0Tk+ z?@sGxhTKBnnE6;^Dwaoe1SNTzv9s-%Mh6S7qRabnnPA;yuw z;V<3cFJ~4tc}RXuXYS$-hR946w;PkE$de(RoTLG^kYwjjb-%hl;cO7BZyn(HvxU4Q zVNXiJW_S)4|7wVPg!jIyLR6MdZ$-%a&pB?w{lga~jVx6X+?+RPHXjvLg?cwZs3u*|R;;+OFMnmn6(Ja%RvK&E3sZmhbszChPZa-`i*9Vt`MnxF?5KP{L5Hp zsFcHY@XNj@H6a&W>G5CD1hPSrxB^W+HM912WK9$ML&M?wMz{HG8U?&T!d5)*CQ`Zv z=>xO(hK~mIhvM3LQpVB3mbfAHO8p9VwCLu9~zfQqqed7etNVIf?X4DA1LYFah>MC+=HMNDqgFA6B;(f`s zZetB=`n#2(rD+jyVf=4VbRhQF=a{jUHHncFVs^r8_%Trky_eGJP*~Fgkt*=<4?_IS zXSe53CfH9zBnYC9NAO4{=>&hGq66hl1h`2$Pf#xOF#lwpl-pvdD156B^(?7RqhXb= z*1gdVKSEx%!LZtdf%wl^la``qjkok7*E~S55;~W_dkrvOs?UMPs|O zJV1tx>CuP?w|TU@iZZd|@~?Fpx3M&Cd~M31+?*N|sY|7jr*r)pNEa~jP_GBBl;1`E zxFg!9@++Z8!V$zDB|&P)mbMRMS@(Kj{;0&P0-d@mzZjyN_&cMEby2 zdr{>s@2sgIfZkN-&Ri}>Sm+#SS5DFFHbul&Y@K(Zl9KQ}xZ3QxPT0OF3R9CG*RR=l zM5nUfk!ntY@DZIgm()C@JcIv@`b~+ayxI&UXF!wVmJr&U*LZyKDSg*?+@Y!HOJ?|+ zL}PdEBlr#J;%AY^whp}nBY3w4n1>g^ij&YYeP?Kb7@)&B>c+&S&EBBqH})G3jF*SQ z?8sjkgNs#G8Q4whSnCBhvpQKy;TAyO*eRxpbxE<=#fYEc*N_HMrO*0O!VoveSZgQ> zbq7NF1uE7D1(L>BET;z+w5m%EHFZWIkMu3HUo~fT4QqW1)?$7rq<8Fr{$MGRCXm)%|cACjZ_opjpvUq!&v~%I%b*8Ul%gmp@y_2G!op+pVk)-3lNA#l!o=%MxLgA;s>{;g|{fjRBJADX--FXil!S$VNr5>mz{V84Z9HLXq8>I zjkeD%cE+gy=tcPcUt;>QBaqY8I$kx^QtAC*fBm_OkSAbXWPw~gb(YBiM&vpN@i^lj zcbTP9A%woKKfp|T7D``n_LG6Q(y{Mzu8m_&rrpdo->?boKK|Au@@l1fF44i>Q^+Kj zxsRidUrk*ZCy)vpCf5~U{H`Y)l{ZgY7RxJn8m0*GV^wEra`S5tkh@8VY{G~5a89_v z1cv|DNwQ>7Z^ckfohYSk<&Nl+jmAENiq(Ih41EAgFP(Qp8H=(WPmo9EPD;_goiisTKVpQNe&s7A+6e4mK5};gcUNwiTD+f76O#mxS8v` z)>k94V=RcOAV1<8en5`m{ny;$FOgv~Px*6n_DIjrLE0@Nb*TgmBh2u{<~PX(J0z}Hh#8WvGx*9~DO5252F?^6&}rn|aLrBZH>bH}>E;3Wqj z2Ry8qr%xE4KBryxa_m39bu65Fzc$k)&J7&7&es;Wug$bjgO`(J6>_;M%>uG7Rvd%Ds2;?cBW6j}J?$%p1u#Tn> zUUe@zqn3wMnJevS?M5P$(Zgc622GqLG~d6OVxH|0K;`Bk>YtE36Vl-~laR(^liEj3 zm0u;Wz&Q_z;-(}%3yGZ?2<_Y7|6N;kR{0$_YZX?(CZyJXHigmt=c2R3tFe1!Ws{Tk z?bwLV@bU$VvUm_p{Acb(C&t^ocYL8_!`0YUleI5SBz13j;V~am#`|4Z;gbsCW}0^_ zB-L(`cLnduxt3>9%3rFzL+=Rd7v~7;Ri}&0XK(E`NJS^40DY~c%$JA?&z4~gR4YK& zd$%gEKPR`e)qP)l&Mu5`9*2ahrG;SMj;|V!8|G+GCsKY!>bMYD&i!#lgIj-RrN6`}MAo8sw3?61HEU3MB+Yc`k- z9%k4dt2H1lX;mo2y^E&zN7bH0rJ}zs|C!%^S;^@v)80d*MB;onpH+5Fu0BE2Qu&J9 zH}lI-KZpAH7hrd84-osfkrFXxNT}ttTF$=iwtdFezE#4;HC1d?t)bFTqpo<*a)JR3 ztT-Fgm^M||NNPu(N1-ws588N9L^LgL(3Nzql#60J5IzNmEiZiCsXr<#i z21N{TX{)u_>>p9D5|-K#%(TfRxV};SjR!k`a8qdC5#_gx#Jw8Q>1@ix4#(hJW4&w4 za4Wy?Jmz(JE$wG!Fuvc!QFihu9MSkV$9$qkUSU@~D;}EmUeNaIN9(=j?0$1bT1dQh^|;}XayHW(M7_4A z#)90V3!M0Rua}6)LE5fX0Fpy8RR79zDA7_{*7D{2AnL zdaM~}yOxzujR-kP^+w-WW{m0woUWQgW0*3sKvYb0+``nKC&+nISHJy+?m zt;SUBxus*lZB7QIf8M$5Lf4u3lE^jTmi9ICae-^X&MKk*@DW_l;pq0hJ6>y@(YfwQ zXPnu&>UxK81HNIG=7w+8l}@6yuSxc9AGdLZ?)mtgaKqgRy5}YL(y*|$t=+i&e(Rj) zICZRVy?iCKd>&!B#=Np`BeC5=?b!j}AfE%Aoo=QXQGiYoVR$zD0`i_Kd(u|IHz4>Ho}sLj@97Owgw znuW=4p=d~NkCsPx%E%y0H2Dn(XL)&Ise55|r@fc+zF>8sAhZgkOcnHqW3Q*(`V0Wt*3xsi}r1BB*Y3oJiAC zwZY`NEu}YSKArMtCY2<);TNSdvr6*=&A0PokDQ0+W#L0_hI9w4+LzGZ6`leMc38Em zFI6x1=DjQTi7fdPZ$(Gdhgp&l?#(l-TB|$Ccml3nr*tB&?<%+g@z44g1y1rz4C?Qc z^luxMFad41Y-CS`Z4aIHKTG~<^lkD%cTAUA)4qVJn#Z2!>2hb5WLS?|#c|Rd-P&9? z>VFY(-VAvi$4%L8=yu+k%Zltr-g{SWv=}-t+8*mXu|Ueq=$PGWA>Bx|majKP2eIAR z48|eZW-isiPwcd{jXkBykTR$xA~h6CQ8vgq;d3_24G9T-N3sy<(^LaZM*8semn za~K_WXv9y+9pg8i9V03)^UXpyAaCA7hipTCvwzq>DNszAet;9-rl&;64=8V>FRrsN z$gcZ-7w4oJNxC9yDxmORN|aT%>5GaHmsoB(_eJeS2}(wtPQNb=MCG0s;?uCUry}Aw zyO6#~+uSBiKj0YBPISuI|G`z?k(v>E({>8&7O`~$0P|i4A;owz_R~R$mO>M90C8CW zcQHgs*Vco&eg1Wrv zRJSxyd;vQ*(AGuT?fS6wM!3na^G%IhpotPOADnhUgb2lT5C6(Iz<2QgZ3fZ3R4c6@ z^GUVNR?g-8Q@3POwq(`4rj8wnDQMgG#z%^n`?8t)4ow}^;d7?9aMHgjX_@AH>NR?C z%SH5D>qvb;i@;vYu55kKuj3-4c&CU={fTp`Jr(U8=Zz3qp(8@g7ncU#-Wo~iY8sR| z$^=yy12qsSPFBXZ>NQJ_5O^OSIST-N!{@QvBtz$XEgB<3S2u+z=SKd2kDR5Qz({!k zYHfi7i#OU<*#nz{$5wO!_cNS3?Q;Azrql}|_ZH*nIgri7!}lP;V4D}7TneG4cUEs% z`w&5xK2Qee;Lh?eV`wFJWr_zQ$eju?`Ktcut!wH#fXQ2>rEn|Ev;sD z!eq_4reNDtxK+2K?tCJd-_WR$7 ztliaf+h;|)+42=Tw}LI%nym*p-BjC^3t+&)?RtTM%a2M26Jd$MYxf352{=RvYDA)G zB&*c~0RwzkB6Q<0*zuFs5ixJORU~sHL~MfQ&8oVsDhIcf&O|2en0A6p5ee$?6IyoK zwW2e|Kw#TSX6@*-Z_jjyAmt?@nk1#GU&D&x+O)RIj}4^tU~vhuFmrQpG=Ml9k{x>- zrjv%bFXb5C6?)+`WYF}qC*oo-C>qe5#{=$i_92^bhXc#3JHiTI@ul;jd<9KI1WiF! z){9YCs|2gSk3I@cP?^^H9HicE0xWhRSOk|oYs=tzU3XYcT*#&fW?a||qWzyH;n?|x z6#p`sb1FHMVI1hIFyuRfwyrS|*ybR&HZ7>#04fqe=j48_7x};4Dq^O4!dBhnqmOYu zlTsYwgeaI?!o#Gmxq_6#4o}jJ8>sY_XB^PSSCa&w_pR%H1}LX&*ImdSh`3uxNo1Ba_TkVE9baSpLOAVoQi*L(gFg2Dkg z3?M6i;1PeTp>S}M!;2?tlK*kHNj_!Wg@Vb)laoAq%!||hck*6j>;aen0rJfI`jdn@ z>w|oc37#z^zEhFxTJrHzf$aFe$zh1>c%$#Urw=&6|EtB+zp+sM8#9@{!x`;UHB(Hz zdh(f6h#H(3R{h~Iq}D2Yg^DfF=Aoa6LC9itMSLuYt^yQia6WN(;m31-DA`(Wi=HH# zLr8Y1#%+(nrTJ{rU{hGq2jfya| zLWdGzA-6=KigptLEq=YZ`I3aGs=DiM(|ldiU7!5;!2Ebx?$#)V$J4* z9H1ov$TYH1=?u66k$9rv%kf-s$Tqmk}=(8@o$ zLY^8>v)RpMx`-5Nc01>PpCbnl)lbDc8t1sKUNc#c!ZLaOQ)^6Ug7k{fW5r=u7v>ql7hg)VQE>R(xDredi zf-g=bb%`oIQt`U!e4X|VuOkh9ID>>e-pMYz&ZXd)j!Mdg;^Php89X`p|AsLNnxtu$ zySuWWskR5BPKrK;ve!LoY1OnN!KIlRJ+QZxuEx8cZZdC=o^|k>V1prY<PhyvTK(*wb9@I&*8giNa4b{V>pj%SckEsg8&gl(0A+g~Q2xqzc%L zL4zHkMEppGQdZXETjba-YH(z%eYzar^x9gt>YF=i7Xl6yMIwR$)EnurV_(P@X2s+K z%h*TLDF#fwp~kTwm9=RHS~hi|>(2Eg@z4x+Ykjf?kJNqEg6S>wPORFLXurJ~yQ#fe z3p|Q7;|pM&rp-Z9zQeuCRM~BD-AzMv!GEfoDAtQC4zp~#1MVyXu+NJo9(rf90hLe5 z2lRW3$XwwkhByvhyc9GQtDSG4OUIuwwwa6H3jn&Ad8cxcK^R;2f<-Py?gc}2`i_ZW z80ap75F>Y5(xTh%Vi0#--7Z_!b`M>Rzc&(A#@ou-O<;YyXH8;veK%A) z>2p~1bd@VBbJvn9g9*Qo1@Fsw^8hTzApoUuD3?)ulu&S(v236k^X|Axq;-Ydt{ZNJ z5w`4LJ5196PFcMF|snAfmH>Yh#7D@`VC+$HHg(6k|53e&ydRR zr;IJf)MBaG#(Kyalk_`09HS|(_Lf@Lpw!w{U6ebI+5yLl`ijllUgt8W z)~-GSU%PBMlQcUK1`dDUB3b%L+xFLi<>`;iA=@X@d#zy8S4j%m$W`ZGro)j!NgaC+ z(J=9u;&>+y*>xs!OSw2RYOzH6GzFG%HoY5CjXL$LzAVcb~l6D_~5)! zgdAD-NnPJfU6VD^HF$(X5P&U?C3dWPS@i}bqduv+e|$|D9xh)t0c>c3|BvWYAM7-rGXuV5h)o-Ls^8*|usA+Zf9zdqQ`h+xgzoE79 zb2IW@>m zB|cb{loL%b0HMn1)rR$?Y{{Iuvi+ORe{cy!A&#z0+M^euLI$D6M4X*>+h2LNo^NRi z*z8esp;%Et^qisu+0zj*eqUwmI^=-P=VAZ~kM%3-e<9QU#3qsISY5-7*W_uRuq$3m zgGB%j8jd8=bY<|T4hR3)G#wiBd$PTeM532Aqd+93jv$P$AkaV*>)^9FjuCWm8+vL0 zV3s*X(gu-oy;uc7UpLdQ*d@^gZZgM8+uNoL>HLsOJM%ip;yXB7nvC?`Mb3Z)>-+IG zr8!|#RZg6&c7`**)PjQ+JzZ6I^>2Cz9qYcz zVbaxxsip9LB}-kEG`|~Uwn;3q6>Z6gyDoE;GlIFZYw6dklR~uBTZjUbaRsQ6z(NCj zTvhlFXK^;a^>u_%{!apcS~ODJOGxwBCn<}_9qcAj!;4Mxo9XD-{gu;Fz!oMoBG~jT z2!IxVevYG~>(0gqfivOcJ21d|seOcNn^TfGa44r`%bUEk8d!Q!{XaOaWq^GEDI4N{ zw(iASIJ_|ePnps5@Pf5+9Ws5bl+B2g4*liywxMy#^Qbzjl!kk*)`&_PDoAA5P z($cU?Ck6pJK|2N^D6HYp*6ps%n!Sl?oKYMMdNcMCT%+5&vA!;rktV6p3>hJWkS;x} zm0HZBA}x;_(GE%X#aKk4OVmthL2LBMZ3`YAR$ZS|sXd%_T6(1+1fN!^c?<}o5|Z!J zHpZP-DvMZBl_}TUtrl8R7rdAh(yOZtV*$h!0OAsRtr)UYmd^KDn%6NHveLKn_8W5o zn*Ux&s0)fjeP{6b%w<6Piu4XI7kQh@&z6^b3Auwx8TBrpXvCyN-=FDawWe@^LDONT zAYIAEgB4#;bbFgSTz}n1$uE~5QsU$}9>1f5Uo0~`dMhz!E=|`OX>n$jVj@pvU7Whs zohURvK10}{z4j4*_I4_nbJnCd(?@HnIFFT@?U$_l4aWgLFCwjK=m(d<~% zZ0rCcjkK*ArDHewRwxp}ga-@mpM9hTQ%#NRq1)!}r(M2w{8Gbdq-ez7R=( z>0x4X@fDZAO9Pux1TN*LQieKb7S}^JAWzFjveexK3-)5nG{dybD9ZQ7XRuOuc6lYo zp3!Xtv1UrPHlO=W=MB>o>7)GZZ5no95Cy|uj5vW58= z6I^R8w236Xfwki2*#6{Y=KG<35_k0{#moVi1Tf_|1BP7_$Qu^3V)Yu-c_kf~;L z=d~F&8_fWz6Rv3W$=dw*(nqA=b|lL+pTxrnDgs9HU;ytF0e`s0q=h88}=E%oU=!TF0O05~n%y)zv zuXxa5;wC7Ats5;_&J{AC@?ZlP&ILWIJI(k}-sy8^Uni1V3uRy1r!0@ROe3qies|zB z$PA}&m~^sVQ3F$oV3CE`$i9e}VL&KdPYUe&5S|B{@dTI(a*a5C;;s9CJwumkufr{y zR-?XwJ1SHmvZr56?69m&OJSPIp4noK^U(}X?uaw7iqTLA;kFZ>*cEdg(eRo8-aRXD4NkyV6m!8g$~Bt1;%JGVh72WTq+SuBw}s6zZN3 z`tflhYqxIKt(*|tmOB6W4DYZ5 zE&;K@=_SpO*`Y=^0p<0ol20u<&z;>%l~cWSEdn4vZMrR@iep@@5g-)D);vuoWRyu) zO$0RU|LB@)j9zH{4vglt5q1An96|zG!n@|t+GW2>nfe1bM-bLu`D*b-ja;$OR~5_E z*;uFIQX*t4fOLtM-7}=ZW3Hv^ilaaNXNc@l?sxym%geso+pCeQj!C%tnt*&o6B-&y zscfjiNdcw;eAKcvslbt>XhF4~8zQxv*SMk>IC-e1veGT@5V7*e+IZ=Y zNOx?4A;#W6?^!;o&lV}_ubWXQVd5w*C^B%=rnj`U&d_vJ zCEVQVY0*blIlYQve1V=0o5OD;frGh+5aGG7vu zokEs+p{O&?Lj(y(ecp!Hox^?0kk}nDy#%qZ8w#~d-yScc*FwT%)GP%f+z+!6_X`Ta zP}<30BSrzbPxo88Jpa^CT`5}@s&C{tLNR1tG6GZ(YR>NS%C88Qz9lDWdD{qg-$rD# z7O54a`35c++XcCXjY9T*o<7j{RNG%c8oW2JOXQKjwAqRqMBn|hsdT)>==3aG=Te)v zz*ev})-vrkfg6~>#zEN@tZe|JDJGG{duu^V+{`XRZQ;g_>;~BQ+-d zLFq}VaYlM51pNo!psmJxQMKf~?P1HA^%Y&dJIp@$#_Wfo2Ti_lOwuzkwyw=_v?{e>T&_`~fEjU{BLu-bSRPT4cOxEwP{n(l_z> zg5FDH&Y1F>a;6{QHLin$0LChc-M91<^V|d`CIb`KGG5iasNs)`((?P6k_~>4Lnnr% zOa(c|A`A6NsA=_iV9HP8K3R%I)As@WUMuNHXrpC9NOG5}^;|$QpOD>48M~3WCVlP! ze;_gmwvfwh(yMM9|MUUt_}686Agq>xKEn?^#11~cTUG@>CO$6^t)pw1$7F0?dx zrjn*12ePpBTZB;D9G+#NP;9fzdv5^o2Ww5Fz<5`Z^T}FyVVDuTt0mZiu34dP{KB2q zkq~bfk~$40a9^DW5OG z=0h%KrgcUXz1C3v+D)jv=Ppv~8ox={f{LCSG^GkTxJ5;B;7YN!z(7Fc;-% zm7k9hl+Vk>b3}sxxwtyAUXlA+Ie!*v++E+fUS`=7N-%hIoiSF?O^@>QSc|{;m>BU@Fl|jU z$Q8VrA(>063jPwMkb}^=n8$m3TczbAhsz=C8l|x1U0*Baxq9(6@p{ZXlEm4`&i}POqM+;KI87)9@sPX=nmUns$+&Vhaij z?B-Zulw^l*L+2NvNC{VES{=W$w0M*N3CaM*d~yu(&KY1x?8aq;)fSS(zGrCc#?ogn zVWZ34Jru}7u0`=Dzo!R$ouzZp^8MD&T1^hu$oRkv zvov@PC0~f`mMuT;F_48TD``TtmOZ3JX*uO5KHLYwq)E5z7_Ep6qF-A`0TYqnwp4fP zmqV((o9I})aaQMSaanU#Md?p%Gh?fpwmMfoPp#^5pm%rwOW}5cM6K^<@2-JU${Yj$ z`Aj|*WtN2@bQ1hFEc>4=qfo}tN3Z!eR-_LtUq%u@w+ zl&C0}abo-r+@+W+cK5JQ{SR+#W)zcReZc^0f@+R;_+N~t5!1(mLqvqh1+muWEdT$^ zz@CTl14rino|hK!l&|rXPy2bmk}dxKG4&N-P3`~x_g(`K1!*M}1f)f}6;Koqq#LAj zbmx_tfPi!l0bwBB4H6@yhvew)hSB^#gZukG-{;}M?XbMcuFEpR5zq8&?PZ1= zcAae?zsA+TzhOtG2F(bzhd1e*Bw$n9o;x1wsm z%PgMA;BJ<qn~g1Wz_jBB`)CU>cv;NQm42 z$l75O9C&_DQhB6%_7d^bA11Qw>5Ob~rhGDO*lY21Rn``ej$c{mPUWz}k{Z8k2&hauBk_9^g{}H51<6(;f= zGRi;7`U8##I(8OvmiP7kQ6oz8Idjhj8wjhyKM#JtsqtEk2{}H;&l7rm)b;=sBj>L~ zK3d}f6a6&r_#Cs`{ol#eJ903HUzz316_quLC0`65OSL)vxiDK-fb+Al@v~Av_Wd{U zp)^OeuvpdPSW?Q^uwHe8vzE^F5Sy$_5_l$vVo_4wrTKq0zBmu9gm2I{+s+|L?}s}s z5e?VTO?S;+6X{Muc36H{8sRmcroFCaX#d6JwdmFEO$ZOS<EI zQ=x_7W%lqwpGJGsh>0w8GNW8T9{_ty;8dtyTzV8p`lG{(c^Com6+AD5RI0i9Eg3Jy zoma)qhN*(8Olwwo0A~zOJ@FEQ>5*cJ9&aPh_F_bRvRHVYhC!G01+v1eq;R>CmmV9B z{<)k?T~&<^_Cfo_#~s?Y5F6GrZw-;8wF0x!d+lC`G=Fv5rBGOH5+SljS2%`~9P^g2 zM;~a!I)Bf3wh6>>6*GlBQc332v}-B)9n|B1UWvUUBJg+cm2godBD>$#WpTF3r+D5p zVC4Aw)Yk!Rv#_(~TlC9pdSz2(vtQDM{+&DE2IyPlfY11pO3C!LM>HjRu$FYZDz#p{ zZ#=J!j~`r8$*~32^Jw%`F;$4|QcZ~nABOfH7l z(QFkgN&E`~uP1YiYZ}Fo8&?J8Zyvn0QB8Kww+QDiXuV%u{AHE>?2n-=qc2z19k{H0 z2w|7ncsO3rhqK-$BzO=jXU$=)6PS8#UCAC*8>|)g{))+Y{bi~u_lT?E%`b*Vzc{u$_;TuxLH^pD~E0xevL; zJ@ZU#T8DG#nG$EaY>)$2qr7s*jwAkF2JYFT2UhK^+iV#(L2k>*2RDqma}266Vt`?0 zn4%^~Gms0lE11d+6Z68zP+{*&^psGX3dtA|Lx|p%X3HCrUGit&jdB?w!;g49y5wY- zFlAc@leFQ%M`~gjX*&3Ge3AWjq!+V8Q;t!gym8%r{SwYtv5a&oPpI5!Hvbb3OZ?hL zgxEb#jaWgE<7wJd)m$mQgd(%;n8nh)U%)I3%7nod$ z&lH$^rL9v&?QSMdVPB$SQ#vf6VlC7x`O(GF1KiEoY{n*;2JTy0^^o!HM;tCm1%8Hm`y2 z{6Y@kD}i&0Y+)k3DU)iJhcc%Hx})Y&B)mEZ#C|G@(L2cZAx~fsuxP1y+ruP`94Jsz z>PL1a?N1}~Wu|W_Qf_6T|K*o!;4das^5$-g5~(4+Svh?UE+L?_o1)3be@lxA^Q=_5 ztDtY0FwHq^`iNOe(w+EXQzeFnVG^#=AtvaGm~RU~G7$P_ZYGB7-6YmNxgzV28?@Xb zsqg2!cn>r>g==I<_)tEb5RwF-ied-MBHGStEUnD(^BKFp)N$B)SMScFg$7*dE*Ho1 z`{8|*V!XtqZegj?s3yRuYJ_r>90%Es#KvN0IOtV)C`oe>+{1?^KkZ>9xU1D@g3gLw z`bH;=9llmjP*68n&FEM$Qy!R19$0zx=bMrQ25kg#4}mINF)WvxYA#!;FQR+ul00D! zn-QQAK2hG8WEcB(^BYF5KYHj5{x62|ACh9PR%u6EGZ6@zp$|;1npCE+pUXEXH0oHj zFLi3Y&!N?2?e*3dBWA#k@G8pMWIkOV1&S#jDj_kaNT=3r8~5MiK}@}%i{~85fakN{ zrRWh^R%lgb=QohHYx`3GS>w!V&J}&GN_NLo76(s;Vb=&%++p+H5`;4!zQ*`Im|e7v z7`}g2W&lakYo$k|+EJOcXwAVlqGX@!O+HJ92Nd=Tw>Y!kIyDq6 zJyyVXX(r7Lxq(TgTSQ{8wyr@`_cmjSSX~#=I!Re$OByZKAUyAagGe)ELu^XO?>?bP z%>w{n#X!X?9nWtdC1Opm0v($>>G5+|dgJ$;|5o#E?Vx~1a*?_e(GTE3Q8FsQ4x!mm zEl>Tqaz)}6#rOfoutP)nfn1J_d`{ctU!GP4O3s*jcnKx-Ay`uLbTFYWoSB#-(5ft$ z4Tm_iiZ%GKwKE|Il!EE9<~p~vWCQfPlv?85H}43oKPq!1qj3wAFl&nj*MHNm_RTy) z*HOpyrmPzX(Me12Hbk_13%jXEbBg`D=Mw|wiRyeF{s(ebP1cGmn6DD_>iDq|nV@%D zbieHFW{I@vBx5Qm&%Sqe&?2{Dvz0%_N#E{VUQX;kS@Vg4TNmor>8oQ| z;h#`g8kpbq#&3dCEBTiaB`o&73%@YiM2=&sBxnm7X@ASrl`+MTPE@7UlmC|$dM17| zr+cm?R80TGqzREtFjb|Ir`8#WW;B^^fCJHi7MSycq8oaTsIa{uz>S|q+|4Y0{rpn{Er~c?zylPs6 zf~%MrC{N@P3OE@4+GXOBH#K;08@fmgt%ZjB7;jMr-b&4?Wx;b%ql}eHBHPA!qqS5! zveKdGts-T4M)-&Ml$*K7;0>nf=JaRA5B!*EZa$k98SeJUl6lO=h3Xz2eVnDs#X@gI zT_oGp#QOT{{NT*?X=4wr-Lv@~WT%Fbl?{XF=F8GD-fu1ZTQ*$E7Od^UJMKarf{X(8 z$_|=>*Hz8S6Sj>g&)P_~4S)#6&}6*c$(&y{W-)ie$4IeEL$=0Y%f(S}>WQ4S*rVv! zuL8|-2a7tDMG_mqbizQuXpNRBF5MP+Nr?j{OmB|uP3u(#)Hp67$AP{QoS|<_lrJa7 zOA#N~D|bF7&prjB%nvmvutBU)O?w7&zJP!hPW=h?gN@6gsP_6~`hIiG|71w7J#j!#f$~BZGPBkg)qp!(S z#+IoP4JGb_zrluUrQW=EkId9FHOfSGm#8{ZYfHHkkK6~WZh^EL9v?Xuw5vm?Xv6!a zra(aNiWokk*S+Y7?4c!rJ{)Hy40`T;th;WS94^|z9{4;g9`y0_51uQqu9(_WsoAzg zcl;Y4?5*Cj^-mjzC&cA%K;z-a$*6ABC@;qE5h|Qd2_EfeOdZ`>KP%)XR2W6fI#%{N zS(U$-Eakt5#F6X50Xnu&R6$P(w{F9bpsXaOl~BsMRajmcHA}#!Yc>xg92fy{zhlSt z1m_pD$oOx?YdH+J&j*&Za)?5g%*5|T7RbBS*^b?3hlR{rwD)>Sl+9u@#Rn&I-RJL5 zMkW5m%8NIx0ty)?epkI2b8rx>M zZE0zS(T3rN#PCpw1;>CnQfZo>fc%eTAy=`&y}RJK#s zv;a{L+t_G{9h@Y&UR7``N|oe7-I`$+j3TL)5lFapDU=|LqK)fER4gPc=}&fb?L1B{ z?)$wW{ki>KH`_VNt%uw^p0NK!U{R6Jkk0YkfGh`LMR}76FD73Zqdm zza?{9N#;!4#}&pVMen7k6{eUdjteL;K(t_Fo!7qyQ?|+Vx2k<2uu0$^K%}77;IocH zRkxE*f;;=OMRriyVS)t19{vx>g52M2%Jf&Q^Y8br&|bxRHQF!o01N%aLd+DJj$!$s zTg5*!ZfcNKYC!+Y&ySSXr`c~kb;UtvJ604$dpsj6)Np)r3T|8uA^1-%aif{I;U*o} zxzjFA^5!0D+THz$p40!c&t!vY?$hNU5gK|uxEfpU+fEg>K>}H@#U{uf_PX1X;#l7( zs>iKNDgM0Ij)vu%6Gwlw6e7P;mk z{hXQ6G2qx&6PD$14};)EQ%-TVvunj9DdWna4{DZ7^4CYXLU;S|W%%Yo__jXvp((si(9Q&T>n)`LsGf_6*gth`_`t$vrXZmoWp zo*$I*%D>Mg@;*I4Z)%tN@|+%uSVmJ*H71l3sNBGrU3_^8?qxn?mex5K81`$9Lodr@ zgp#fL_;$xC+Ma3p?VnP|k{LsxcLduGc~n2JIXpY?6c_e;EB%N&aj%%FN76x6h1P6l z++t_F_^n$}lZ8QA*fuxI{ncu=nTx^Sl^QnCJGMx_Fq&M;OI;aeAl`IgRvl^H)GKVe z8$&kOG+rR`l$kKIdg;2p2X7~gnEPUmNl~9OOH-vQh3`Y-tlb`k`;*|HEjy+^nP8bm#(19nb*K7vO?c8tNd_Up(6)_>5`ao8! zt|A^s%q_0Re}06jH=zh{HOJ|(JfE2>gIK^9>3g<7##fe+xq1>l_E`c$Nw)}G3f0q@!k$>fqM*#Op>NYo-nG+YsQ(b*0qx&A`*!3 zD#y79|3lzapEb7xOPT>a^!J7HQywc(m4YLXu#PPIo+KEFF{iv76dG8>p-Lqkosyie zI$%(Ji*vo)Oc@2d+mu<_TjB|?d&V$E9lbp!ImFYlMm_=?RF)aObF4>C?jQbyjg|4g z%@gK=`_9!I}KZDfW!m9@xu%d+S)XH}|Oq0Yu!P=97w=`b>xWX=moyA*I&lV6E4OTH-9mRX=z zQDrfxafWi`l&RZZ3j;F;*?2Ui>hnvfdV__gP%s(aVo-$@1+=7<<*CBi1bFrfEtoOp z0r{>}hpr&Aq9~v0ILJ0YHi4hO7}p~nPH)3A=BLDUgB0ia2niXcZr7TK|7ST^EcC7w zvRvQkL0eW#CtU1&ADS9VI78KwT%%tC^vQN1LsJ@s^P5w_7>G=QUacHVuu3z?rSD?e z`)nI>oPNid^NB@Gvz$3|kK}W3RV5r{>)h{v=IQ6^W15(>{wqFeuLl*Ae|R0!MS3gW z+G4iOekOY!sIGM2Ln`t{@}348NxT6m0g_wbyp&Msk6qH&`Y#HE5niWZ!3PxPBkBIDv2`V!Mf*2;!^tLK@B3%RCi$hg@^ zE%(cww<%2z=;^PU{nh-#;0-sU5ZridV$&l)?R;8MXQ3|9l(0}GcvG)KAy2x2uWd=i zbSn)g`Sod!?IJ$(>;>SHQ85Kz!r60y^A!>yvIVgpdHm6N{NBnhDsm3Bakr-}-YIBz zV9^pt!abF{89Ao%zA&W$ZOtpQMbpQO!mRU{$S08|FOqHam<%Jqd&#cpS(Lf3Fq~6M z27EvXyp4rVS<5Gg$&B4#7IgWeflyQ3PFv72Jor=404@+4% z+~RKt)+*CWE8RG(eZMw(zHvp4$78RLeoE`E)Aoso$UwvI9POyqf!gFt`eT~0->>aQ z_)#{wTltMUaZpkyT#KrD&g*$fsj` zIb{bYhWVhxZ!t!V85BR53;XpJ@+&xRj?lz=5r=f}b&Gs3kfQ+ZNS{{FKAAp!qvY4{ z7mz&&snrF&9q6%WZky6Z4xb(?j741)+r4}bcMD(b#A=QkWV9)TnT)y6pa`=tOw;p& zBHTQ<#tT4>E8GCNP1-JRNf|2~Cj&Q&?*N=iV&#b_+_gM&U?)YIA^peH(_z%JEg)OU zysFy;4;y#zYmqb?qSG+eE~v0xoieIupq7}{dxg+)K4Bqg%x#p_O8-tN<`~byP80a^ z2|CyKNh|i?6F@?ip}7TyR{ahS$ghe6@}{5nZTre#^Kkk&pHC9f&gTQDkq(iTw^WeO z=Tm57EjmR^w8Rrwy{DxwAN2-79??%}?~fH57MllQ{C-~Q7R*K97T&<16AVUZJFYlc zA5Czr4>aFJFQ5=hu>T+hIuB<268H_t=D9yt&<(p(md0qXSQT)B8^L-KU*uBkUp3-2b@`pqQTS(g zsM1*12&y3B=E%0nRaizJCL7kiy*aWc+g;KPf6-ie&Ut)&y-5L(wisNa*^6b3m{gzG zvA6X%J5{pAsk$h2(OBU@ceBg09j{~g(|b%c=H8(nTd8>4uJFrLuTlw(3PjdzO=aeu zudJ&Hm420u3t#VEZJ2wcO$>dwYAOYhpgH8jA%Rwtv^$D@i6!~)1#ONB_RF2?&@G#*ytz8)^6BI4mj z^4@vRav1s~d1cA;QRq#F{`1V@z2n?T1$kU~isb_t5^$I(UmC~pT@2!_&ZU zR^ShtI!{UAk%_dS`GHY1gCFL}R|cErIPiNU@5|8tBvr!;r!Ay7V=!%3Go6k|d4fm9 z5!9Jj2{3hlY*Q1cF|rBQwsp;#M$w6}l~70mvxH1xtqIMPY53{0%7S76HmZhoTg_8; zDA(H&2={oi#ITxzzP~S*aGlf0&Z<+zr_IL~g5uK(MBr+U&x zeTV}SY=zk_1}`{nyn{)3F-UB8S~DZH8+sGvzK9WRk0*O4<@mQ>GD!(S*+OiyUsvB_ITGt*YsWG0wGL$RV%UC)OpoI?^9vdk)w$-DAVB zQmupr2F%eYxiU~cA)ZP)H@&Wii~NmJ1kYxzL10o?k0a;I+Fy*|c?viPK!J%aD{772 zqqeXOGPY>~)SUim-nvxd*f+i{*jYmT4I?(+wKn#L<JGY|RR-eE|a@q4t*1`IkU z>#rpUI_}0vL?Uht9s97c$}TN|X57$DRT)(b>G`oK)-X;mm+);k@`%P5M85=6b<1&C zTRb-a(7!Gu$+fShKU-o$HK<{#h1ue@P&$a#_t{Ch59U6#6LW5wj>qo2JhFvTZCQb1 zG38bkk1k#yCq&eX{U#YY@DlYVI7k4rpDaLs+>t2N+K0Ay`=9eLYsC!h;`Z%|6P*xG z&I}3_Ir*sV=07hyduHRwe_CDlvg27vY|BQ|m)fN{`XA9Ba*2GQ>zZ=^DLYE;UKI;I zEo`h_B*xSU&{@yw+Tky6{<^X-X1Galdpgj$*qQFWdcoJC4>hfS9A%Lhv3yBt{f$gixNkgqgCZ^fP{fBw9G8t1sq+M*V{@FKtfb1XP zE2fvdMwC}vS9r2kzq_cR$`vt%VnD&#-u=mpxs)|U#G9gpB7=^TnMbu;`TQlOr>9rj7KjK;>Yq4KWno7S>DG@1QZ|7tQnX+6Xv|RPG2C@cHDyGC z$Q`I+I=bG_dgQQR?8r;-5?+y<*cKOF*8b1UnvWc6*fuV&Ojbhh=ku4sXF6c-3sv6~ zb`yAvM^FO(M-rH7JR2K7eArjQuc+j{c~iPv*M^0Dkq*i*9%zn{z-Ccr`SIl3DR+Qn z5I;O4tSC3YeDAx6LpFDdgzKY0y|D7!fLS7rF)k=Z^9+^NHQeC>QNCF-Q>O4(VRxd* z`5Rq)?dQrO6T|r0km9C=^dnMope1-r4Ppg3nM}5wo(+x@!ks?uqhFT=DnnFyo;(uC z%I*)H>w`@UkeWu~RvQCMg!JC?QkItI(=h^>&@Gp~S^;lT zT;zKyg!n@t@2Xl}GhbwL@Z?}_9(UhlY5n9LVWHLe@W5~cU7EnwJ)j;u;T%$?mgR}T zXbC61{*d{x!d>@IByE?7F+60BP(p3HlIotKt!vloBX7&`oKlDmvz!a4{FToTzLq7) zT5-EybyLU9fL{*CxU^~wH;*KcTa|qR>N#Y}iI&_h#A5LTU6P0PyWR7t^V&iT_B z9BabHwxwq;(S;lS$sJOgl1im8ZM`goMa;I)Qm_f}V_p-^&5oCw?5A#5qKq9JoWc$_ zOQ^N>b$G82Pf9GpP%=t@`dhBhcbPz zyVi*{iVduVdFFdN3+dV6r2*n^dqclV?SwpJDG_g%ETx^+adyi8%*Is~$MzBlnCrc=uoHQK) zOQ>WX_sIFewtbJh*)xO1&yoA=xt_mj=*WSyl;YEg0-AL;k4^J3PozH~G{qbxds5@# zfs)mAU2s6No{ELa<*}+^a87^hb{Z45^K)F!UH3&!`sU}0g+PhPt~n#`bsmp6 zj_MUr4=>@3nttmjcpZ1Iq&VPCxK@t9EGpN*80gUXy(Y(LJfyy6<W(=g{O5jT9Cm$tn3jBL)Pq?P%kj>;n7I4VY!+B1#0)jfK%6E% zt!9&+3VL@N(6K{`KJ5&(pA1&p|JgI7DQP&$X8ro8o7!bMz$!kxaZpaY z)noGGfix$sK>EV%)>7>~f6KMd9FwCHWlOz$ZdSN(Q8Ln&Z}D^Ojmegjt=2qF@pC@E zVXFsc8j>ab$S|9}cmnP<&K1D8Z(W9>)EMCzAGCiz$hXNW<+MGrw4bP<)xR+l&No*D z=VyiU8||5X=v>I{vA@iFZ}-*XGLK0Nu^Si9!_;eM(~=Zuk75|Vh@tu^y5$xdra5hD z$`}RB8oeyPxqi1gic?aQF}g>L{d~1(Qp1$ z7qYF{-V6rHz-{jS$;X59saL_iAqb#(wzr{~r7|>o1NVsmKtTOx zg(nz1Sq-DPVae46MeN)gK5Y6@k_`#lTntD8f`@nZm5{`2Dk;WlrDZ z#2Bys;P5fw?ZvDecxInS&k}sMpoeBYkDeGKI^h&CO6!qt+32FZUR^-NL=#s0lvy+* z&{$(S-Tr}&0-F-!~u-fZ>7eIYwW&L2azv>(qMe0}sy5>R%?<5wA3TM7D@QtgF z%F9gg#E<>T3{xipDk*OluqW0T2W3qrN8hFX)Fo{G`@Ave{zU;lY^5c;$9A7`*Ja*ubjcX?M3zFnEMNU*GQj@( zN)ihHxZaZd(N{MJ*>{=x^ybKt8zG19VVk{B0 zI=ir&QmMA1g+pmFvM71)mH8}r05Y8pH}j6+z06hoAB(*y#$wEq``&%_y1aOOlS9r= ze2<*0!~_%8Y}MH(yMeO%;^H(a?>jo|71LHNzRBwoIleK1?BkNN4Q#;mDgaV;T)!%` zc6RPopTSoQ!#E|5geT!zHB3}MBF9nV1kVu(p`hw3(cl{;$TpIE5dI4Bps%dHAaC+E zYF!aixX0$2R2~;~1sASvjX8<2niQvBXU13;vF>Qn2X0q?|9`RCRYQ57oc!q$4)Dxl z#?QRh#p^#ZRgtrT!86wnDkurMEv+^OFhwC831jjaWhbulha(s-^%-f>`)dA_47{>k z!Unr+f3lxd=DPcH1k5LJ;C`4aeYU8ZyW?9)u2l)u2Ga}dp7%7O;q)6z|tacRw|BnPS3G6M@*1!SJ%M*t`qrVPAaUImy;R0KJ%QGrjy=!ddgD;p}} zX?#wzQ$8wR>xa5(T61m7U)CX)2UOqd&PBl@n$44=GOX&co!FZhg)R z@-_QE)s=TsH$MXNq@J@{O!2MAa4LPZa7?`qio3RlooFmo!?fssq|@xQh=eN1Ae^YR zXP^vpbAd{j4{fP%pN4!3;umSGr=L^DoZ{cy)>Vtqc}?i{!NGr;K)EPk-V`vn(f?X- z*;L5!`%Q7yCbZSb)Bc2}$h1dzb3uONbNs87;C<#^IC0S{{FWo?r>Xp+W`uGk58 zXoB7Z|F00lEF$qCQNt7X&e2>C`3kXp&h?B{+#!opB^7I1K6N^!ABV&>0E}dVdrmRSU*c zNLx9%9r5KRlM~X;K|`Rt2AG^=e5k|usMJS{UNbt9SHogNvb+)!BNcAH<(8r$=qK|3bvHRj05}y3Xp6>+943XQn6o zo;E^LX*Y7}OU{1wJGaBBEk`&o6nIPg&owGC&Gfkx!pHh$28Y~K!kX>eDPi@)z@!9h zkU$8N*WsT^lF5U914eOS zNE=65-gQ#dH@Z#w8*hY#pkzx0?(gLMzQZ0=B9qaDvf;b$)kh$b? zoZi;G^=cUneMDSkksSvyWzZYDDu+EcJ)tMbWj5-iNdza&_<6SxDZvqRnJk|dUOX#8k)}&hZzo*Qx-B(oMY{L=+L5}pXpoLObA|6nXCs@ znmq8=LL2a^CX>VhDx_h1{>|LDqejosDbh=~Xr6XV-vOegX1;*E_&V$(xd5$b+b-b9 zLn+gQy9tIwn5T-gigRn_aBbAoM8A>rt|rufh!kL;P_k_sg~3-^24|H4{o-Aj$tRAi*mpC-|W3q!@{jTb1oe z4F10L;aa^UUa6B`WyHb4EgVl*wcYEOD*rOq);G{eS}(9`ipsFh${)YC9E>glmoxFH zal3q4s{J|fb(#E7us{thj`#GE=x(rt8G`9x$DP6Pbd*Lb_K)M}-aR5gce~BGQ@{TI zBehR3Q!p_0(PQzbNS!bstsG|}5m!qT3r5)QTvlBKh%8a5um ziKTw4)CI)<5_wjJeu_xaHq4rAkIv<9XKGsYHk4yg+`_ROW?c+SO*St#s_D6%OCJNc zX<#jBTr{gP6>Z%G5;)9cq}YP3E@_QTcpk!#+C=y74Xx7(C&+tlH`a3cfp@m|3xXxS ztnvPOP)VT&jSZXjXx0UUItIYgEiPx%ThQzNz+d$iGs~+5Im_+@>0z`UG`I_t#TeCl z%6*OrG3t>t6O#A#yUAhNQh0t;R^IWc>!6{{#r$fRQInbV&NW6{wEFJ6BZp1Hq`Mkw zn~&OV@R<@PT2v!mVNwyKDlD({!sc~_qSHv zwt9Kwh?hPHj$K%0CSV6ZXN!;goO@Yywd>};V{n}B3)zX={Li=uLry;JW@XAxn$YQ$ zte@;MhHFsJ+(25#InWJv?+P@<8wwgs!_&{zo2dTMqun>IV`_i~K8kwowmi(@rcgXT z>HIHG@Y}IFgA0F>Hvh8R*_d*!Ect!WGy|JmD)jC{Y&$Lp4Hvz)Bkcx!ZWLe0t-RT8 zB$j&A$mHLuRi?K2^X+?YYKHBFUS>kgW?=(`h|eR^eL6!%C$u%+lc-!ju=D?67?Qr$ zW3%)GzdHsz+KoTRTD8U@8O&I#hJ^LtRRlkOG%H8lQI&-Vh^yc4lP@|k5_Bm35L!|V ztu&&&SL?ptY0+Tz$QoTv6^2WCX#oLvQ6`D$5w&N84pDav2?se)ZCX473Rm`qU)0e- zaxVBfwrSFs85qyf9s6OtsofN1`5H%IsWAQh&J{P8jUZD+Iaw=0>fy$Ge$xuATt=&) z;-;M8P+GwY&2`B*uj+lpsj@yi3LEry-=+HX(yALVw9F!x!o9&Rj}fz&J4ds4*$@eC;tny*0QeO z%Z!q+&TNMl^xml?8#`9cT{^AQ7e;Frmz<4+IF~;7`Q&Qc{qda-r(+7M=i_rvwj+K%nB4>8OHVVuwOVn}jf8PTLSu6mqa0?H@q^E5Y>)n6yPly2f0dn zllZa+%(7Nf`dYx^7hwH55uI2^3O=}Kpzs2J-As>pDOaQGdSQJ zIR+ly7a16~jr>5N8Pa(kr5BtJ=6U+Ors)w&sPhea(vayld4Q)0E23@}K_oQak)p+J za7+!ddNTUki;VE|?|&t~{jo23N|1g3-EDm_U=7A`hbcPxS_9`Ws}j?p_9L77;t4UuK^cbi7)z&5;>tS5D-GsCxx3scbqQDR z%nQ(T+9Ge9bRz1NAJpg@6?u-}R0qQmf1;Ln*E|A%C$ea19Q5b1C!n%lXzj_1`*=QE z_ntEEn$3#s4Jd>KEAsmMbcLMdS2ki@HbR%JDl;pN^DxDbiTo_| zW?bJ9F*SC|mFs#M@wixWCci&BpQXU@^Q7`;gIhZ$7-T8AYaQ^^rlAH zA1seFYD~yoUnD$}Khi$@Y@tw9pZkr4SJX-}DQJ9$=#6M@6-nax!8`M`sJ96In9GLp zP^JGU(f^;dvBaTL7baV?+nP+hk~2DA?wh0zo>!AIA;|wth zvlY))+(}upLWjgT;&GYk6FI3-wyvF#&A?b{ZI|`Y04BbP3LmoGaN4E_dlGnf!OOk^ ztur?d~Tx8U^dVs9}quHEI*T}mL5a`Pfz<~ zZ%NMAhKSCV*I=1mq7qJg2~>@x;5NGB$lY&(6*aNKtE8bXh^{#@@gE+s!fsT!axxx_ zg{6WQJ{1W&T}qn|i!om5BF9nlXdotXacN8j>DVt9Y^mEtR8XaajvosCHam+cZ0 zs9Vwx-Nz)d=9aO&*3R-Q`yIg;BJp8*O@7pV&y;6sY_B`Jb}J7)rb&CMbh?cA8+XZU zuvR`DBoKIq;b9z{PBNM$Vq;O6+Pu6E)nz{TfIWHpXZD(^1 zFApH#dx54Ol>MaM0N=QlD-~V&N46tKr1pod)525qK|y`RqO_v{lhV!-hT_IUNu zJ7G!hHRmF~?^M{do;hLirgx~$OF0vHn+{)%|1dM40|SGeFxEiM$s9NvU)9hH%b!#@ z0B+KqlZt74^n~V1Ud)u&mm%0LyW{4DHsgXFg%MX$vMY!ycg_Z1MsZA%p}4-@>0KY>Jno{iAWsV{S^ zbokEvJT4~>=;GDS7yaJZVy6K?nVx6Whet@Xb1{zJoFisF=dp^OkiFsfQxIMiom@9Q5=}axt1XQ; z?F_o`iwdb#p-taGdwY$9(!9&YvPIpu zLalljE#30+&n((So{8T`%Bp&-P=5u(*~*4qVKzLCy41-#TQjvk;BOk*#8AnJ!<(}H zB4MRYdWZyU@PAuOL7J2_6?4Ow*vuN>Y_V_&?~12<_2)0NSPQqlB{{mfsJLtLUpz+f z0J%Eg$y8iALWSHVwi;#dt6#?%bmUhfpZ$69=NYuOL__zu^9>?LF)iW?@Qb(}c3H*7 zc?(R+#Q-fDt1$MmW7A!Fm$@i9mW|dyBGqt+cm~z7>C9DUy4KT4XWO~NY~Fjdzb>Z%=+$V4ATd5tII zfvAXnAtNLv(x<8zjEZLAXWaz1m1_yPO(eQN_d8hY36N!WI^Q`8&PNR)?~L`GrP8z# z1%980w+(u@NGR+ZbwoeNZx6q}Cr4 zkywqgcxlMmf%v+sFvduftfgsM(YEJDTJB!oSt8={${{NWfH8o1`rlM-R(SIB{Ic5@ zb?>DE>-^P=u(K0a2V?^q$HP1OaSnE~h1H28sr4R2l%L-Lv-?D}Pm;KuRs=1EL`2W{KP%0QR5n(}l0KmE8%j{_umH>w+D!K-W~yXV#YL5P(-l5$8K*`${) z5V%M3{U1Q7|#r!{j}6%`3Kl z6p9=>q;VyKQFG#$ZMoHEsU0SF5^0k(G;P&S8wE%;ZSTmlO>#^xy}6gEZ?5M0$=tPK+OuxC|A zhXV&*?DwY66&;98X?)!>?sIZc{T22DHp9D!ZT%ARjy7+zq)zG#74HmaBRas)R+$=Z zEni4;lvaMlT)`iMUEWDFj1p*%)v?7z+;_#y1kt-*B6w ztz-?4GA2GsbR zJ+1^MxIuZqii}>T;!da)wz>GYwH(v7ktrNsAN@c70M1}#EG%nbVu0R{{5ys-tHEGyP{(c8m~W6R)@z0G@L~A1 zq|9j%w)#v#G&e7u6JRV2oAk}m-E3A9%a_g_`J`V+D&v9De-m%JSx~^GJ1wAU`Psc+ zf(SOXq;`YenkWsO%rdr&fOb+f@f*km62daeNncqVf$$lNYu0H>GeY!ubup^_RaA9P zPd(a)>WS-j4R-`XLlD~&VGL3 znB~=RU;W;+x{EYr4-2ndCDkekMN$+|dUlw?>e^bA(i=5{o+$|!iD%BC8N-2md zN8DTX?aFsm*|dwf^5@`%Spn_;3?Rvv99_K}bd!`Yks-dHjDb&*E#O?r-hr6ONX-^k z(l_Z$w7TlSErl4U^M2s?=Ld@DI!hX7cJ!Uf@9` z7&xHUJ`3D4OPzm5t-r7-6@XN2mU9l3XP}%Ypt@5U| z)QzqfR|cmufHw_9N&2!-rnC=cX&+W-MoJ6QOABrn(n)q98-fQ6&Giuf4An^zy`_P; zTqJAsgjdW7{nyAs?8&^7CNSCyLvj52awfHp3A87#Y?IPo@^CaE(2m8Ni#?Bq=!YB` z7TSgG7UzHUkzX&%@7B>7Q*HBNNQ20dl;q1gwgh@XVE0o6U1_w6SgZ}DZ7G!Wkqm7m zX&Ekqs&8$-=9qmPS8ZDthCz&p+v9-^zEbAtM};=!7l$4dF?QrC1_#RH6H2b1R*#ST zLAlYWanqF<`E230CuEjB)!R+}w(SnLh18&gCAGKPHqq|t2i`PI$c z6dLSaZxP`Hqv9hio?%l_qQz9Ns)+E#=v-vo*hGyWW@R~CmJ%&cJ(D!)9Y>qtkHp7y zLltj(-#K%Akq$F<5tg_8%7oy|x`*odb@pV_WM(7InQKS)|Iu_E@KE=E{CO&sgk)7# zrRzATL$cRN8E10{XRoaP_s;Wwy}Wv!y9jr`-{<@J zyw|5^-C|mA;QdkC^wNDHyFDL>YFn)Naeqopa*>BPiwKJcNK`MyxfI(*n<2#_Tb)PE z{7~)VzU*T@kCqW8C&i$uQVHkl3kG)nHTpjPUZ^HK$kbVrKColrbWEr_OiT`g3_5z* zOc6m9&e|5f)~>I(q5nR2;yt;1iRI)t-R`48pTz1X4ZoW5%Pi|tggKsk6n41{M}danD#acuc{yrT5YlEB zZBcE3>nbT)Re>rVwz=QNSHWknPi;z=bfWcLEf8am;Q~lJgYrS_`hx=lC1p{kH>W|=W})v~!ynVSJN|I&nXSw`u8wzo-sil&MUT5#+`V=Z z@4Kyd-pP6X{l-8O5k#u6jJFJdZtkx~cHa_1<3xp3(uu*`iWhHp!C3T3F(zAX%RuAU z+(xG_E5+5d{q#}o-Q@xMqi3MyC9#*cshX4PruW>{-$QO)LN0NOBw`zOdo*-q|GJEo zN0s2uaTvnFdfziZR?dAe2D}g4sF-Eec+MLyGHV8J%c$vy6o~8M+EZ}-7oV_-q;c;D zFUA=O@Hpo7pt)Zm8DNMc?6n#g2w-y z-kN_U_U1m@c_%T`SCvg<;PdQBno|8BYk4e}o?wWVB^X(#+c&su^Q86>ttexsQqFb` zqc%IwYxeTuaLRCa-Oj>_-QEWNnhZy`BO+W-PXnJ+uaBWfOw48d9J{@njBGV!`9p(q+0_-Pl)}fHP1v@xKT1Cmzc}0c zpjyw+yrovZ3x5ndAm7n94;*38`>1Ah&|zTBS|x5#k&y25*VdpslJ)0{@qRo6L#9wC z{GjQ5>a5|YCADc+&%Chl@)C63p&7jy)>@sBKj(Z=BP~BcNPP7(&6;jz*3Tf1p@wkb zbwn;H`Po{9pzS(^?`SQIG;V455|SOX>U}FG0;Nwa$bV9Shh0w}L4vN4#35!VB>9(L z&@qDfj0Q7{IqbcqmTMWXX5QKgrV*f$z$S{v^F4%AQShF!#R9!)SUZpYr^uTTt=(cy zx0#UE2^uEXZ8d)-V%J^7IQi;>BuOshi}DuF=O2C26*RySCUNC*=sr6z*d;v5QWwU8<9BH3&a>a?k7Q z-}~|^X$iSCK*lhc88NIoQ~gwCWp*|DfOTIIx18d3P~vurri$6e`g5Xp#P8Dhu*^EbNQEG> ze6rLc`GgL4{FNuPT9o}?jP8}sf=qdwkK|~R*E8K&&OY&IiZmlM1dy7RmwOoy4&7~` zE>7#NPOsKpvj|P(&om3E)CMtovjf^z*sj%CXA5~_E0{B3>iLdyI%@#Qpu!==mby@g z?*j4zZ-sHsrKap}^jX4wW-CEVUoA01bY~nX9|vpG^kng|^KshVGuL>S8+u>fr@|E= zv~|FY*rdI6E1IDSmjq!9E=J5=y_7S3@MS5JR8dZ}aKC4#uBINQ0>P^blfh5YCR_avFA7R$tIofhJ)m z_@(RQkXYkDDV&7R<^KYNz#QjKdA{{%tYJz5D&76Q?jiRj;T(R}&CUALGyj|G^-{r> zFP|2CEGp6*yAZgM3j5DrE~JDvn~VGE@v2z0xrFSEyRMmUKZoQhmFg*pPazA2$ zRu5gs^OdY-__AXu2@I3Qm@hbVmijKHVftyJLW2N*Kf~xB?&A3mOzDeD-fwGt?SbW8 z?^L3!l4&g;cCvej^^}D#k8MgPb}y3rjguPCIDD1XT<=;elAAJ1)6g|MH`ZPEwK=r9 zYyXnm&g=Rh-t+I7>VW+i+C>dT5EV}y2(sT3|j3~|^?E@3!kb?h;e;kjm z=Dp19>1rbhR!~S6XO07lQ8gLYJ&U&Hd}J9^^0Sbd7fB8YWmIv{uYbefjlf*ZH&Bzg zwLlpSN*2@_SWY_LbpwT!Ffx|8d@5J>H^#}x7#g+*Dete?h(Hp5fb|{M$@95yoTP8) z-o1IJ^_f=>f53=9#}C))w?aU8tU=GTpu#xZ@&hqsVjYFyTbrs*8yu>!xtn)xK|>hM zZSO?(A9UZAV8%}-sRN;_rSyhkLAqBKYjqB3r7--HvybJii%tM21L&Ik9BcdsUh?4W zk)g4^ag1df{51&q=}zv+e^z4b`cxEag;}9_q|Iok8ZCO~ECHU%6_-9w`+~#X^sRGz zR8`jYe2wq@yCOC7>+b(xug3D_gZVt6Rbbu6rd(j16UCi(sXcWo?&Wslt9`{uO?-FO zd*c54yJBv72{z&E1Sx*~RkwvYw;ZLQWZHf>wcK4`mWrwqUNkSUjg`fpINgJ;YcB7I z?!%`>0Nir{y!v3M4RExHYJx|;JM>}opU--Hd>YOd&KlYXwkdvD*{GDndk_Lu8aAi z5+0QW-1;mYYIWV+t@M`%;*XKS58nT{MK}0)Q7eU-Odgyz*-+31Vbue00p zN&kXjaZ`S-Uut|XwQfYUb<<^;voy>sJLb_5tUPAA3@VOV%1)>{aX9f**`B}d@u%Lp z$nknkRLxPJdZEzzYf<8lbj;8HHHx)ZYu%CYd~?O%;+rw7{!F=-rd7}7uHexp))^k5 z?TPj7iuSBEs*Y^hoK!u2>ujCH$>IouQy0U*RiN$P@QGt@MzxjwME65m5$!D53Hi8sthNJtqpa!!v_?zj|{L=WbbF`NbLx5Y${z2^=oo~V zPK3Juva;6w5;RvjR}mkxtFMc7WBQ-clT^BY@UxuO-8+@}6-2p4Jr?%=5W4;w zV`-4N+ZphamBNa81H3sjq_6Q&K}yOkb$;n9LvkC+@6cKbc%2jerW_)CSmi4XO~fzu zmZJv3-*7E5qlik{Iu!)4+u~C9p5*Wc-km(U2bDgIYwA_|;yA$tl@=YOg@kfA8vM*% zj94qmaik%|v|?YXIO@(^wI6gjNR(Km;y4gHBVGAqx@Go)=rDbr0=|9Izc5E+I?IFm z#wAuV^M1+wqMhEA=|U!L&)F&c!W$WXi}DNg+gPSfS7UtT<*X|a8>s|X8gO=FTp&gl z;gOhqWEDT_W%{Rz$&0ktZ>9Cv#>ybZU^(mE>B}>D7Zrkaz$zci#$f%=`G}Y9C$xf! z$K1?_U?CoLYI{&sEeZ?x6-w;EG>ZLC1A1U^oE3O)PVN#g=K!O+N817>XRT|S=-bS`UC zKKgz$nBu`@8Pu%D680ODL=&OYXN^>9o+i5aBIqVErJOVvt;NM@2W5e%>gb7{$;5u~ z`g>o_*TLDL@ASuB(sF0TK=m-?*oB+{RUL%u6^ULZ&qqf#G71S(2Hy{52XJLxwkoA!pnNh!k&%@UP#thtA>C zDea;B0T*qAC$LibmX%K*5Egv)@kne(-XY1! zsH%+3;wD1{e8RRG2Ex5vlEc|OnG5t|^P)e#9E|ENSr2-3ca7NIipzYd!nyIxi6)Q7 zKv~6QtORSjbk&|u-ZARc+R*4gT0&pgr2n$*Qs#%guv5Ne+gloaJz?3f%ACHRs$)Fl zzo})c4HmLdAsc@o&-2;sp)9C2M6khCR8A*-v3F1g++5k{SgLm26iTBm22PA~z4=e2 zHOp3hw%xYJ+X4VT1^%%XmQI~(3K5PLO_sewRN-(C8F5&upIB08z^=&u<|W35$0swAuFY&z7rjv>@O56>9*#n; zzu=WQr!0wBVfj;fERjTeZ(Kx2v^&Tzrje ztApHiOMie;x@1R*G10lF>;1e{6aF%(fb~sPLn7!xIDwxm&iY#_4Hwio(kuNOf1cb3 zzBSacaWn(o2=I=!4q-BM%f5UIDEFs0%61TsU~mZ9%C0!`0)sAA85I6}cY&4HKez6VyBfE)kj{!&TcCMx3<7z^_MCyD;)n@1 zabKk~(lRMJF7j88sdul0n;!P7tE^SOLWN4la~UZi3l@3n@psoi*Qp|JH7f+`ICQwwi+wjaZD$fHJ?KpcYe>wI;)kD}#ZRbFFkl~i1aG=$+w z`Buw%@>z@32u@A%C#|KyEjNx>^SjaETpXsj0#prrnnev8`f9)bm{xYTO%(-id=dHN zmU>5k@lS`ej>`&v=Oj&(`Mh0}?_Dtdg{}<1s#~8G_WH`6ms6i>c0l}4i5S4*qal1q z{$3Z@@3GgS?k`7F&NC{%Hz-&;EVx{1Ya*jHc7}bdBQ`RSOqzT$h0D!nU{8G}#-p3L zSlz?hx4q8Td=c$w{QhDu9uWsHcx9VcGxV^{Yvz3&d*2FlW07jHz_!fQw?_seSQk}Y z#fDB?WU7T~R!v}2T(WK`#(JuYLsl=BP|p56Y;B$Dy;yIs42zyL7#bhy_6N6ie%nCF$Sn_c^;knlu29YXYe1>`n)K9r^27B~sT9pJs`f-U(7Ao7 z(A4?PqoQ(uvk)a?+FXuuUTmltb{{QQgYN&L5>}zaKI>qy_1iQ01?BfvvG=JRLroD@S;*X zJ{jxpRCtUQ1G~jkrJ!r1Eo5_0*865_YH~(+QwNTI!NG3lLq5Z&(1dt^l(ELIb+mhR zN3-#-B@mxHNTLFgIx+>;I&Fg$*SM@LXMWBDYM{@;NILtITXattThgq#tGf2IDROx6 z_M3`dX;UH&%6Mphnku(B+IOjOTD3(=j1p7EZ704nV{1@w4J`MNZqB)MhR9dsAllb# zYEN#99Iw!FMEO8WC`;cEF(qX=;7UX=`XIY@$@Aewn=&i_++LEujKJg_C`L#8$nH3P zvC+Yu8H3RUa&2(uaN4e$?+?Cgv;BR?l@0~(l_gYHn3a(*2lpSXfYkrrPhKky7PI%y zB(QQ+ND#}Ss}uaAzui8sfJq25B!Xfv`sh$g0Wp88`bhH* zpR|`^XO`}w@}cor7VLP!k4oaMsrA`p!LIGZjCySewD?i*ZGgmx+XsQwDJ#(|)d!vS z@2HTYcPszB=Hp=jmpID`=E%qgZ6S5IB5q7)`SO=HmD_D(y_fdw-QQ-}uGUgpPf(;BMXwQ`JR?)lO#o~gsGy;=G^T$a(Wz1Og9y=sf2nOf zLmnz53WGAuCj+#^j8KhAqQze(HqLF_u&ZF_uM5)2({tr$(iZ=Efn&pZQ8od)x%1`1 z_e4jRUehJ(a9%98#riHhPAH$~%9;nlD_!{1T_{I^G3aFU7eizQoY?*)!=kjo(9U>M zJGKHkO`zo_^*fs+o;TioDY6SHum$ruua?vtFSgHetTh8NgG7VQQlMBa`6>PPzg3JT zKA&|e+LL4+RvzHw0~JUfOy zEPTb!vLDztl$HBG`lmV8C|x4Feo!G(nrzigvaE0MZBHDx@rx|WCLUGmxv?nnJnvS2 z_0I*P8>h`QqV}Df+7({}V7?3G>}N3E(fjRdg4i45G;DTijT@;}NHPl$DU0;y0Sjnsy@*^erPRCI(9wk-!P)^M9svv_xo||_vRrv zmz0&z^(|?{?5!bS4zP+FV;xQ0k8=x}_F4%+(+)~xee@~!`O1lku?8_-r=-O-$`SV2 z`rSVevou$U+Ed9as20WUK_}}fiKOx1zp3KU(3Hj7yVp{v?+bn?cYWi}wr(mCqq!{^ zh8I4JB(ffZ|9nHsk0-JM)j>*E75_s^hf@>~P)3f+#oYSwU=Wx6VD0ZcI*&_q_Agf? zfT#haS5BVQV%=3=V=&zO3x{$3KNiVyP2?(G$P(!G{MLk$MV{XUe1BLf!4!-C5T7Me z_QHM3@kl?fSg$h&nbDlVS6q2a+)qA)qj!uK3eijY_L6liHI@eLZIJ;+{`~hH4E*_X z2kQ1%6_~=1c88rX$ay`mG#-oY(Dx9^pr}zmTRd-$vF5*Ds@&obuA<;8#Jm*->x~F< zBW_~1!?f)@Sg)R^h{-LY44V19@itg(%cD_+mi(ooCxG}s+cirYC)I|TI4b$!P~EML zF^Qb6HaFTuLQRG$)8n_L7BRW%0_gW>2e(%p~3eZdn=ValNjXEhaS=62UId3}YiViNv3!rmf)jI8rg`OCO@YJfimR=1?MU~DnW#CWJC2k}YiHBbPC?Vs4c?GQU|r$!HcmDTeIi9!y7 zAWCBUl~{8C)OezrWoVcyxLqZh?S#4z12OWwGvjXzilx5lMuQGo6$7y>=%%SX&D`S> zdrySCbx*`3jlb2TCugZDeV9xYjucU}NQma5ci&n)Sm!Hf6c0yM>sQi4@#v)`K)xe(d zFIIEkvBzIxR^4I`+1yk?Jel++72Z=UYu@?g#V7qVMHh7PDpYfv|&uTNxO2 zz5k$^g&-AaY;8G+0JGdb4ehJ7;svZsr_n?N$%%}h%B+UC7-~U8IVWhmLeKGfoac~3 z&-0p?<6)3mEEIZ;Oy!z8PTqm>tW>`HI{DdeQ&GrlxTT{f8+bz@LJ)b4nT@MwS}ow+ z1u#q?2r#+@EwKEiK~%Oq`Uuz@PaMZ2;1hIfE*Tonhot^yXMGiCU7gOmEI)1_?P<$< z53%+zWNPoGH2&dTSJd05xFgp{ONmyl@?#3z)Ew(x7bGir5aBUPOs%x!c|;4r{wFFu z2aO4Ty89&dP5nbmmQzXh33U*I8D0+LonT=`1T0PrD_QNMQamjctzGcB_JrD(3qRHc zvjNM_vp4}HUurK!Ego#nS=O%|pC@L}O#e7}`)Hwgr!N(co%cwvD9?#Mo~hgR`u{)D zJV?ZKC1}7trEr&Vne_Z1NHDP&B^4PyTRIiP~nY#3@;hf0nCYeppu^OwS#XU`@ z4EQDC{Ua4IwPTG8kBmGb+ATNAeB)(RK=YUF)9gj;(Dqy?_zbioSD{o`tfh2+`ht8;?2gQX2ll=oBGx)W__KjiWCyQPWDX~ z+PLqSA5kZMRqN7taN4exwhdHH_{y4){CQP#T2T3vhK!2P8s@h)p#6!ID;1kA0K5>0 zdOVsChEI=o`a@Ap8Mhgq7MEcDcv0UelZQw?ZnpD$^AdokQ$P9lAAg-)-Wd5kW_4(2 zH&gee87^ou7NieOYT&g%shgI(XsPH$6V;t32>-OC*L*PZ)lfUL;Jn?@4<53+y{I?2 zuydb#?)X}JS+3yUx0G=u6XDh6?xLAKmpFAu##z~MGf4s}+qDAbg&z3A&J8<;B=EI~ z8*N|S7SJTrT>(GDO`EBWj?dwgzZ%cU)7d;_Y}hw_!3HapmIFGSM|FRs4sS6fsE=W- zXUbF2pCu~`jq3y#?Dka0Od>b5rX?%4#Kw)5TBWsqcnM=!&pg0BD{Fp|!d-N5tIo?yaB}g`b&rsPoxCUc!f0y z{CpuuP#B4Sxor_}kk1}`ag-ZdP_|5|pP`7B2=;P?|NZNnd`T5QfrYTmk83&g8#C$l zb$dCq(VNkc zHOnsu7#!Nw3R2yXmTNH`JencV{`XIuR8ejX98J>zw&2z|usVzwQJ%(mcw8cE;;3`Y z>2~XHfIXg=Elp3Kyn;7GqlgEc^lv^r_@#Z%*!@QtF*js}V!5BcPh-8c#y*k_7 zU`4MLcM(L$iQ~gI?9xbPkUgR2X&cSo=Np_W5%dB&wK9&Ci0K11_0?Z@ zz8RPkbRiK-RogCfFm`)sv#maZvu*UX9*?ek>Qr#}#&^sZv%qc__`=gxk;HD9aR%uc zH-OiBC1MVnF~VHT%}SkV!d!U|i&GW%IrgNum5QDgKK?QHr!!bM*?~LBm0PY)(EH?V zto3?UTMv#}RhEui(lbuffT&2IgIuy0`oC*jwfXL4;70p|75f%67U09LKfdFJKl{&?^*Nu)*(Ez|Cu z_4{@G0X=mc^5Yvf0Fk+XtgqY9oz%Ai{~H)Tam{cS=_b9ms^G+??d1DYs!I$hm6qKS z3-`g89|pHOrQ{=sxgjiw|E$<7_~_QfE)TlYfl)EBwtI+Ki}$8`wx&2b#sg*SvcTcf zD4nXlIpe@7vrFV8{}-79`dAphJ+{j<-QK^Ch-F7gfZH<`R*9Pv^c6B3nkj8XQ#qg2 z40CzQo@>?Y`(0vlVdKR`vV0zKCYYq}%hZvc@( zV3^a-taNb|nR1-ePAP5{{4D?0m)*Mf5ih#D(#m{mkLTjYZ8LS44}o*u?&)oE@-*{F z7ds!XCdA@<<67nRdLVBj~m;pfBHdOZ^#6IHDKLdt(fK5*tkLTPSh5YRadlh+=|9= zNdpfAW7H%oVvdJ1H9(}UlFe>V7Z|n=J_df|Wc^IfDh@-LTrV;>= zsuuU;qAIfQG|jPc4a&&RehCzEvFRyw!)g$Qp&p;3i^y#)HpaR9c4r<-h00M1x`_{Sgc_;3~=WRA!o5jn7xDdA9bze>- z40oLFYop_o0ftj_{gn*<8(~hvxkS=u1w=rdTl~BHiH0?}HJUe6??llpG|$T79|cA(hV3|ndo{IQQpLv@xjgP@lD~(ng%FilAJEPtDwVyUv@5Jud`L-<}rRa)aT ztVS`GOLC8lCR>y_!GosQGHjXoX56NKiJ+$ukdm_)aQJ+9K(-qqYxYRXlBucAUq6 zLb8he!A|%i%9(3q_o$Fh1RfSVsnBgH{b{)(Ea#X32RFoD2a1Rt;o_Upr!)ktv0BAZ zJo#|i4XVRozYUC&J3MkVLMmq~<%+V_xQjka*4&92Kw4Aesp?-ug>LlxGnm5-vY z2>9%_hrwnV`j+_3*(qnU(^7pf7Ns*9lBkd6A~!}hZrlk}^}2C2se0xfs|Ir)ZvyE3IE_ICHqU~!hcy*!)`11glkyFkswbm(-a(OCY#1ZEum6n{ty`$H=~;c zukeS`_ngFf2vg(RGqXs}Qh0vDo~114fVgh}h;`*HS+e`Of<^$iXM})fL7_d3I(xj) zcl$n3ixCdmllgpiMZ@?m6+ZkJA6hnMoeYf5`0gJp_NLWK*S++~GdBN3BN)BJ7}w>{ zyMA`eQ|LJ75wAS>Vus^CnJ*CdHr2ohq(x4H%eIOL6>=#{bfyFQWwwB1dxHO9+YRa% zqEa8Q}OJTqg4`OV(x>i-H?9r@m=X+kIe+d)zC^Yes8=; ztR1MDQ1Gp95=lV4eMMS4p>6?NK;7@uZ)>8m-I96jv2>mK-rSfln`oeoVLskzY=zu~ z>K%D!t7 zQOEE0F20%-(*+GhmbeUEf%rSuLXN+@eJvVC{V`xLwB9pEnh?VT{<1c z=6O(|zRi5FM5cREm=Dvp)Btt{fboMUp-Q7)x^=lXjz**N^A`3iC&gEtt<9sL__xw@ za63AxFx97aU8U4^P|Z3D&o0qctD2{{ha+5Nmkt`acZ+=Q8TcDZ{Ac{uv5qcSdLP1M zDo^;8#u`4$*dGSX3c(&9b?VnTFA%R~LnUh&d6k*;HP0t(4ZTje-xKg5x!+)*1*-KF zMaGRl@s&1KROOH9I=LH9E@EFK3T4wUQvN-S60H%UU{2~MBy-r<{8F1L{^T9CQFHy}B7pZO9(`p%4{{`AXu+bz{o4{aGRR{59~Dh;+$W(-kkS=^a0l_vdqX`0JKm z4XLC<@W!Z$>}x-47dgg@`BJ)X;b)C^X(Lg$rJUaS>9SKW92q~ z_8gg*VM}y>pq;|QRt1>O1X2RzQncB+F$u<=kg>O*%DwhFhGlkn;f;oJ0c%+H%)3xdY;(Q;aabAqubV=-T1&HM{7puDlzZ zHyvg#S2wBM85PO5^Sl~&d+Wee=4P~l=7U(gX1JF>R>_7m3e(5)mSU^V*Kym$SNi)X zUtsA6(UZyfpz5+x3FaN%gGu8&X*~MP#{S0X`_;By=iY$%@~7@?^CUpEWVYH`?T3cg z=VgpsG5{nVUXhehW&A^u=a*4Bkw%-{HR}2x)D!eg)2+{lue+7yD_mt9u`@Gxo@!{y zQoYcS*Gr@&OwM=R0Io@SjSd8?SdgjgAJr^x0E;PL!t9KT zW2=Sw8C5|ng>~fkDQe3vK=-bD^6?guX!gZ&vd1Ya}`Wa{)%V4Y!ED&*^;G`7S7$(KVrdpd1kWM%Vb&)lab#ssZD$q zP=J^|c@LIwHjs-zr~zd~52XwEmoR#6RN>G`9{ZdT3a)qbnC4Ia+{eX>}kC?wBR zx$YyMlA|Xc0QbN|Wo}J8qn)&DRdXURPZN?=8mXx?iP_)s#!++pdd8p+1?5vFMyPyH zy81hiyXatV`iLxx^+Eff`&+j1PJmn+8d9D$=&JL!R#DSIJ;u*IO4dq4?*21|30Gd@ zbuNJCTJx=(V!3eJolWrQcV&U&^9t(b$`^g`La&CW*1D|3am5KC)vJFriKTwM%o(Uw z20HlBi4`)MPRpbsPmRt4HcNl~U#ZWxIWnOcH)DVAEnHT$7B9bnss4LDm*CZzcwJ`g zH+b?5F`U!I!qD80M++&>LYSm_@5FkpQU64sVZf_A(C0&Jm+pUWMpy~IKdIg12|U>I z+Cki{yC`e11Y+k&j&6U0K}k8YF`^FgmK2O#K(gSzDp&>z>gj)yv-9sHR^K=xS8crQ zeY~dCn&;V5k!?prNA0soPC|8B%#RYj>LUToc5#6rOW#UgQBpSLe~mv4fy9>yBp?8I zQvkGkjPQoUqbvu>J4AOiar61-e*;eS-T`9~6b z(a`yCjGKcmNY3SD^r9nWGBFR&8DCK+gxhOSV2eBUW_X>8)z`@yi$Y^OExo}(@> zA1jprV2!4sbtlPZ|FaB!-ydH4+q6imXegS{=sm)si3b;R5K9gBt}pBSqchzpIA^{2 z#{gsQb@2kQ#sYr_lJBqEcBNhG2fcUG%zGu%fZ-`Oc5Et1@v=Z&wqXPQkNN~W1l(WW z$;prFV60RES0?(BaK5@>Hz&VwijHr_C@n_g0k~ofs)&1rw3$lJ56cih!5A`8ccJh?n zyOWu)Y`#)I$;#~dLn1hi$CyY@WcI4Yx6pPECC=$T!X`v|^baPKSKrxgxoUuF55fX5 zLn~s-ymUjSDC^b8B7)m@zE|axyU!h!bs!f-Bn_U# zwJ)OM2Xj)W?%%M$@PC~|CUf%~^T!r|nvS4$FBECht9Q>g;W1wsU!1diIZ1S@P(_q z`F?k)h4%q6aJ%TGw_`IfNyIl1?f+!db5uEt0`?Y(D$A54X5ZuuX1cG(Sg6#4V^w+7 zo>gNnU6@j&M(hpE(k|J6<|#(H6ZZ4ImjSxbiniax4%^)>z&qP5mT46#FL2j&I|44C z2lv?j#Y$9Gq_7#sz~jJnsey!uWqO8EFIo)xd|sqq{XY0n6zT; zU+8vsOSLjoOIH^@_l6L#zzg?MDw!DPV%U|4CMN+?J7D=qrQ!g_aB|uGwF@xx$brz8 zT3i%>34hA@iYhtL4S~77j=Pp8I=_`&pPXNzotthew&9#%=Kp$ZECib)*WCoq0F!)N z%(7exw()2gsMw1o3^!j9z2%&XJt}XESvLCS#G0BvcNfr{Mpi)hvK0H*&vtT~1K!`m z0VYzp9kNpT;qP2)t86o)srVQlfK8u5K1M}-Y0H0g}#@fq*X8FYWufMcJo_I<%$$4b_relwghI3wfIg4q|Z9;coMuq>)CpT-3~Z<+$Gyy*!OsA2t1U zV#;+fhoFK0?~H6)w*R{OczRfzE5?4Ag&-e+&70pV0o$69v4|&xw-7)DJ5(iC=lo4e zkl+~roa7+R9!$&|X6S{sZ}ExDh+l5;JUUulDB_fN++*9|3nywJ%OHQGLb3;KnQSb< z9~>}5&qC4so=BWdWlAO9_jkmfQYq`8ZHm`9Z4(Krj318Vf9qa~hRf&&m~OvbZ~Z(Y zBHsgqS`AYZ>t3sF50Iw<^Pu8jbhwDm!4OaVcVOuU{gZ0?Sr;uA z(LgdIIJJ_LTUF)c6VOuMbcTI#*(9GLBB@>DvqO>j|9*|phL};ET6{?P;p^l<61(PQ>AO1 zvbE6OxVR=74ThHz0NNjKkk^;2>kS$eYZKB)w8Rd~Z*i~beLxg(cWqpawf=~`x}qWP z60-1zUv7y>BSW;s>d)=^hjhOFw_QRaP4`~Y^F3^w5oS#Ojx1ep^E*FgJ^#EYd2u4F z(>QkhR1YP*@R0VxbhlPjqb0Ib*^I)|5%S;+A>sdh~vevmYQuiMX9kylYYGX=9 zz<|G&un2CYE`3B-BoG$9^^Knd6UVifw_{#e-=;oLYAZNy9@Z8xN3aV3!YhP{Q+lB} zQq@{l4{UNJt1h)_CN(&54Tg(An8$b7?bXs5G*23`dQ$tW#_Wb8!ioAmmsp#qS&=*k zR;`m~E1$!Oc8}D{9z+n9v|U~6u}x{Ze|<|c#Q&)QbF{x#d=%aKfd{(rUeI_Vvq*^J zZ`>eSU_TBVhB=~bb}}PoY(x6Sy%mZcqQLPEqAnJMt@`*DGTj!vXd(#4Z__Sq%^)w2 z0JoZtLNMTclygL7H_eF9oUFJVUx;Hr`t;o}Z{xnkQ%*a8o&lCisC&1+G{#Lu$;Ncf zXJ~8$<;y4etq>t-aRa0f0JZvuf*`Uc@EJ;9X|;#x4IR1joDkUI`?7O2+{F-b+glFM zJc3AF8R5l~z_F>M%lN4O6+QmRc5Z%H{zLWS1^>an-rjD8^SvaNFMTXhTGK&w3+)CK zar;Ui)+@jr#SRt5c#|oNPcqh=ve|zFGmS5(spM7p=z1Ro*r++axz9ly{P6iA0H5t$ z)r6sXk3hTR?f!22^vmjujJVu}?!Gapl4oDwvh7`g3x!)F*Gjd4L-SkR-I-?FPl)1; zkSp@Br;g5rKtcM@vX26DM;}$_nxU88H0=Jnv8&3r{%%c7?5!NI6$PbTTej|ssbl8O zsK-4m+3*Di$_sF2dFK#odT#!B$!~YAZBwXgkl*--`#iNsDFmTHw&7FQre>UfP+88* z=C)BGb#*UmSgI=gN+&EU%&&2=7;ql7rv%RRNZpOond%)X)EPBdcsQ8Az%Sgw>!W6k zTfD+6fS-K8M`)kE9*xg1<2*IqOV#F*NTb3Qjeh=bb&I$gDgP_~27c{>&xBvBm6-}} zoOyKaO`~IsqfZ<+leh_?Lv6k?IAh`B+m03IY5LCP@BhffA2)U)`k#|CsXB9No49G= z7{7E6m=80Kk27|H##8$Qyf^mzKUK*<(0#S0+=b07BrlJhd{pg(*4Tf{H;gxX#9YdY=WFCbCY`BbU(YaTlg1J$(r9_qJOe;W2Ip;hbWgo1*qv1$ECKDTLhfm!{@8xr&g|- zaOix}7*y7wF!`oR(3}WO#Bjl5C=Eh9>&n-@@TSeAd`}&7#xJskg_YxG#)jHk-PcVs z7~u;Aw&uksuXK=gS7Y#0#YOmYDHl6F#eh)V!m6#v8mS@P-;DvJKrDSLtAi?0jJb6w`eDwql#soQd+a&EVUy;hPT7 z`*_R#;5Mj9CM92teO+bi$!3oOi(w*IV=Yn^Z0~)XWeM3aD)aKj!YcHRraaw5qu66X z3@eiFo7 zcjx$Vrhd@{z_)`^#_nA@9gVJ63U_i^P^^0=_`L65GFnZ8_k62D@%1`Ctmsdj#@F4y z7UEZ#Bx29Bw{NJWdtf%uG*<~qW5A7o)LkXuk|YVae-Y?;6FdINKZLXLUwDm<1WYYJ zt;i+W_4Qr~cVB?_e|KwM^%INfwhHK?vu3)J1IX$tt*u_-Gf2{?jgc@JJega53t|31 z;diB5Ac(0MH{07D#folX7x{-xZs$x~T-j7s^z50ar_95*bQ$pf;{!kk6F6|13a#-l zp%Ts^ab;zhgEspIY{8!I>{LNQ)#(Sv10NiCISN!2D2TxK6K)`T*0YXD_B+y+&-$a*9`N_tu#bXSMht&G1c1nyWCe)O3 z6jF?c0XiYDxdXgrR|yXS2L?ZpX2L7;m%!3YTlT*^YVoVJt|RY(2q{rlS*PLYul{e zjwCOKF?eh?_a8ar;7-O!*I2PcZEkM@o?8GOUb*sA)>fN@JS|^({eFlRwcS zl*ZXqM^c)$bej}JK9mT1-%vwEGJAu`DM*=j%E)GPIzqrDR|KQv^t|7w8M9+sYN4+Lfw>;Lk8Uf+o6iU7k=3{GQ#O|ncEs;jQG8JC7xu$>uBz__bihV6eu-+gy zfTZ;q(@qFJQ6sm6SStDBjEAKv0ZR!-yBCaAkL=;4j&Wtm-8=VRy=Sk_T)b+h7Q12O z`CN*zU*p$ghxYOUgmAAwZ)^5DOy{GNMAIy_EBkvqvuh5sCiALTD>m9aO6JNxfIKov zdTFY?EHV!rIhxbw``JysH>2<*I$*;qCqyB+8C`wlAXI`-4EDP9K8EV@%tvy85HozH z3xgxk{!l3Aai^A;q&>BbRy}6|N#KaeIo(w(0D~+vC&JIO>{MCI=wPdmV$VKEg987Z zT02>*vxFlruDKn%9@ET7+mI_ zU3t489JW!7FcLy%{Y)8sf&a>pMCM}zUNx4|Fj}L0{$;_ycuUyAP98+1>1JXL9L_=}&zxTU>3lLDt z&p;YLh&`z|naJEd6y);H`y56D#ImbKx=d%gAybcO(WYeb-M{J&e)GKO7AC9xN}BWo ze}xID_^90}4@|7HV#!v~qz9Hjh?1c}^JAfm_akdjT;^e`#_}$IAwOPkoT~x2In?7u z2bPeXz8j1Cwo`MZr~_m4^Q;Fw<6(-r|39X_0<7up zi(5qjK|mBxNfnV2Q0Yb#P*O#@L~`Wl1}PB`kd~GhHAarnEg%g;x;sX9^WMSl|Gw|@ zeDT??8*KM`?>(RMIiGV5C{3;mpwU>EUwyu=6%V6=#Ak^MaSssF$s80s4h&#?`S}u- z!hBfK@DX3ZslQAeZrN0p@9-9$;GNu8*!=aak^w;yR%d-<{Hqv5*OrfyvD0h1kL@<7 zH3P&-X$eKZ2i_i8{|I6Gwk2usk9jGR2*zAv@cLZ#Kn_xGDUAu+h+}l}b0}%|-#$t( zq7X{g|K@i-U+|17$l^FLkP2p=@K6XP&zD8eCYiu`#`iB>^J3_IA?I?>300(517{I0 zivy&m{z^;%(xLNx)E7Y+v^~*T-ay#fX!P;X>#B~E&Z&}o=v*dPgo<=s}v7)`F;~>2@ zS@0A6Uzt#4pL$DH@nmdFZ|dLN+Gu47DDjCXx;@G(aLk_DQS}A$(#f*dXDtJ>OgXSTc5Xt|u+2ie#k5o*5&*L<)Ho_(q2qDW-ksQ<00XX!MlTF4_2} z?`!VA8XAswNQp_-=T4$nfZ1}UH9S5H*_(@HN?W=dek3cqcEYme+ggs`!Kr#}P zBu@q8m}5XE#VB(OSr=7dBSGZ! zf+6Sai(pQS7f*O5GJh#iC<)u!6?8Vq4f_DG*br1nyX2}2T4e)Ae~!Sn25pc?{mV4?@!MowqX zMYS{$Z!H8sTiZU*Q4^Pv9~~a_;y_hdNvi8vdd{2^M5H1+Jtw9Bit>1kY^X+^X#9zo z{Gk!0A-jo1db~0u(oos5&7b~l=2jqm0pzb0**?`F-B;KmzY)y*<;IB1Ek{=2DJOkO zjl2r+k@44{){Ba9n-PA<9H$ScN8S8PYt5KK#!&D5Lq{Imsnklr#F?wG{V~4^$g5r# za)+4Bkp*j6!35Aj4M=87vkn{yed?@2q6?=R#ISWUJ7`Ht<~j(@r+Z|RrLdwXU~l+E zqY9^AueVmr=QXW>5T7`(unTh?)V=N-_l+*9d?!a7{BSEHzL|7}N%PVW5EL?`ZV`ul zo>j>iyoFsqerRN)^LW22FJi!3out~*^ZDrhPX)GuZ0!Der1+kJNmn{hbYlJgRz5*C zHxq}8c^_2)ZA~dNw#}vH?GF&`f|_0%Jeg}Pg{Ko+59&5NwTmZn2LR0=;)&R^@=%lY z*FH3fV(tKCf5FPI;W+-ae-LlVQ_K;T!H?$}p&fI4;Jk?0=#hK(PaXkks4k-*9yhZ#|e%7lDr)y3Xh>cp}7fo4|?GGg= zK?wiU<8+`L=B2mg6J09(hEo1tBv2jFPAjdq_x>VoH)fAFCqkME zW@qSbdP0R++x-dt0u0^REsa*^h2rU#!&=DrE&yuamw*^txXynYZv=u2FmV zX%y5;r@*iI6;>V3Yks~nCsbz+l;mp%ap&W0>BcIcW+5n_7VSjYF;V!$!<1IY zo@n6aT0tLifoFMWG7&eY0*j|9X6N=|rf(ZVUGsnf`J{TuokFp@G&7-|=Clj@+QXEn!MLbu*kU zjZwBmZP5b?AAW3lr{gr3CX)+R;G;;%`VyvFwlNqz&eg`?Fut$<**~@rQdN?^OQE6p zXVpU2P0aO^_(-2MmbNmtda-WI!dAVx%4=pdHO6ZdD6tNmNs2R5J4zC=m=Wp!UXnKo z>J&wRQxT*>btnE)Z8Hj|tiUMI+{5fs;EaspdB`*1TX=#^_{e0dzYZ1UFi>-fV3Q#) zG02+4H*4X)77d%Cn(#1ZeFlob+zg(Ia5EUz`t{@sTmC6VUF0r(yz!$7gfpx5ml7oy zDSZUqi~5RX6MK!I#PKEzxHP>a6G_C%1TUw<12{;#Vt=&x!MzJFW}KkQJ@xe(0qgyZ zM|t*wLCX1NpRl5%r?$QKoQg-xBZP{BYSS;p!1~{(9-L>EfF{Erai&VAyrfW8Hs4Hg z-t0MiDTBhAU~^%2o-73k!PbdNGO{7q zF7+J#jAIll`vOX@ggfrCkN)d0g(u#urA=VwJ5&5N9Mp6+ZtM`IEdEUT8hKKABD2$S z+Kq&q*2_4KuQ|v-uU}Ju;)D32wt@JGUJR%s@P1U8Pbb8|jKF{4&C07KLW`dF(7o@CBU72de6*KsXj-Zp?&ei_&O+&jT62jkJ!vg5jF>_PC z^wh1_eCd%Fb*DlWs~MjbYhBe8BQPS-Q&D^3xUXdEeQ+1Ae^P+FP_dc6E=AF*OG zJ-wsTKu6mZVNNc@SF(#rmW!VeHLXGopnzZ3-RqdWqdzG|w$1GwJRz%RPS;AkT;IHB zG|#6w-rsQcE!o|toKNhm@2k`Xc|)7h1U;E#69UTAYfGTQ?M~uyEk)LNdXudJHc|BT z)6> zd!cH5q-gtPEAD;l_PZl%EvUg(98Y?Pf==#sQkhE}a|hKx@G9Au@=}}KW(oL5!h`lv zD)P5ATmLXFhpj#WF^%sN&@k2jQg+7OKaNI3Wf9)8%xmYpETT-H8FNHkrH*<{8n#mFzcT#qq2Vzo5V8M$W8 zO=HBeJ@{e9Ap|A+y~a*jE|3`%E-%Syh@LF0%EXrCZ{C285wea5)K#1>w<y`Z*L24GCg)K{}I-CT3kY~{x-nA$uV}nhAuoG`W zZ#qqElW}RE<0-s~M3EY{_dIx)Oh*Sy77_>VZHzr>Tyb z6~ooBy~Wn3n^vX{vUz5p84S(Ti)6aNKR8v!Yu(Z)-S^m1;`pl}EjrlRSr5a**amy` zU9@Un%+XG5KMq0P7PvzTD%5GX47@u4O8QHk$XO*RN3%hjENJC6R8MClQCmdsFc@&M zlA;L5GgbAn3AAawDPr0}8Rht4>)+3tAG(b^&02?0--&YSG3T-w)IfU)xW0_W$HB}- ze`9iV{Xs=Dz=*V#aUpd5O&Ga3us2Lk+UiG^ma-=5CP(`(f^_Y_25D&f_D9%G=+7?F zjoQ)TSY4*xa)&P@#iEb7@!8nj%8jO{fr;89Iw;lO-}|-YWboXyTUFKFZ|f{7Xm_TZ zFa9BdoZBT|Xii~l6@y%WK&!q?k0@G6Cp1%u5`LmG+7!_a&wpbLPs*^ZYj7|uH#dB` zZ~PZNI`^lGdxpJvjB%Qs@qYh{vAOtoE;q@iwOa994k+@_$=l*qHeKu`Hl~XtG);Hl z@3F;@pZ1o|@~)EQ_U4&A2Wj*Bw?J}Id2cUn?^^9dFL)2wet;vF{;552FtwNx`T9?w zz_w(vOG`(kQy!Ld$hSTC0N;_EnjCT37P$!UtCrVBUpH$Xb08d3ggf!|%ffHowm*rN z!mPB;7y69x@YDE9deX_ zy!OH*en(sEq4kXtQ2RY%I(oH^;E(ZefkdWQd~f!k<%Gzo$J}iq`RcizuDqj~YBo;o zYyl;x+OdeLd&pCrC#9-uM`xki#~7pK`-rN6IQlvJyjvs<29EdH8}yE2Md+)k4m{j6 zDoO4US$@Pw$t6>=OLJ;Q*f)Z@si%g$=LYh`v>$g*3gKs#tEQz)=2xH})F)m`C!SBY zo?}K{e(A|LrhB2E#nOStE?q%a%7kd&Bg7yiCnzktcW@`j(k%&_T(&rak58!ke=-Fz zM4$(sGZ8uLi)t78zkv0snLS5fciuUjx#cxf-@nrkx+f6@cLD_7e*E^>hVPv;rG%yT zRK%m#=>cR`VdFpBokFL4ek8wtWBpesjo0Rze85ZnOs3Z-dq=LKrU_bIW5*)<+aBk+ zZFUHe0p~TBG1I)_yM-)aJGD!X$2;%WWn!f94CW{(*BiHWP-?)Wr z!kB3ytOMYp>zR!;cuM zTH?~|DUO$Pt7Pk1HyNc6nE>2QuH}osBny(P_k(dwYe0KV33#OB3vAg(tDfM?2SGS49 zyColy+ws!d4!lLUyn|*})ZIQocRwWCJ=7>4lkPlzC$k@;uFl36i5oBdR-LRzcxlSw zri%K_>aq~wKe-|cg0jdU(QcEq`qwsHK)aGF!Z<@)0!FwTHr_)%Y@-=uYO|2soz4P@ zW{S!iv&67G5x=UYp}!2QV;_IeN58>Yefp~WY?UVjFF|Hq*VkNnU00$)wm>qXM^ex- zd7e0)9#6AFYp>CEw%=i*F?4%BAB__r{A?xFYNm_4U$qI>J=WzPYOo<7QJ^`+YHLiV zkq|j87#ZAjnlU7^I`vLL&4O4xwP0iMEn!D3F75pMZ@V;KKl+4Q<-_*kz=N&jN1xqI zno4h0ql~TDDN^BuolUw^A0=mrIa+uoxNR%x3tJ3Tv3_O))A{d}cx zRw3waXf`=eYhO5%p^$NJx3J_}>W7j~2vU7lYu@dpgDG0&>|3$)M6|)SQ2VJ~U1#?X z+0VG7D21q(Z2gWfBibcl~cnqExcQJtT{Bex!i&9U&ak1 zF5FyCSo_nBciZ#}OVzf8Lvdw>ve-8S_X9U~Yf7s0u@Vz?l;~f+{ff@;#N|OwB zX-9&LAY6IaEQEA1rO_^6kxk&|`9N9YGBaCF8SF;=Z$)lGpV}<0$xrHKa+3{h_(M*esJZh)zvk{{VVdYs~pM5ljSi& zSd0X}*S8`=8?_H#(_tLDmYGx@Lw|eh1Meeo#ix>8(qux6jXm?{ZdFK76Ugmf31KN~ z-};vJa*fp0(c!gSh;5jQLTJs)JSzk6Bxgc(HFYWy0Ch^|@Y8RbX!?5|bup*NE)yc0d2Yfl|Kz%O{!6)Nv;eL}r7 znGq8OXRMhR&6RGEJF90INxRY^%+*Z|!Cs(exJ@fgM<{MbsJxWfhHod&hprl&vDa;R z@g@w4L%5d1H{U47prm@(+;W(ND%@hpeU0*v#VH#ry(I{3{-x0kX7a~F>!gBx*E@}G z#nUIq3QfpAMB|3%)R9AXA$9!d0}UF;A-kN#Yz4TH3vU-*(;^i+@@EXrOUCWT@#5); z;_0<^jl8SG?u#P*X}TpceC@@eC#u|O>_Uun@0xzQ>pH)tk3m~avSF6~HcFKV4t-K* zcPLhZD6k>t3*YrpQsCdMv)j9^i8IBp#tG!eozvBhqgkaKv?b5h0vS)KYYcq^J!(c?{y92E+cH$*4e&pJt$G_<1h|l_QGU;FphPBv6675j~)jwv)xs{Cnjzx zOByR3SP_IAqF)%ov}NzN-%^=Cq0eMKIsJtAzwTdjVH+j95YIjXa;Ord zxu}XO8gFEF?<*7w=WHyEnUfGA3D|^KY`bWrCD%2fqRxto1PUgx-P&C3?9QNvG@)*T zsC}>gRX!R)(^)71>B_!Y3tr^c=5}40ID0r0NJJWwl&`%0H@AVvbgTWOzQT))jxy;+27XVxhK@JoX$J z%0g5s`MS<-wsrN*`S_SJ2Ti+ctwkd)Xm|$px$tXy*2<1^i8 z;CFzdznZdxLp@66FyTX&a2Wf$p6@A1tYNy}$nn9BBN@Zta-$U5fppuFY-Ab)3+C?3 zuzK~r)XLBl+}L(udRij-@hIY@$xs#F8_{Drr=q(DP7fw?@PLf^Ya}$VN zD>vUFej~EdDNugpiv0F<`R#Ul!N0i+QkG+1h<Ncy9TqHKe;8Dy9heorQFq@nm4dPK3Mf=lltyC>d|VZ#e31 z>W*Bur5PUfin~6wfw-m{@;%0hyj_WI-1}QNK|!YuQ+n?#hU0RL^W363a@#w-%v&lW z-;KJavqDtRJ;A}ZA7pZ5B6oTPR}A>i6YoHkh9Q0@Fj1EB1yal%n!bcl*lcASu~3tb zy!~;#4=zHS5Ujo$0=5$t`0_A7XNJtn(~C%`IM1&FLqBe6lC}P}3%u;cw5GR75pt z6fvd6Bcat7UCuW>mE{OSOhBV(B3c3>b#69*JchR>*RmlsY507;dolqhFuB4n^8(@r zxNtTfyl@8&?YHEYuN%k6z`Y69FlHQSUg_PF$=xkkH6!GalaPTa|JcsXs#!(3Hm?Ou zKSq<`Je6Qddltmf#t#Ggn|y=&H%6jwTr3E0wxp7PBI6w?6bE6fxVN8pbj82S4X>K?S;%M5utFwE;n1>8YKB&uU zElpixW;>8FH~mO!d8Ayx;nL%R(zwTd+)13tB5eIhJuFE}Cz8UVIC=L3Ai1k5F=?GY zw~4C*w~2#UFHD_l?Jg>YMrT1wl}wY-6f_zqi2Ag&ickJtGP~s^yz{20mGBM(Qa4tF z5MZ=)s9|P1DzjBFm_%}&3-Op0k4a`DGgsB9GGSLU&;YD)Tg1uQOu(pgDx4Myz&6z- z^24Zgxo8ZsDFCzjlrK^_d9sK_x-I0iUtNo#St6Zl(pF)Ta&Z3KHcE1a8!cX^1kpBd zw%)%jLzqgrX8n?rdNP{}4020psQ-%Js7EX(`h!0{X##N>*P}6QAbMO;2AXYxZze;< z+e-Y`t09RcSRCYu`ZIl{>;#S5<*%Rx`c|)B;lg?0c>nt=3@A(`Fn6;<>=rH2pH0GJ zc-ZOqpXA9;g%fdnh#EUOtr}c8lYQowkGq8HaguoUzwe8JUoqX4%Q`yK2oJdX)gEVI znZn4qo~fcM&b-$#R321LV)npL7>(=B5iiqDAyW|z1W;f^6w@n{j%Ax7TzU7kV%yxO z0cILv8+BNEyKj3^-dI*LvvBi@{^VOm4Sx4vTP~9_bDzd4oy_3|yq1F?^W&$(f+(yV z#wC%Wyg*1;1HA!FoAK2sX6HuN$^`A`(Xi3C@vdkHD11ld8HKptSg_Q2mhIa=D+v&J z`3%aAi=L3q@^f+IZ)Pq>E?h47ZmzmyH58^MIl9-zVDjLw{t?{nN&TAk(mLgXn38|3 z^G3>>xUoG{TsWl0yP*jKkKV);`*br~!sjP2AM_t1aUUl`5^MW}NDe#NIajKa$(%=~&wEP6?x7d&Wu=G=~?;@Ala~bShzFc#Z73xXo;BLulZ=QtfF<)p8<~ z;Ek+qYJLEpBi$E1x*4xJFeGG>zpUICR9p#mEpKUPq;aa_ZUD#T?=t=_`4eV+ExE2# ztzq|E`e^pk0bT*?T7K*W*(O}|MW58UoFf$Rp|}*2x1On;ms6>KJ~V;!tV=_2quxSU z7(^E5;vGLGoZ<)&TgDs(+EG$oNc)KCFQZX>0QWc8Q2Z&k>Q+< zr2cZ|(i&rpxPkj;SuCp_z0o#($M%zCB2L-GG5+VV26*zX_6b*OnK`x}zdh-1u%C?R z6lqQTdObG^Y>B8-4D(2|JSD2={#DIzaR#iRzzvw_A8EeaoZ!I6`;gJvP7yy$BFoN?K#%7mpVS8u>p0ni0<*HMG zf9HG?#!b_OXNxSN5^|MEItwA0UgmN-Dv5)9i{z+4eVa#nPI4(snk4R*HrvMN-q_A9 z!flMf4smtu^z{bHuZ~O@58!<572>Q@Vut$-uRUhj$bLCDm*Mu&?B6L3IhCEbOKZdbDhf|hL%`+H zN7h1s_>+B;m1}otuQ8kX9Hq)_&^yK=lM&z9n7!nI=4M_VYS*^CDH3NEbRIT?D!8XL zZBUf6spC3m&p;^dX*2u&cB|O^Q@6jCv83Pf{&8I%&X5+Pfx$f`v|SwUCrGVbB1OD3 zp-0WI{g*Idd!*DKtdsKqUoUTwI`WFrO`oNmO`bWJ@LmZs3D+2o&@yek!v5c50rzq| zV>=Sy#mp+^vZ;k%jhAjZ>7XO8uFOkN_32gEk$;w{^v=dR^&2@H)uAz%I$CSj_q3GY zgJH%Fv_Xx~E-iIN1hga+#X2rDOUJp$CfuIVeUY9~QPKHHJUBPfxN z*T`EE)TrnpPwL-OiF>iQHsGYT^}5z1BM740MWAp(1zw zq2hh02SKXK9}S}X=68h>Y%6S%>J zth)^@S}go)W!h=q#f6(OK0VwofH{2GB1#|f)GlxN#Hw};(Gs-re(~Vb{%0m%ka}WX zq9=xhl8e@sWs-EF`U(mLIP6=LRK@{IWVEs(b?tYNxdt~foUUcu*7Yuup>@Vl>2NZ) z@_NMTu9Ad{@Ei965D~7h|BSe*A-WTZ;`6`QZzaYMs~1n0Fz$sJKKVV&_FE*e(pTgd zzNX(vQG#Lezbf8zCpSM*8cQ)q>;7~N%5oLi6x5%q9`!)mIpCPR^VoB8In_(?CM43v z=2YAWzh!q#PSfh+z7A^aVTEF-mR4mXVZ5~1wmG};iBg|HoOKOZ`yjSoOo} zy#hq-?*7K@NQ$w|SOgJzI~Zv}zPYk)X8wLjBNN%_I#05vty0=^)7~C0UK%G?Iy??h zP7pzrhol}ggP|YdL{cQp9oU&~s0Hz7b4^l?-N-E%GK3TnW{}=! z3VmiEWIeB@?yOcU{1077ITHs*PuCF-%$myh^`Z;s`&LM;YYcJu*oeS)SaQ&m0cyiD z#XTheeD=vS*;YeR+0uOpDR($!?rIwG)e?o)b;&) z58xL&C?c^!$4Yv1X^#|4_9yknr7WvIYjPZ)$DZuV+I4~6%0yrUwQY8nN#wBbWdNQq z%$Lr>)aldcijyKGw3rLP%bnkBs}ky>=~p>Od$$4;b5o@QMMO}!>XhKkdN#_r0N4{`dK zUR6}#O+-Lcf;eXQ4FFDC-K+hDoTlCV&TO6+Zo$-RSX>HDhQWbc&%oHX0nV-ioq@p! zs`S3q#>f?1Kez&+{G(|UUUZJ*b@@j>cX~3sX+uU{$6Y?`#p%8w0msAVh*gucQPzRf44_OS>LheuCkSt; zk*JYMaQ3HV45a+s`?7bb829^ez{2m(QZ#O zMi1x#@EkQ?773Sb8!lV_m}D_`z+I-Xc%b%%6j9lR!to9cP67;sR5P8234WFN%;wS2-DQ%ql@e$Lg6FZ_gjgq8!A;Fk^~!b{H{ZA|G*# z{qOE_8YaMsB`cw^63%pSGnC6^cps@QV~`UM8o83!0@i+hZXtlkNKvVSDl9 zqPa2IODDV>9mL0ETe&Al!*eassmUe@DfY`^I|U*9mGkyBl}DgCU_`F)iIT-bM}M(j zH2>@v6EYeqMhSN`mvIKfnD`7@-9>ZoeNOiRxNl#>4s>wllp&Nd=Q;Y3O6<^tj2kN3 zIok0qPXc*ZP&2ap!QH}iXJ_YeHC3U(=g$4}OZrt4W{H;|UBLO6A}*tMzahmS1B=w( zw?tO6X3z7Z{3Z4mOY)?3T-vieOr@q;5`!?=PF~8}WVGB}5=G$gJntL3jtn2{2!Ym` zY2Z|l%AkdHznlD0>W2Q`7xpTgZ&4*OS1)IvQbnTz9^;NI(IU74JkZowV(mhEA9t$J z9K9u;QgWVm?Pfwrt>dGE!5t}AL&%#Ay?WEXDtEU9L!*x42|B zB|ah5)>wGtx__{H<1oz?6_}kJ@E|Q3=5o%i!;gq9kJ4g+04ZqP3y!9>(1Yg~52QNB z0K^PDQOMGVJatT=<6&y_f4(V%yxi@RKv{RCrmWTQxBfpg$k_Br$}Qji`!C8yYKo6Q zi{bHpH0GHX;PtizQ<~z2ahiYg&v~Y!#o*{!&X^{{tr%Io%@}|rSRU1E)_>{RwB9#U zf<-zg&eo`kU)S{LSB~9JStadm`xWCPV1GV95mh z@Fn`%J}GV6fxnCNOLc3`F^N}NxL+pJ%Uk#T-klvNJx`O4kf~ZxZSGPc%uRrNURpoM zikSQ-niK9a?CSVncPkN{qL?(I@fh{pN(=W4i9WP>3lLf4Dq>Q=)-!-L*u$)d zpnbS9ECB50#VUDs&aX`JGk&Bl*AVAoz-opyhep?R!zYE`jDOqRw(X0ex}yUluwK|Z zMsbF&(#Kd%eu_yf?>(*qVWHA(94y0+ zlvU4F1Qgz1)uIL=Oi%@@VbnWaHVQ3F5r;0cpqGUlCc&knmi=nVx15T(ZVoReCS;Q< zEzqdPg7!DS-BgLG{CMh!JZ6`_?w;j-V7~;OWmic4zU!%zJyH1!KU>=__nH6<=KYP# zGzMcbQYAiV+ocIDH!9pYtm0nZAQ1(d@66Mpf0;*79V(@JfvY2!T~~D5o~L$HJHOGR zgqAZx?YeZ0)YKD;SA_wZ^z8GGv2#4cgRBi>NfxAGT7BMw ztnS!Qf)Ly_VGq8hqFb16cL=mqzuCRsjGo4i2qQ!!gf>MJk6Rh@(V?NOOwa!{2OaUEm9&pm#`{BPkikg6 z0u?dPjl8Y!lEgFyCe70(&AVp2Wbr}J zv4zh?gbAu7othG@ za}f>Te(5Wx-6jD0Rx^_4cZh+{tCIeKrHH#=D`Gvb68OF1zc>pqE&!Z<1h{Z^J0vHE zry6tog{~%^OJGs7h_Bn#;_Dbe2yuCzfZXghM~TMNTw;f>jRmqEo@S$Ax(lEaboM<-xAl_SCc)F(6j}qF3VU%9ED7UtI~>Ay*P}>03QK% zqnWtm_{7~Br}9_*N}10=EwB0UGsl&2HoGp;3!E<#QdJ7=LXP*DKx+GhfoCyVOb=gq zdp^ELY6ln(2slut7NkZxKW%Bni9}9V%(Op#!M<9R$o&up0YPFh5({Fq*=BnDT(!%P zBe`q=Uvo?mQ0VE6GyF>dAM6F$$u%RNma-(bzL0jm-SG^;{cl`^c;Z=N6K=;4zEFIS z*HfvXk>)A7#@@l-{8XNP(yaTC6=?G`WF>QedL3eBa+AJ9rr=-ayoX+gm0}&B#hBlq zPs`wJdfT+0adYR{OWD8LSLfa@yZdc;q&aj_z#6z{X3NR;b%LtnwgIt%P@)_VWbfU) zYnGMJH-5m)?J&vZFiE|Xb~Y5XCmDibj~b>_m0&K{>zvSe)bOJm$^5c?yw|LYlk8&I zaT%vKPU@;(gmhW>`7Agzo*z;b*JkOTm-#s6_1LR%mgZ#b6aO$uY&keKPo+R=SCGex z;{cN1?&@Qq@++i(GnZmZEmTOddXnNFb2H)0DvA+lnMk;YYKp7-_d(*3Vl(s}cb6^N zT={Q=(q3)&`~wovX7sdcH*FLJ4%R`IbvNC1M46^`GPhfr(;}_?;9Lqd#r*nu>XnkF z+k3$}9osqKIi2k8E12v);su8q1FGVoXt~wZnGJg^cJB;r({|hx+l5Swem$N~H}!u# z+FNUe_khP8O^|!{Vl}B-*X#BpxTv=}oB)2e8^0GXEt4qFUmlsxnOLplMp-Ja3jm0G zt|eiP<5ZNAhKxS%eu3cIp|D|;2p%@Ro6F#nw04yiFeh3v_#~0k<3h#yk_7S6l9H`- zb2>1Ea-k_im+=1IYPa!Wo7s_gOO+k$Cd9mT$Wqx#{QR_(!$)#G4^@)zYv+{81I_9j zie-wHX?c?jRCWsUf<4|z3EaD6PsVppu*S_|OVt)iEW@JI+TzCM+{{=mN7%8!<*YJ~ zQaey8NiR3~q0(=beT?%PuvtA~d=s0P^luyBr<)SrR|;n;&SmKI#zexMIyZ-p+q+%3 zrg<}F&ri>kTtaySHV84aI2W;w{}9RrGKcms9-G`!r4PEizdZ|$iK?~}C>WJKo*+lT zu2X)42UEaY zbKc4exaz(XwB$!0RdzGyt^VjKFHjI(M45=GXbm*}ZYE2OaK3R0=|}m-#}BpAe#_kW zlB3TwxV?o_m$5&X&EV)x50ChJ-RR+49qUqJhZwD@Uh}=oN&MvbBqnrw6<8&)5zWb2u3$R{~lQfiE-MIKiC@hZjhHlRfrWj3IUZM$>R_;Rc zu~fp8_TmoC)0J*RXIp9^=U-Lv#F|BBbR6QDmk6#;z@yc)Cd&%TLrzNyDev7ta_BjjoGBwUbDZSD=U zd2o$KA;y||bE2IyI1lyXiP~YZuj^&(ppOD8h0+Shyd(olKU8D*3EDZd1 zAbzLM_OItE1_P5-Cbuvncy#uAp=0VNLq8>P=@C}g;>KUXHkALarVP8!BOd|lVbR-U zPaI}Jr)J7GDKLjG5A&@^GUzF*+`FCV@}$J}#r|83DwDOVvDob`#dXFc!;bc-HoqKT zDI1+lsdvgR^JBE-JEg|h{yewK+D*R<&dt7mx6_EF{Va$~23+&Qmk{GVQw*%|qs z;b*2&Nte1l*L!p(;U0yiY#jSL?VK_883u2r3@nF4EDBq$w0-yT*5aq|{XTA0HRRau z{hF0F^J>QJ+NIvjp9&or4Q&;%3OFj;WSVa3#7m34hpTH9r8Zx?(!h}kE`^K`veQ|> z$$Kg?e>nRc>3HKF5j<8Q!&|=4kHY&wh$G`M@qm*$)_#2(Z(*w$viN+oJcXjdzp%J- zZ6m7wDk7z;;Ml}Q=RoioO3%94y5@0YLUgI189qCC)N8^MopY*Uc_jhaKf^6?4RLVqn=6e%e{s@kn-&6IL3(3bRc0A)Vy?$q1d8dfA zg!JtJWTKCIt$65XDGwBeh&@ggCY05#T%4X6z0{&W zgTR73VZ%x`I!De7c4y9nIVa=IzuG)O+mGz@So<#)3%C&^?STR$$K8A1(M>`2|HQ7V za@<3V^pz4%|52Bu8P)ljO`3rHrq#q z4KJuRuv`MV%<-7(dI7IgE}$Ay^yp!J0cHXlANw^u3u zMFQ$Y$JG&nV5&^dA=_ye*p1CSHKETEuf~>jxy0al>6^3lM;>b$_DXy@J#EVTzn5tJ zH!@w!PRq5(gR6z>z(}94kDqj><%6BdBik*go@p7S*81@8k1bO(MKTu2-_%sPglBOc zZ1raKwP!S3O;Sz8!_FwnPNe~=fFeXyKZsTg-d+DX+53Y+b*lJ`JwFa9lmIBAK4d+$ zUCK@}wwm3ijSz1&U>vsCk6Z%t55f*n1>s5MXckxz zAx-Cl2c&1NALq>AL*?|Yt0X<>>qm`+3m#Kcnd|dJoewz8Bd!S~9`v!9 z74f)ka*X8M$Sq64nk#b%uzecIV)t9Xh&5#$DBYVk(0sfXK|xeHXy1^s?1qqddcjykjTN72o2;EZ5P$;y zecrvU@LSR{?K?85GWLC}q>5RwbXFX5olcf+`v+in)v>3dKvrCFd8da+%iddT#1QC3 zLbNfo@A#uAD&KdMzrIdbo$pmIbk;yXkUA%`oC%8@DSdu4IhK-j^!J2U3AjXTU{lI` zBMTxd3K2P)eadal>JPyLXhx!r zpn;I3(ynLe3|L-I5u)a|A5awG_f12Pf0D8n8(in4wC!H~;kZwqtvpY0z7~vM_QW40 zSiiPrfb(>4tm;5xAoDGwSF62x@9s+8@mbp11D!r}KoVA|0vy04Rt^m(m-nYkm^UO? z?+!F_+(^-y?)0-OjH0~s8Vmhgh98SoPSP3t;rj43c8;4cr&+AuM1pgS{#pmK=xtj0 zL%=gO`w6;VJOCb%jlWD(>DY90?Hi~l?v_;J01;9z&{cB)EzvsVM>S-3np3wmU4BOG z*DE|NNjwlLZ#rE3(!+s`EMEM8w=3LyoH<*J14=dXj03MTwDZP5sC$v45!YbF(mTrU zfPGH`%1gYib;IU6n`5G&sRq`hDlzY_@$BR8KhXK+;z&<(YFkOJ1Jly#Aq!y;Gqq+E ziXAP67&fZ3tTZaS-cS!0oJtP!&Vn6(yOI%R^&A{RdASS@Emu_>2PTD*w}X^H8y6(p zMc?;=#_ZuzKY%1oCOdicbEyLwAGyiim>3l?{+#}XeDZ+fi}?sO& z+|D840NYHzP?UEXf&}Zd;rS%Z-;AeMOa39RC&RC(js90v)Uuc`KxaNM2?%aacv<8S zxj;~`c5aU#-zlFd^?%?7hpuSs@5zBpE(o>CgA3++Y|F;dzl2EJ&SDEu=ywJy;V9KF6U#X8_;sUn$K-K zHQneH?uldcpVzV}J#lt+X+`EV2u4Y-3y1Igc$LI9^_jDt9tIxMDY|1cHWnyiRv{f& zGfggG{^cYgb=Ugmq<{ip?plGnFnCQu&m4|j$i{cGNXhj~x3MI()#Ez?IIS0$|jkLWAcpA=ODVW z?!@WVT$>Yt^?_^y1*=0?^4S~iO) zp2#m(17{G3BqB371q;5@o~JBwF=9`;sCimfE|`I3F>=qf){f^+yl0<^I`W)ULj6QX z1)=>pC{F<4SYuYV8}-ar{DPh*=fYuiys!ESJduiY?WA=v`MgW0&RGX2Om$0%ypZBb zdJii&Iy$wU@NUJz-D>3TQfj5D@UiW88T~^_QUX~kL~P|!g@bweuVE4%mF8oty}IjU$9FFyDDG}n%mNclG^8(XWBF>h z3zC(RqFF9|cu)6PX%iV(n*_~oBZHX}UD8(ZhEf;n1U1y8RIxJGZeg!djn{H*MK1Qt z0wshOD>ZfH>0&R?y6%&tjM(q85LSi;i&lSeND%fKuF6>yio;;P0YRwzNMo?YDU20* zlv(2zePW%1p!6;|8X0?qr6D4i`OS3No);aL(sjuvVD%Mtr!J|<|WzVpR}dg4F00nkYZfmS z8qTouLPw%gI_G-9Y?H?ZpRh+I1MgwL)u_>kk%UJ>tVp)QXGg=RyssD`w$fJQ=@iN> za_o8MwGR(VV>5tq=>+-swgjQ(lqcNAp8by}meGAv3^-raVz?{K?}cRvwbND@-QcBsGO-7im4YUl$Prr0xh^i$R| zmgjfk=ZQ6Y7f0ewVGvu#KitZg2Nw#+n7zuzh$yZ1t5!Fm=HEqOY(g_~H@ z3nCcv4*solaZ21USlsCdvBxx=Y9vbNGBR(im`9! zwjMm|$B{3WfINKeBKQEiVP7)TX==F4wjB%SU?V*s^w+kxu4fwZdGSu$+e!K^l(qn8p_^jydMM!q$?^`DCa8{9#F;37PI2`_}FY8hGwFqq$#Mh zMF!?8RZdl%%{8?!j90jn2p>Ixp`rAukvyB!rnf@r3TGEt5N=x;euFCy%+XyaT%gDJ z3Wr4=Q#SeQt(x(~LE#9umzT43)biG*tF$@yUKW-p2bg6uFNU16DV5~yKkPFAxf~a7 z%qRLsG%7cu3*(-uTY?@9MiqB)E^gQyNjsAh=APrt{U6Q2`5D#I4QDxsV`l8PUrw|% zGh2({$mUUvoJUFX{u{arD2}R~_%b8D`4y`FEk+bHxLCNeCRV2y)qJ>?G$iNWP0p_> z3vLlu4^wL1cbtX=k_1&<`yVF=Z&y^Ge%MCJg0)quK8!w;&(!=4@w;Yt7;7)k1`GaBRDOD0cMGdV3+ruI|@PX)2TJ-T%YY zb$~(yL`Xj&*%Mqt@jBaiApY;Vh>+Qq9IY^4+Q!PLI&Uqj&pv-#*CE zW0qwZCn^+0wtt>{YWpcUzwXGkL+ih)2=?olQMK*n{9f|;8iwd~z4=idt2fVj<5eB@ z7#;Sa{h+Kt^`3ujSB5QUG%jdNN(VXpg3hrUS4R5-dR>E8t0778wCVJwv23NV>?)#C z!5&mIlyg60&WHzIQ;Xttlt47KkNAGGPIyuSN5~ij$dB0z#@&C!y3_$?d%A`Hn=^U?9bK!Z< zz5?Om6zv}R)-!J_esl)K|Fb$Q_UqVcmu>=iM1Wz&X5*+BFKGH~T|X;y@60xKvC9Kz zha!1z^O9SyJmXdDr@Oa>EodJ#XUyuGQKOf$SzD_gHfYp2QFlu>QwG7{PO?Ai?3!-S zo?r>P1M3?79nacNc6c!^prhHzy8Za(Ne8EV`G*&amoXgcTPA%%mV15Kl6TL)sP3W zXfx&0D%?|p!jJ85PJJG4T<8Ls#sMEjgZKP`&MY>pOz7szKwhbGdNgf+Brz@;A079N zg8WQV7k#Mu@h4-3U^K-0}pMrLZ zT!-*gw0XO3igTZNe_S$ssn&2R)EVD)57~S9&J~NeVUkQZV-x>p7nR4KDBdSf@*d>@ zbI+aLDaMWWcu2xU+a#xtvCQyKQp}0FZ)7Ut?YVF?$#VNym_wyi&-My7QqeOH>6{B&UaJALg3lVgnIi8&-Z3`uUjPWSe%XEP?z-N z!J#hJDIV!k+(^?^1}KRClle=%Qq{Y1kL(X3tO+~$_}EM_$BroaVXc{Ozc1;f`p^FK zS0w-aXHsF`e_}Ddy7d9cslx~F3lCXS)VjT07P0vuEI+htOV_#CdK(3?2n{5GR}*#u zR!^uTd=vC;9Ro*Qm459jy8#%wU8vEc)2dG7g3%tmzbn~%@%O(r3{)(F_>4cT{t=Oyuwz4w-K|Vum z%K7^jKIm1wY%;k%(L{L>QgTUO=uXr3=;diQ+@b4Q^PX)U!kELgwoBBn%xqOJ@hTRt z4roxGK|T)^VZfQqrHbX$NqN80c!E^WuAtG+0g`*gIp<q;|tG;9c!~G zwp_hN4r-p{2PAcqb|<%(pXp7+e0;;v(X2tYOnOw@a31fzdnP(E`a|4cd6rZI0c=Cjm9q;!1XWo*5kt z%h|Q&Z4QiWcupZyuLEGrZ&qOWZc8v#O^C-IhrbzPl+tIDIadTW0C&2`;5nZznUAhF z@9+@RL!mtPZ%&yf_MB+O?v-fuqRlJAmFHg^6EFq~+PaK253Kp_vUJFj30~kPUn#w@ z=l$G90l%Obk9@~$m;XBS7z!%5*vE6SA-TccCQf(@ZW9Z+Pmc$eLRa?_QJHsw<@X9ZK|ho zo|oP%p^&x|D;IxucSbxtQ*BoXJ-L+LF)|Z3eEkjTXb?PAJi6y}j^$5D&X@ij?TzZsL{`1ZYe?=qih?~=+s z^TAgjdBPrA_r#(zD*&YrENv01HPu@Scs)xJud~a(yMjE-jRvc>A zY*HpRC_`GiHWl~aHGSUguNStBIx%e3JF&Jl3$fibeWHi*#|9kW>m{dGq!U)80|r#0 zPjaMA-rM}X%zNs7nwa!CLuo4ml}37jglyB6ipsEpZ!TvUIL@+HRE;NOXT8x_`gsB} zbNFP3A|@h4n2%}v7?^zp)-S8L9afLkCv8xY?4ZrPJ(IhodTe~1%WFGid6 zpr}N!c3V9@K2$7#f?=PDzDIvQ7lWfHtWLr*rjK>a^oA3TvSlyX-RrgD@$XjzPBLc~ zuaLl|5U=xtp@LZaMJ!2l_Ni7*`-Sj%*>R6d;2LG61+*opnChK zlp*k>(8_PUJgw>`B16xghTjMPn-;yXl534u?Weok?k<5d8^_nvioO})=X|6hTv>2Y zDg&R#%drj=6R4v}isPGS8A!GZ!T=pZF;`YGP#4utU42bX2?qy(vQI7t$?t4@W!3 z$%Pl4Rkuy1(ok4F&*_)Y#?3AEF8MENu2oicL%P~Fh`?D2f=Etp*~B$#o-bQpt+U|4 z*z*(seHQM76jxi(6iKe04NYkjfiNWbUmMO0WKuWh5AdN?S*Z%aSD3ofu`7tfT(1;f zy5t#^d-0!3atR;kW-)fjEiKnW;7;Plr0g+n+57p)p*9d9oMrbJZLfHQsf7*PJVQbS zsNRLWx9w$D_8eQfx6oA%uTGkZEC4oN_d16Jg+ZwzUlji>6RuH zmzzZB@5VvzS{?U3G|vDE_r;=)zaWye%Iq?5d+c(zBH!K*r46!pd0LhGR1r@@AMNWp zHb|UGk+m^I?5#BnAcVF=YZ-`CABBSdW#&0}acV6;$`?Px=K* zkEg`F(YE&vy4t;=` zV8hQFF1%*b7_kqbhxfH<;(tuPp1zfA^f{?VQt&LjqCouJuEzqy&WO;f7GYO`^I3YN zYS^5^4QU%srUNvnT$Np_Gbz=SI zI}cuTP*J-05JKKzNOW5`Phn48!gnumG<*2q5{V@2G+yu>h*M<#ZiyshFWUX>!=sw zoNrpRuY_&{cEdv2pEz{NQ{*U*Q0}8QWZmBcl)F)SU7p@jR%Z1C}`(*%&DGo5AK7q!zPYY#qRKAtAs zvzm^su~O2L>HTtRrwh3t)c1+%>%SP_`HqZ7OFwU1aOX-k^2_g((%lSNhIC;QuZyn! zAU7Y;OPcAD>L{c}*D_>Ep=-&3KB{)iBZ!L~CDWgRdRjEmcxCO>kT4Xu(D=GRN`3li zBS!O!DpKH|cS|Oasv5A1MUKS}M->^A8!N?-xAn9BJ*Bd}p1&4a@^x4VpHr zsGVLrI`SN^X|Q2*lnv=hRqa&V5C2Y5H3F<=1nUG_QkCCcxC_sp<{0TbLRc&Jw&^f) zi##~#%RA?3eIMJC@Y!b_O<1G-dFh4rYuJED+k?vmxXnxADA^8GJq~NP9~mBny>$Jh z#2#{7n0x*5dirU#5EUh}%kv)#A3OOoTt!cr|5h38T^{PXqF>jY{R;Yw-!O zG{A%cjK*_PlKS+fskxRsBY^OU2P(g_)p-IK=TwboO~-{>8S=BHET*J>O1EK6wvL3<#AOuysucL!{~h(&u3MhVQqA&VKbymO777Xk$P9cscMZ8_~dW zq$ky#^f0}7SIdO-o%E5RSckKx^%>TZ>31LcNM3?5H~grWMx^pUNmLr(0XRQBFV8oo3%psW;lP2@P!M)bL13L_nloDC&|?3*lZ+NAy!@@ zm7}z@n_%lt6<#^o1P1FILzsq@KfDX~1u%skT`qE(zjuH6u*6WY<|0f2riRc58xHqk zVvm-02K)9z%P1d@t$q{GN~EPT(9(;_TK?63=kZ(Ah`hVA=!Jy4WYV86esdAMz`-Z6 z@}>eOR8%qouE3mzk-8QK^w-~`6O*=oJh+m}_xi0Ur+Dp0TFJ1VFE;X07}^3n%igkZ zNhHX9iTV@z@;<&SmIBa@6gq^RNgrrP|1RfPzSr|(!Qj<}wbgjnMJALxa$bc?T=5D> z^O@+G+gALQYki4wexQWNDD|{kw7y5R4|J>K0GWS}ieLtwT$1OtH$t{91wKj~xyD@k z!{)-lh8psBtYG5vJpn!0>>3vi`h6SQ!>a@|8VnHFkC#R zng&X?(%(5RN;JJ&D2mFyny1`f^;#Z>qRQUK^Ef2%0Jb4|o~Jsb9CYN+_RImw$SdEacjkWw$qa9aYFql7HnPxPo55 zU!zK#;jbNC)$=bS9EqRD*CoP?a-@s2FSs( zC^H-A%cGWGmemOi&eJ6IGE_b84(5E(kcMp}6!yq}q3Hr&m1U@RNrPN2we5x&H(^7a zHQTK&9JtlSHxf0!M;zQ{}G#Ld{auyTr z5eh{k8i%NPt+d|S-DrCbqa&1N8F3z)PZ;=ej-*uuW#XcRm83);fHqGW@Y*RJW8qLG zR{<-amIMyK&4sF9g{<|wH-=dyaTk9O#*CBmHUkq!$OT5&>3~wz^^JJIlbX)D=K&w- z{Iavh_fM%_f9oU@cRm@VFZ9m6+c;s-la8cIrKM1-*U_L?-+Y)-)kNlxO?XTL3TwH& zfGqWeoNn~iD^eN#J$fgn1;X_)G0AYfQFwb%sF zmi8Fj*8Qy3GG<(^64aC3KIA1f!RxGQJaKz`M?m1+!S*;n=5`SM1$Ay!Pk+Y=dy)-} zkrWTrm5HWT7aAwPkWPGbtgKIgZRJgJv2tT(60(<{1@Wn$&bxk5AEKhoU}fn8pxW(k zZtT_WBeD{AHD3U8M@pM&4GQ=W+9;(^%5fBV-bN$m>inea^23ry7+ zs#$hq3x3Tq4e=|{3j-=mF3^c}@*DKiB`LMkL@0$YyOny~)2&?BesgROi0@;gFV#{- zcsE{lQX_%qR+gO&B82mmi-!e#5N7b9z#EF2w;d6{4A?^@=x_$EMz5JJ!T+-K`5z-> zg0K8`2X4G#Asy#Ucg+3i8R3#H&W_1nOSgiq-8$ zXbjZQ>z6j1a;>G7kIc zW`8?fiXQg2=fIu~el+31Bb<`C@&d}*RGAp&eKfQEh|>asWFY0!Wvq_~oa>L+-y>E_ zIxakj3%FbSf!|d()Bgs}GlSj9WYA2hWg|HQ635V&|?k;J6xVl{WY9VO&ujz_tQA%y)6?6X^jK^gvZjg%k zcIi1D*f-F%-IBJlOIGa`u4z?lI4mn%CT{|{frDdoRjA1K%Q3W2d&NijS70)>HC5S| z88UdUio51~Rv1d5bZzN|t_2fGaWZ~@d*t&&4eGC9fz4Z}CjaBTF{0*`W3u7@nQT(3 z<&)c9SdsPS6TV|E8IzV>*Uxg$+dO-*hYtZLBEL6+x%25TL;YS2ktP?8i%Ac3K5EYn zr}R9@vEW!&A&hhgk0C2H7H4Ww%rJ7-?`%|+&-vi{k zEN#;4uG~V%1%s^$CNqk1Jv|y#m~BD~HgmH^@73eds{ELa-O#qT`D)N1qdn&_YzmV!!1Em67J$@H4J1dr4T@!@wRlZ1OkYhX=xL-$QUd};$Wr-zczaPIfD`N!Fiv}@2FbYy}xsRe+8Y$FZ607 zsueeegGFaRQVK~6og-tva&a)@<;6YeYg^_*X32stUdMU7@r{XmKc624Gh zzUi7U_90YsL>15`v>%!?xDL8rF5QklpJ>4|7=c|>eOL6`8yf1oQ)K7rBcA+B_~X+R zdvn^?krUmeRCm=)b!b6?${4HP;!~IZj#e7BGOtuoJpdtp9u2R*GgZ9dXT(5=nJ=tf z>9$9xn!eYEnyt7HlX5ghu7MA^U&BNjb7|Z?pp#CQAfcs~K-t}3>?Ph|w)rQA#Fex} z(7~i%2Nk|rHI4NmwI7O#HKjUAI~jcXyTuW)^aGSFb1cJrJd4FeonV`FzAbH)^1%Aq zC12V{5Ar?EhPS>A(h+TO3`WBpk+~`kxau+(45ur56Z)wA^x&Hu4tr-D zk_o<}Z7b$&dgCt%LS^b{bpk1VuKBi=KXfKu%|>s(>D7QdAs)#Uipg+O994}+pMsGG zV6WW%kbNmKE$WlfQan!V*(Qyl`w>s4e5v;D<>0NCFAb!&e#P0_<`{xV&@+X-TM>n9 z^Rm`8ghOr1WcJE>ZoDos&aclxcZ*{%Wj7F+q{(>MaNCz*tNNKmGD|?vY$EN)g2w;+ z2ywTr+?Mb6ZhDwCiB%Bm<9l;0YE0rgQu_h5+h?5NhWxF%jg8rw?gW|jKP!C4pbC>G zY;JbZ>FHFUS8flfGo#o;up&Ae-^+&ESvu9Uu6^3q~FAInjJ&B9>#(H~$ zGAy;1_JsT*pm)z;4mK+RkVbVQsFYFCyJUF$MVUVhPTiY#WitWC_`|5HEcu;D{(1$# ziK>H{xVT-cbv#?o>|rsT}3rmK7n1 z_*spLQsz@T%BtCu^()B5V7wi#8*D~KXtFHI{Blow_RH|47Pp=Yt|9<>M z&D@=n9)1gEgnSu73m>KU-+-|NL>LG^I=S0C4ck$oedFn3Ec%KthXeJ*acfzoI&Tfj z)K7DSN+^8toq#q!C>ucN-TyU$Ar}2t_z(?G0Lgv;g}*BIbhZAk-ZZ=-K+OZ>g!Q** zFLrp8zz6(=K7duc=IZP2-W{pw2rS=+AtC;q*OBmvzM2MjsfV&dayzghH&<8iM2SAV zW%2Innd6*9<-+0avn-`PIhZ0K?j-3uS!FJxDXXwe86oE!?#*=^EiEHgI=$BS)X?cH z2-QOpj{hCuqIG4Cr66W$U8oMjU76pMEjKjWIlGSdtp#B2oiI!(nE|pkjcLkEVr)8^}oR3-RM0(V-WIbT&XZ zMHBm=IbVP?ecaeArDtRlt^2a0NV+V(%j>uY0IK^3AB=J?oZMd0#X5Rh>V9WW7y7rR zKG5DSu|1lvFqUGLU8G@O6|cK}+54q65|T;-_g5gv;z~gii*2t z^=u4zsxW~$DU6fT!-i8N+~IkmY(TcihIoJ1l$Pu1JN2tS)3;R|Tu25p@x{+A&Q(60 zrwJm8?P17*Q5etCTFYFedOOhNL#iaVgB4*j4&&c@PlW~sW1VfVp93dXpE87$`1Ey?Z-Ra_n9Dmx#gueh}#% z5oAx}V#Tvg6mHBe@IrP8G_FLBh9%cxaa|sJXRAzEcJ}e6Mcqw5VRv1EcppS@_^G4t zMha!clY{Kvf}V?vuAf7o$rJdzBGSe{3DkXy$nMO(Qb}ObN{s0+&r_p!no!8PPsIoM z#4Z;@MN(DF>}Xkr%a~{dHmz&?ewOf6fQLXdidxPy8YXZo@sS^U(2iY4q>2NSUtSda zY8V~z962?zp?O?q)S=LN?_bIBl+QfQ+c@fqeWpX-5EQ^6*WUc0u6TTKDfVvX6U+*Z!NcxzbTII`gUrSaxa!ih3^hF4=iNDC?8h4cPz?R}$yp7w}%uhr8sA3l}cXik(N4j9Lr1v8b$CpejfH$$?kCRiQhBn){C~-anVXnAURoEDyi^^ z5Lwi-F)EOKNu4~_f)p%si`~RP-3-Y9dY&97{7NqWu?p>@g;I=Ye}@bSd(|gb4{fUO zxme-RsiZ`z-~d2;sKK@?sw&#~u{HHTY+bxT^i-vX94-Cn+ZiM6X3l*N;}*oFXXMKfOimr5BlT zRSv`DUhG@K?cq|w&3fL>SWUf9z1I0J+BC_Z=3uGC?ZXk!}wtwCFKh;saZ` zSzaawcIAubPxQ=(ejda-@d$(ko%`@T=+vdO!JGg^ADG1!SSVAONzu0hIh+zDCPyhx z)-JKCSNZf_{f}eEc47AILZj5Dt?8Zg9LE!hN*JBo1S;yjCSLQzoEde`i!Z1_##SaK z!%)wC>1lUPGb&tUke|9Eo}4hC^|-#MrP}F>0oH+ig>5dTcJ(WbYUgBq237h}_=FC* zx*tKBd1eQ$@6c++8_PrQ=)En$}_qNu-Exp;|-DF6QfE|ysF*LEQOgwPe%$-k7%{b z&!Ckp7qWQt%yZVhJk3Vz97ZY4jxR*_Rl6PjiBJ5V6<~k(GCBD~YU=zX!YjuwSzIG3 z@z3wNjG!?$b<6K@UgkRDBf{0|j`lyZV_g@hL}vnc26IQzZ<`JvaBg|;!S|?3r>g9h zX;cZy)+=Vnki+tuz@d|oMOi6rLm9kotztVzaiZyxMYfFzjg}F1SP+>C4xMKxb+VF? zsnzB?`os!82vgDu=#uh#0<<8w1`el>WIwNRI7HzhDE!(L2`(3%WA+O_UvIrB+_zA;>6rsGPz@k?PIBAXN{i0)5=?v+Lq1L%t=`=`CiexXO!KK zS~&Ci{<6~he$RPJ0_g$xl{r+|_izzgUq1mdtB-4ympOxe@qMsI6M0@B@d)Uc0}Q1K zh#c!8k!&5y|hs)dFE&i_a)rZ5*H=(#6B>kShE3G8P? z{=vya?}?#n>|+J?gO`U-R-OLZNzRy6a@HYddDl7UhUC9Wf_Q3Lx5d1bNkRb?z#02x z^t*QqT(*DGHPi>s@}AgjeP39Eag<05>(cRGVeW~!Q9%Vm*vq-lmEMSxRQ;RhM$xb2 zi?xt>brFoRD^?=&&|P@HO$;llp{oMfM{S1wY1#yJB|z+tVr{RRvoHPWKltF@M$7LK z6JLRDvJ&4wQt73Tfkp|0{B;jNOm+pjIChlzXu&o(Lwi+jGujy$XQY|cN-qu=7NQzY zA|FJ0jLcTRXC?oVM(Hgb`wXRXEXyzHp&;4=qyh79%Ea3QEy!6Zchrx!aX6E*R;&2n zd#PrYN8uG>{`*#=hwb6l?*-hnl)lNDsLbumcO|p>c0|T!h3KE&YSHJ@ZnQ9kSqoe@ z$&S*E&&izsDirV~a${oYz^ll`b!)*bwR;nJwC{--a>EeZwxpMI`wh)k%ZKRPypLXO z0#h_8An!#{nFp-GdByl-8RUZ`E^brmLI0k4x3-+Ymm@5;-n$o5M(LqD`O>B3yDlfV zy8W$E+u|GcypIVLB2cUrblrGby5=2mb-IDOiJz4B9L+0bTO$L#T(9L9*akc@mQ4#O9%WO@ym1Z_YPL4FNC83RCjD<*M|e3A zirk!*GBXzF2lRSJmU{ERWg8F_tIC11)|=mz@Iy08TEMPIFQ$kknMRdIen*Z@Dha23 zEnt$x$BLLzPX#dr^DU{@d=4urG&PE!{N}M86Hlxts7KLs1lqOz7jS0P?S1GVruO=; zMpmxF3o|=Qt*!%CQ+=MOz!`u>ztM6bH~nF>r6p0oV53c91kbNwl98p+WVvATm|RMv z(-)obd8n~=?dP_UnG)2l8Wl~Y|JwrCaIpIw`_^w}{76JF(LUTgH9*u|px#O^QJNNH zzJQ=Zo)o)%){dX7Iu`Dy%-v57ty%0U^eXGv?v>{u^c@UUhF^0d9EWQ$$KxgjvlISl2*aQs{*%`5E z`$R{2(u^&c6p#g8;8I`8D}Ud&igtmFl-HJ3&KKxxs+Ocbh8LJabD@huY?s?a!iq5# zJ%4zyuT-DPS?bs<+fB5)W-z41Ycv7|W+JYOcQ?9E!Zb9$aCLj#@e@%#HhcjaQIUFK zVz&avLo(okJd4yNVfQN4_u6RziZU5i8Lz))jxn2Z>fNlGy=D)akenkc{Q<9=Ha%xw z%q@7O=Ep5BphX8^rwb3Klzhv9-0kp7o&y*%FhHL@pGgn7NJjdu*DYK6isTF|QNmax z+rM81Jo+E{i4s01)(aaLUlGSJh+~BIEOUJnZfUgRi!^bZw!Z1D&Z7h`Yt6Gk6~$k> z>I={>AaPeUJztyw60Obg%l+!;1{?;t{M5}jiMI4u>z5$+P~f}a*tJdbpCt2z)7DRV z7oz7K9&%_d>4tX1IkKH%BW{|4E4lMrxJ6EaYrhm-z8=pR3pj{QX~_u7aFKhZ(_XYs z^T68u484qycSd$y_hMX0qgDqG6WVqii?)&UE+(jqYwB5PHFHUhxfMYfUm0==-2px_xoqa!}-F1!QM6 zJ%r9thpiO31T=Wjj&t zX9@R#4|6dV&6)N1VYrkVlKSMmeEZ5OOQf!UbLn8@8ybq+e}< z{K8682n_>kQuWN2W|^FU8Z8V1;NC&1S#Edic8~6cZQb{Tk8iDST+)x}J9qd z8J3X0IMznN$F}3KNkzCjcXbB~KX0=NN^j`66(4>e-XYvN#g-*6B;DAucB*qZIcaVu zzV1FaeAum=n(C^`+fA|JbxY>@u5(IV)#Zupj3LcQT}eB+AF%d}%9L^!$%Fck^00Oc z^ROhl^xkmdo=mDyPhU#KX|S;iNk{&c8ksuN#M=D<7IAvW1qKq4jb?qbIm&rf+!or> zu~#^NM;be{y8E4OPLeihGUBXi7R5S~YsszL-i)1Jr61-#M)F7l@{m@ncAd6fC1QR`I5hfyfc-e~5}J_tk( zjbU?tdh$xesGNk0@lquE;3Nt2$#r3|ravo-u68W${l&c2Y|aEnPHUdr)w$wi#k~KHS~ZrreMC2&yM@yh&J|7wI!DshO*V$5M5z zy*-a`9v-8W0>g)U=jxBYbO!jL`dJm&FNw^<+GxTnJxy}_%*b8Jy7$qS*?VC6H1rT! zU$BoMgh{&@!g+vT>P2M|s@Dw8i1pZ|!Y7Hg_?EY3F#UkdeBmJtW32KAko9$VfcFu( zxTjVHu(Vp9vCTQvO3Tl^5v%CM(_sErVQjs(8=4T#!s(LBqyv6Y<6vK$C=qkVr)86? zvkx${;6s&Bv2lJk_qeS1Y?Az0ci+?fTiY?zFt$AKEimBbTe<254miUH(u%>&1D*BF zg*vZ2*Ggpk(kcBTu*4*svtsNpH>j|LKEbAb|655`&+qXjB&I-}Z=@`{y!(R@&fY%9 zWM7ouYWI_lW|;NBzyzA!l$mKtklJoUUD!e;|gYAw4}#bwL=hk1S!O`&8>+$(Q9z zn$4YJ&oor{92ImVi&AqNE}Yzb?V$$`L-MD4G;G?)9RjHx0u_54|8Qm_T<2g9MZnQb z5L*1P$yNJu+@RBRfTHtyb_Ltjq_t8U)q6Adw?UH;g(*7yv9(-r0|RSk0*=2_QV%7# zKY%**P*tLZZd)%?xh3gJN=F7K!V>L0djyfzH~thkiZfgX<8f?<+Ev|&kIv`^tT9Yx zj>n!U!x739V*xwW2hK@v3j}hJYC_-V)2#(h75lUNQ1yb72iC%RJdnlI)AC|uFQWZ#`NI-!Vv>HJvth$ zmMcLn`j++Zl@_-qkF#M@dep<`Yzow>2s>tbmSM)Vgq6_;+LZ6-KI@F}(Br?lQ_j$JlZ&U&Z8+uO&5({{S& zq%@O~*IAisw2UM)II?KL#DCz*mt1wk(V|E1<7J>-eUz%dU$qIuC3z#GmSa+|=}e-W zY{z|POM@vcdE&1xOGD}LibUGnzexI?#Inj*K2uqmg2KXhr%E1n_m{%`iXqG1f8V{n zl8N1u5v;25ieJ4yv$?Xbh}P*_4ry>X$5!Q;06uxmY&N7E$+uXyelE7|gd!XnSgBCF zY~(hhchUoFA<7j^tln%Ig(t{lWkD5T3YW(XrL!;kEjhpsz)ODlWbqU@ZBboG>7XjZ zeLqRAk0#hyvgN-T_N_SifHB)D4>e%UYEwEVO7-uE%vpKbcUq{UlwM#3p_`~IIM=q#eE*>M%J#zL58sJ=H?!|X!hDN>0SSt zRXMwOpM=@=F-!Y8P|d~v@4%xIHFH?L5p3hdS$CSW1LN=C=E}18OP7&CHVikC^*aMU znG2prsC;y2_Y~EXCf4FdpN?tbi<1`} zM3MGyaY9Mncehxm-Le;DX&3bJ2fBv{4_K5^HPY!7^_DYDd!_rxaIOr(C| z&RGbnn0~~6bSF0HUi*@C|ePQZmTgE%SBez;;+xHvOne}{ww-t_?Cd~1BSb6?p^2+m~lG#T`3-o|O?%x*R ztk#17Q(G>w6RHiQH!woXwI95+Ei%p4CM#VB66=^pm<23c)Bq)g1xCoUe@>sZ^~KqQ zjUfwW5ClS=!~M5Bb)G@3_4Ie5d^T}9pH4LD##fify31rItPLnKQ6o!JF^+>J3fzh zr1$~4$GB;5j$rpfS_`?J-qqTckh6ft>)5(m`l2Fk3I7A-5)!EBMQBOToaQ{So=dae z*G&zmBfzWQAEv^7KgScB`&O5z%-QWtm1{elH!XV>`x&2d`4Fr9 zjnG~EcB_S%a8iQWKIIZeHzTi@U>;G^fw>@_WGZRrNw)~g8nb!gW&NDGEBYL;oU0jG z3r$2Ba*q6Q!xo%*I~3c{c3_UJZ~a4%sue@EGM`6mk&M1I%TrjlS7tE z%W#LDdo4CjgiP0k_|@IUJ}3DypIajTWzqW8`2%wapNhklusxkcJu%D;&m}$DXY2Ib z@(m{enG1$7-PRj>zo;te`@z^Q7nRb^==3m(<%-+jC!PeE;?Lm@>sJnbxo=)a?nEZb zHr9m53+0$Jw#=Z;4`kUr)J0YmQcc&$D-4*EN@xRDF!QIs8s9YuKmVw{oX= zXRUfMDOUAxr(JS?sbtL+9#NbX1N2g_3l?fPqiRE>!EF#q+dpc zfx^iMv#z5Rlf=PZsrC^qlau}N&_WT3iL&z^~mL%WrR6>ift{?QyJ{ zwJMD6itr1_Ti>_cB!On4r=OYSa4dIe+kC;f+F5!0w}Pd&XN zBUw)ksS(O9CJym3gBdnXX{chT_KT2n7MMr|a2cyY-)j>XIb77zEJ>mMOuqjG`VC0Z z*L*ZXjcoAL^6`Iu>rc za=$}={0*}$o_Eo^b1eF`=(z$It-IXEN`Ww6bWT7l_hFp4Lrqx&l2JzX+lxti$z1%| zR3o>{817dS{J1bF(n2hJ5rMNZeR}xoCUT07^sdk5&vxT7vxnlNELSGsYp=@A;hH%u z3fI2HpOMcGkM(ea;0OqAvL5}(`$8ArqMjdduWpsCH;fdA%R3z8G9>w#Ahr!XO|^IM z?b)%-Q8GsrjC2XHX|X6B+4?6*SHkuALi+0bRM6r5p6 zerZZ$1x9PCEWJgvhr51B)rq$V-MwEoha)raU3}<@c)nunwP4hX+B0R9F58@~2|7iZ z*D}PuT2kj=_eSed_*yT9w1(e0)9fcDHV}yPgYXwBo$Yerxs;?7TqHf=>fX=F7W>A& zwamHi;8dCOf4*UZj^Ds}?CI;x_WGKYfduyp9SL)QNg_)WJM3sc1un@n(8m<7bTw%w zAXzhz9EoPua~$w;eIDN>-ZloQ-hCz&{wYDZg!jSIxG+&+smrbfw4Gh9Ud92goR9dg zz~ZifC^Z0vRJh4$sPP?H*#~n=3>_$A9u7|&2*4!$_G4qL7KnRc0a>6dLpZO!1&-Lh zypn3ULR&5Iiyo5^I(4lz^y$86-Eav9Gh-}5zgp0dBC29vD<)RN$TTB~Bt_H8PE7aH zwA2mRxB2vp6m$j#C#-%wtmK326Eov$)=4P(;ZcFGoOGeYpuk#|UY)I;%{LM04~i{Q zBN;d{BhQ@&=-1@%$?L#eQ=Eq{rpG4Knf6c}jZ+^Uv9AD@Zg)JXC2q2s3M~ZL)@^iC-IZ|b(7s8By;vWpNy)vX&%tQsBVTDbAY+tagiR?4S` zzFtaNiIaIIeTIQpqs}UXeRLY8fBvf}gdw75?>iSb)%wOt$0vxE)W2wUV6H@u{ZXa> zewNNZ4pY7rVP=9lyg;Qjk;57YR^v~ovm zacsiR?_>9s`}0o?*;p6l2Oz7f%{}uRgImf^0sq#Ig}0IAHyvEmR&VopnUvIxBqibF z41}pw2^6aFrOd5~oeQiQA=fqD9b=jA4-SW)n15548^6u;@bNa|mR2FykQe3EUk}z_P*XE^4u6DX4YbZj08}!CZRfeIO#5ebd)g z4*Fr?I)ja(1afb{$VG^S)Y{a<@6X;_V*h=s);_tt8Vl1u0|IzD4)ko(F+UFkw!;*F z&ZZh9k?b0bK0^0S9*4q`-Zgd6@QX?Nb751P)lc?^o|CMFJH5?9R?ZLarayClgIs3! z$8jQZk9Fu9v`Crj`ZDklIX?>p=7P&Lnr@9;Bo-wPF&zvq2;QF8(V1T)4RRp8*Lsg1 z`u2=X`;IvYKLSizUpj$>1s=to=ccP@8QE}C;b7ucGEcv1MN#dy?XyyHq-jD!N!yJa z23nSfE3ZKfH@hm3or*D@WUcf?Mg#)l9i$`0vdcBPvSgTZR>^}81918n=BsW|gNGei z-7;Au2p#-w-zA&5XsG>$$!JtB4eipWg*tzYdon62E+oal(!Eeut& zq!iw1IA=k-%=ZSO#?~?G&If^4HeQh^tz`DjOQF(lA!+s5e1mZ3DRYi=og1FKNJqSM zuQ_6{$UMzL`K-lKy7kwN_l!6H)crD5vT$E7ybEBI8e9I-A$+|+Zg~=CMa?v$Db`nf zGyGS$*Uw)&TO1bLm3BtX(Faw|Jn$z@C+eod{#XS?-KYk)KKpR}lLAcEU@!3bKoOoc zij6+iA8vIir1lzk8D<{$T!3Ldzal>N32Bq)x$Sux+-*&k9S)TMW@&lf zedkhI&79{18;HIXGc1o|cI)suDtTkoD3T2t?Z`uQY6BS}7`vAzyo!4D-;92u9DCi~ z6!S@+9%K1N2^UcU*Dk<}!ET)$fLbFNyJR<-I_e}9k1={%gnIrKj7 z>w3Rl&-L09oBog+QOmRDqH7++IkwEaN!3StykW1bx%_r417@Ry<4giJw9>PQ8E>{9 zXnlW<3q^%6Zs*w>o8G4zr!Z+0q-eV#sB3bzRJ%f~GGm+!vrFz4EBjRhKN=##@hEYO z$dnuP6;}(S|K1fX=-)QqUwgV{`5w<%6=XnRh0_0~=T)@%hHbA(}ZyU-aHbSot>MxsCf=v(y#+2V5%^gv+dat*T?`ax$I+z!)S! zwq+#7fyUcL;IXRxfDTvLVQ6qQm2ziFMx-74m=ea)oHY@hB2@25SnA?(vH{ItsmU{J zE7$N>SE$cOVCMG@qM_a3I^f{p{eZ;;&FIu?GUyQ5HV)aJ_hH(yG_oyvIlWE4+eci` zDhDyv0R3X1TKujLx)rA7<+jx2Aknzf0Y|2a;mO+PCvyQg=w6>Jyv-eovh=v{78 zjH&y5l9aM1LMNwqFnh4dLHgJNq;kkLrs$Q$65DC}^@34700a8e%vsz?JY9v-XI}vq z(C)1-wb>0b3#FXG)FM*O5rR&HUGUM`JJSHbRdM5U<8&0y4mB9;47alL*1~c}If&0jSXuYm4&H*HUqPYEq-duO8t3RI`o==3EY?bQMo9z#d%a!KqtJDkPABZ{dBK z#BMU3@C7_GF?}IzW#(EJd<}8nTNpNYzU>3oStm~j5}{^dpFRAbE4yAleRI4C8DP!= zL=s7`xzUmqSPTP_X>&V8nM0dnNkr`e83?3dLQ5t#HEnVQh*<($U8-XK)Q3iR+Suu` z4hRgjNzSDHS#O1xlp8o@LOlg5)?pblDT7S7Z`?ti7Fhm}WGz+x*Zt&ZNQUw3QFB68 zI#+?GbTIWAhjnfqsLm^fh~RdW`BfH@)^NMhkWl>LI52C)w}@vgqf>MpXJAV$_)I1 zRt(L(ozm_wO{=k$>!?=OP46ky8`MiX)XQL0?7o}X9?Uu`+X)|-gE@mUYBto zh=^?2kiIXKamH{RM@U96!F9&Xz1Hjv$Jt9cN5#*r|Mf<;5^|SlEj!jVgB={AID8{` zJrkwhq^<}$z#ideitu2_*i~ET%oQ$HKwbdx)gh8G=0>`kl;=wve_EmI>26WIMx|AY zaWa78HDcc-BLuDWVBtrVnb$8%b2o)xIt-U&Xux7NcBYd+bXi^TBL<_IUw*}}qoFg; zrIz7Eu>NzxGXVlnJcH^$6SeAa*509dXIr11M%alSV*SQS#}@!GpIqC!+w%yH)cNh) zgvEO8UZ@kbK`3pb)IE(2sv9+DUQKIAzduWL6_9`DTOoF^mW*GJ!dkKTrSL1EcO*u? z>ls^`P};2z{mvgsn!vD$)4Z(@Henz~L=Rq&71B2=I$L5*CIg zvG2PDXE6(R8&Pv6c2xfTQJviv`B=1SFNGDH6=MAfUpAqqdjgkRZ$w$khU?z`(W;Qu z%e}Mmq+8shqXp*#4ueI7T38)LuStGC=ZUp4JA=7Vep;zEC6lLl07UFu?TD;vJy-Cw z_7%EtX!?kENGD=H*`6@x)zsPA`LokC2C>&X<=b33p06cJU3?ZMOxgg^3h;=+qHGpr z^9&(Pkfi2c_ktV#d;Hukk+Z>d{~vvxv-$TV;a)vK(Inw(wclD^c=tOzVA{W>@B9^L zLZDthb+Vs`-J0MlDZN`W`+C*59afIeI(>$ncaWey%aPaAmEyU^+41lrKO7LZKZNyY zsW%FzCUa)4V&6eyOtid|Qyu;;Ka5{B80q3nep_{>4$R#J49a%BJ|sty2<=UCg>PHu zA3hj74!Uj1N`H+^pAuWnRvD11>-x<2FJ=EHb32^xQnuP&^~*PG7z8=oeIK)xc}|N@ zY}^Xnlcle+Eq&sE6rFvWMv6jxuY!eUr#);wzqEuhJkHkvT|xae7i=*>^H}F!#=SZT zMLg^;iF#;t5S$#E2@6#J-va1aQXFS~WuuY!Jq70m&B)(9$oJHJdPX+WW-+E8y|;2t z2*PIQ8PTOlsM*aTM|iomQi6 z8zcWJIL~FSXWl%l^jr`Y8Lja2Gip``v3F~2e6}pMbr&nmoC{V*fhVJuEAulGa_aJ% zBKpqQ{PeBgG_sI-0spOB#){AI;rkIuP9d)QtsKni+}AJ73fz(nD?hzP#>>2kur<4< zuKVKa+{of@n)YhgngSf`BV`hT<#VMcdBy43h41^5M(;-)pml1HYzQB@8|1O4kDLTB z77fU=J3ab4fVj2jK$b5;>R(;2;2gEN)y6SU_7LfjYo0NM`r_d8RxJOEKSlF;956DF z>IcM?<}Xl{ze2=s{08}*H>RsRYxf6+6VyMVJb8xlKR?5+hW@^zi56&QtP1^82v{Fo z55Y5SpK90G%HA!M3jLu0Z=Uec7Sfvl&I-aZ5K%-Q%6yp}Wj{ z(2CEk@?^tX?(js?JC5q_*B$}AqF<$il57THJkCUcsM6d_Wr+iMwwGx++}@+p!ZjCk%Ztd;usUNS;a>{DiVh8$LN&J? zqifv5NGsYcrp)jPKBhaI$k*4-md({`WZ1;c|VpNyV_manZ(99AMvVh3ExyuNf1g;x96 zlaqYV!eEhV$mUyB>CaQAX5bls)qL;iEgZ}vk+gi&Yc6;d-qV0)nt34HUtjw3B_v;= zI_#gnIdz#1wWC9ATQv^>uY()l^b+{pndY#mUtpdEmFR?f-@QyLB#2ng^iK6bq!TfU z>mq^e^+;_Wm485%$Xi^}_I5lOIuMoG{oX-a?MvE)C&3j+VbS81x8{Xwqd~r-q8@Fn z9j?jO3s!%Eb-f`m%&Wg7^$t2C>TCAHu8pIUp1HmKwtv+DtvyeO*@uOpwtO+7lxLpDD+YJL0qDU zirYuOl&eU{mm#X^J~%+_$$}0S7jH4Cn1Ovuz_dOGfZdM1a|O?u%xw>)k?Ie>YDAwO z*|aS5tSu(DS1_zR~vymqTz< zC_!QJ#1$fT8q^I{t#nSl$?Vx7&cnP!<~z{;DV3SK(JTzyPs95hAIWCm^AKpqCm5C? zLO8fErR8ciRJDQb%6vNIK|%y4Js($lU9*E|y5^vW%_nc*@#tU$gh5qVvvfRc$YS}6hWf9dVR*&ecsNkNF7#B!Yo2540<~86?J+dI-iU5 z;kzKAODN9v1;a;U+VOvIlUHy9Qh|dAw}Sk2C>0BY8qNmg0uGFX>W7$TrzO zX+{pLvmeEWSOFcGyNeU@(Mhg*9VwRhj=tqhp|c$|v9>R^4F5hra*?m{@?vZ+F6l^=N|Fcv+93qk_p&CrCZLeO|e^_rAIIdhT z4*ngL)ugcdUg2OuDpZhyz~9FvFEtZO95yFiOL)pAF}e+QK&;Zrb1@S2HT3nx_zOo; zi_LM?n!M!N2nOURd=EC>C_jiPThGi!jzQHu8)s_;#6R-Xm8@sJ4_|1y%1FNgRflSr z?B2dqSY2q7In!ENO(PIe;a5etnwI&5-TbKU;lNyjBU<%AYJ=`JRj)}=2`<@GkxY#V07vRpiCwnOS#a&VZD#mPCgLX3Kt()*|Em%l-5Qu-7VHmsdryiW%* zLIZU{rIv#_B^O&H@7OonA)Xz^!lJXE%uu)-*IKeC9|d?PSV-F>-xa?}zV)A2Z|e&1 z9@y>3^PM&9u3n$kC^q8ISaxl3DM__^q|dxrLkKF2{~q__uh8{Ud--k8^p z`!^ky*crA{e`sF(;uw#fxZXw+T1rmSW7|TQAM_Gj6^KB%BFNc8u(Nyz0)?$#5wZMW zd#&w=pNa8a_c!ZmeRCRDWfM|5kFRKt*gQJjnHh?R<@RZaNg_rL%tu5{38D-kA!3pa zkMWbh?a5hvO+lQm&ata3=qd-+Of9V1b`m);R&-r9wu&mc3nt@D_&C`pLB3+x)@lS6 zI|tBDp;$%fpD5qw^gy(6pZ6?%h$-O#HQm87mNnDUb)v9836C!7rFYG*_$y}XfVtVh z7)vqfn}XuMxs>u2bMvw*TMX}TcaWHSa^2z}M2fq8WJ?=jVuK9Fw%D2vCuy8mSS`6C zC3fMuBl`(kX7c=*IF#uUYx?ir-)-ubd{z2zE)BAVmy-z{CJF8gm##N)^GN;cYwUg$ zExS8+f}!pTzZ5*p$2#X9J*I!upTLZx-j<0~Q+~1yNi7dhijJE}f0GCpT6>5vR|N>> zp&*R7U{u|*D@9s;nOIoy&GNpK;6m$wX{}v?LeBv7hd^#e*wgJeR%Du)!LBfl^8Ft?`zNci3$>@AFZ}!Ssy$_vdj7nxF>IKPx`xd_P02bK5@>Mdeg`>`KbHlj5 z5?DOu&K(SXjPhG)Ct2XZBm8#5zY0~hhDpz<2}k)zvtAV2cvFjB)J8|Er3q5p0P_(P z=8idCB+~Z!;MQz0qU_uu+}93{?M;H^`T)>3n`)e!6Po zFPw}P}wqgDcKaM%ZP!1d3qZU0j#l7oot{JJf7;D0}w@U zb47CTl|nx3mdnK!>1Hh5tvErtq ztM^%oQaGIh3dB$16m-eUH8O5w-C(Q1;u6UuDvC?00zBLj9_Th}D-ZyK%?BQ&kYCPz z=i;2o%2{6FTOA;{x0qf_^h(-BiG-You`|JewD$QDYW>qy?0L`m)U#wSlDR>U;z-p; z->LpgZRRuW!Goh{inX4hf{+0DgQ2mj`3Nh{X|=fOD;_Su>TmRNxwBS&H4iV@U z!z%T!nZ}dvux*OtZ4xLcKP^tcBadOUX8zuozd0hPbXj;!!S}A@AO7mYLwr%BnbF+zC1{hS} z7f=U*t&z#GcKzFL(}b}F@oA;&4e>W3xB|zSUg__%z)=5GuwQG8zcE|NqZ7|5h1z82 zircOB9Dinul`L6W^|*k5YVZN~O{*zRNsfuGok~RLJ93UrvFgAThjP4-eIB*6U44xb z1=RsD-I(KW9nnLJQtAfd!e)i;U{%8C6x!6a@d4g`HdVvd<7ClTDXKbqXPQMjc^R}m zSntbu&EfL0iJ|ymWx(xn*VEG!JSm>!-z_zVhw)X=tR&wuqt>r*FIB5;LOq&7x3%7m zCRXJMiJPS1<%Bx!*9}zLTmmIFb`nBefI9H%@Rmq_DdX;};(*OJ!EAYKHy|iJZ;{hC z51ej?@i)m&c|Xg0jw%ZE33{I`C}AO3yn@wt9X8y(#y1h4<)7li_GVRtf;m?uy9}=q zbZuP;XKy0(Eeg$l8_D+-@*!YI=7IRl@b|4U9Iyez6FxncAh&Huz&b;63LPG0WNa$|{z^Lont-o(U?jjI!pN3HbbAZ)-{i z*OLI;4djLebQ`{xU-4A?o%k=Mlo12wgc3{&hK+3>C-6Z%rA@Eki&f8A$6H-$0K0QS z9v-|5^X;E}_8038dUy{pmHt8UiYvKQxpCTYD3wR_oTAY`p<&fcLN>eTa@~V=v1Ijk zg(pm0#l!i@`PKI&e+ElXL~z&z590?i?7@AI_=J;YOa3!8qFM`h!z~7m=7lwpC-I$z z=zlQP0t+3;fi>666N65}fBhaxiFa(S7h3)V-1)5WxK8I!wT^;lg(IC4J-aFX z^L=fxAcF2Fa(y1m^lSu7AbuK=R4*Ty;u3_Sd3EkJ@P`!kVmjR)GARJ#3Np7|M+S9k^iFqerZjo39&~>t;jTEKviL(1B|L>5jYV6A(ZoYeR;}O66jcgzy ztI26x#CM%*;$fmMA$Z?ik@7fEI#!x45c>!$a!WAUG`DuOqEKgyQq=Ovk4$1l0VyvS z_2v0NkiYTp6sUi2y`ZFno=xVC1YX7F9fXXCRfrDD>RFiZe3v5k(bTYx$<=%#9xVT@ z{)Jd-xNGX0i#^cvq`6-IGMx|_FvPa@F~%2t>+@6#+fDv17b4hRk+2}aum_nws*8L6 z#@XWHREd4{>E58$Yx!_K#O{k8g#LYT1cRk6ukWAjexUVu3J$4p{YqK*>TqU5>EXXb zRS5mEHkzU02(MBrbWC&wrbx@{r>{WCEM5hBOf*2h+nh|tVmbfyJCV*>=$1$?@Da@j zlzbtv?M$-%W{`cO6Rpo;*x zsj)r#g6diM%S|0KqkHI|bC|x{*qTb7$2)iqqBykzk|Cvm9Y;R8rg0aN6Oj&Wp~{zW z!>Fhh=K)S~qdqwH90pt2IxA8#2gICe2bGOFC$sw0#NIBhVTs%GvjXg$je zc0E=ycesNST|AB+@|ZH=tUCEYh!Dar2riut$(|obny9y*-_Ne}*;&=PSS#1dXb=`*ekr-5uAJ6d$FQkFj5}5$O1`4SWZg$twB$_P&3N z45kQZ0@caOA-R1#D?^VIjfMsd^A!&ArWYTTScp2$BCXNOXD9isj94VgET^Qng41KE z+yM>OD?7u_-RtK$_nt91b0LvB>~Akl%Z*g7=35Nc%^2lhUlS^@Lc1Ei%m0;8YjQ8y z?Zf(z-(nLnU{MlcI!-EQq;HaofBus$IGvzf$kGuW72`o_iY4(3Cm8v*3HxBmCA{_Hd{}P25r}rJk@Ly`_cK{c56ynn56Qq z_wVn^bzFsX5EV>Pkd=B5O}`l~lk330TE4m0?x#Tx@B}>GXk9hOhfqQw?`fdg1`ga>{C-Yq+uBum^ z=?rScF@SsTs%^Kom`|lGd=?@JWLJEc~`JUMjc=b4`$d-7sA-u~-^)UkYq-s#)w^u{^TVdtAW z7SRT-`!A2F@^k(RnkWogT)E0rz=SRQG?oi>NAJ7)M&6~>4>%CZt{+Rt#kWgQsN|MMMDJQl%U^9BQ3&g$`_k0Bl~45Ga0}LjgtID4b*k{yH)@6NbxPRo zU%@Giw)kE5-8)d%hLx{vnnNA`JuwKe+Okm!w$&}(9eDpc*Cwj z;-Qiw2bFVk7)eD45EB}_2UPUO$8MutYnJ;kOjh3qeu`_uaRsojO5dF=*XTqvEl-xI zQD|D_Hlc3w3-{YhfX@NUB=)A+_Co)?i7cJR4?;_*20ueQw-d)&5LZD$!ngB2b>Szk4v%-!&zZD##P zfAusrcCNU2e(m(4$(XzfBFcO=ZKes>fIU@VtX?`ALgXsmqT3|H(qy#{Z7; z-wq%!lwO`*m|=H0Y*5Sy=!WGNZ|=G@G#_}AfuEHZl-O{CdEGxdd^H|RfSsK{%t*?- zo$^P+b4^>1W6r?sOkucfFaJ)Nin(tn*QbJm?;MEZLUs4L!f~?!yh4ZalDMy_4?ZVw zD7zIud1Aeo8T{neV^!n~14(Xiyeyo&`(Jv`hWrm&PH@uS5^2!yc+yp`GhT`!#3!=1w2zE45(8b zW0m>zr(V_hKB)Wide_E$yx01Xn=couIu;d*BHCPXO#ZWJ!qNm{Y_@<9eVHFKNj8jj z@84aUl{^%r2+gs7(KC$Z&id6?6Bicqx!OvW#$YEI1;NJx49gL$2;Qeng^FQ4faE4` zX3Z;U;N3V;o@hYFH=v0|P1o%dMcjR)927@NTq=rlyGs8yjg^+NC>o9C#@TE;(pSHQ z%)|i7`^nqGp{n^$22~^XutRM{%$k0?>eAH*#~g5!1Es)O+xpB@t%8a@p-RT#`fWA; zf@oMXUxM#5ryT9gv{?-`OJI?;WSPhg+K>ChIN|b6mkz-WA{8=*-InWAV1yT0hczX^ zf8vZ(9(wyQ45S*H>Ng9;M}KS3kpuqa?YKs4Dd<&Wm0Su_70uqm#;xmUp?gYjK>J{qk zy8m}_&Hck?`S(AYvk_i?&6-yIxk>L@q`ikZk%O!>`oji|uKSigs75-bQF<}C9E3Km zl8veJ`S6e@p~Qm_2;^|mHgBKbsWCVF>BzydRJX!%a!=BbS0pB0`dCV(&03fmsu0nM zn-hM0zLWq>1G7*StDk~9h|--*g zfanE()6R;orPXc18aRb<6LO8q!+CnmElwQ~50%@=jy65TsKqlDU>fBzNgJ=5Vy;CAQ9#f6q9JZl*O?%i_-OBHi)D0r(y5%qV+#1XXCO}o>*S763fA^O0T|F8t3Cmf1yUzkRXO~ zb{-EURo#3?`vmJTPM^7V%AMYr-V%MPM@o(z@EZ;h9v=MTO*ejvR6o|TagcJT&VED2 z0KUypdFn%-o^Uofw)hI$eD=y9-WP|ekycg5_fvrt!vc&+3zMBHF^q2^Uw`EMm0J7g*|Mk3&Hl3P8ocl{j58^G1G9>H}(sQK9120?<_>}TjN zHT7CbGxDjPB}R9C&ho0Au)sc=A6)N}xso6qb#l$xFn^sG_328!Ud2Zn+4};N&xKY6 zU{@YY?kK?W@G7)`+L&PI&NuD&&AEyxVJPGg8j)|{c>U5!@qhOUic3Pj%KiwpzihMu zyKZ{14pOH~TFN!Mp*y$E81gF8I?Ox-ORV+YKC;q%ocF#D35^z@(lwQFA3$+>TEF`4 zpJ8g}EG~{YvX>2b-rvsr&0Da~^Ktsd6m{vlb;F_H_zm6i!z_n7ouYV&qbXShDt+}I z(=O<}Tv)JSC!YbWe;MQkw&0F>7~z}CyuZV*qj+t&MR#kArFOg|n6ch|DsOT^<*J{} zRbJq?k#zs$eK8v*8-VIp*B!9Vw4kRHy2Sik$kN35v6T3E@D31XZ^=kyPGHvgb>h(%q#KTQ7IMJL^7v8ctS$BpYbb#f&Waj(E@~ zZUsq_`fP$;>41D-g~1eJ)!zjzEsW8}>R|pLPW9NOPnE#GOC?n~H+U(;dJIB>qc<2Y z_zr3!3da-sc9f6fKv5-*ZA3d`%9cvySg7=)7n6kv!*7llHnaL1q3P(DF6A%hA7BlT z=z~=LRx6h4xCyI@RcB^PT{HW_BmJ`2z1hZH$#amiVwn)qn9s;GE6zswU3c*8BK@(V zEw}Ynd#2C~zr9fFpC9br(DILxOm9%)JT)*)r=h`ol!@MZxFMoFX5x_fWPpU(t2h&z z)dULl_#fFDU^1W%HKy97Ejsm8hM65|^GDxZf2fd>)9IS+UkA5S4c)$u?Ob?Q{m&8f z?K+z~%6ln&O@s+Lo3?!`dewbV2R4?qC147`nu5AvuN)ow>}hjhzMIg5HR~#nNhwh3 zM?>cysO!GZwi)Y{C`>4L8mORnz*!YtdO z=sOFu746^ez=z0zRuV*hFA-zo6?XN05!9(2=kiFc z%Fxl>9jK1SClV!}9^HPyQKp$LVE2DBIY~VydHA8cS8Ss-pWmTP9kkkK!jM zZMvvh#^1@Ym$*f3?u7W;8=7ILXROWW$*D=T#DJ^pl*7Dfxk{7iNvqj=n2iZFp1=Y zG6!rIoem%u1PH+e*bH!mswrNSsgmU1I9pGAi9Ny2)xybtnch_*iLwogXee>2xzjsvLM|k<< zG7j|Ps7ei8flZAQfpB>xNh1lI?pGl=wWf=VYL|?JN$Wo?&FEiayM2G|kgBrM*&GH{ z#ih#8Xx%@<8*f|$hhcxK66PSD+7M?iRrJX)I;3>NsK38&h50ml+@kT1vd?hI<4bdA z&2JRi+$lOme9J@mcW?fNig0ucYSD)_I!4L>ZYggiRN_{O0j3}fU$37Z#rWpz0LcA;biZW#bT)t7e`zek zUj}$T!Dj;9%!lIhlLUj;@gvmVXOO_mVz zPZsDdh;tm;$1}<`ln#}cfeRUAbH|?{Xp)j(d4=a$gx%eC!rg9yA z3;c+^Nd(HywmeSU(V0W!hRk|FUI+ViniL+{iy+ZAzc1G$Bvin&Wy(H34~Yk>$F9 z4uY`;dP-X~+ZS_?%z3sPFk<^Ko}oXdx!;Hxy($t>5W@VH1VG9xAK2L4H;3+L3z?Br z5c7xxgfSiS^bN8cz3V^7a4VG|ocp$)Lq#1g;c z9Comn>*xZownH!#>dcyo=?~R;J~7t&T4c3e&p;Tq{ZK*_Soj_B*tjM}P-saj$Ahre2Yxep*k)F=3fVd~{oWH;x1+@W#%? z4NSNcLG&-Si0n;g44=wl3O(;b-U)Sy(R=pd01$Ck4sX_`}TynLi_Ww7c?)CE8msX^j@(JKr6>lVO zh`>jRC3+YeVs$5)awqoRrD(syvoBhF)U(3Wl)iEA@_(4WaSt54i}^1su+!J#Kgsk< z0;gTVy*k^VR^moewqu8blh9Tc`@N)S3V^VFl1II;{4Y)qSW*Yzu~T}U=}L~op*zyv z$L$ZbUN4f@X?wcyJuNM8MaU|rAfgDd-qi;#QGDf5v1?oL8RD0G+%h!F0PnYp+L?e= z{m>_MVnzv}ya%j4+6Lgob-p1ID!08xI+!?=GLvk=DG7{jBEB1rhDuBh8a{JL2HLv; z21l(*G{SA|4Rl1Htjl7WoApCU$PKuWmRC@HDYE)ljVQ@XhTGlF35xN?Y~2vMPaeK$ z1)>E@+rhPXJ1_))lbn05|5;u`0_#O%=xZ}2w$$ztQ2)jdgtK3pfx|uqZV+J&q*)n8 zbmp5^Pq^OSt@sl)oCI91SruPn@_Xj&-o2<3F=8PjVv=sfz2jf6^#CAPOO z)h;LB$>umsV|Hx-)mGF)y+^%r9Po|;Uu_b(ODc9(7GwtxDOC;tFZ^_hoS&% zE7*7?rC}c?2VT~5h_`x6SyJ0h@8e}lx6NZ$mH37(d7$>q6n}3~;5c>CR-n-uG1+B+ z&z7-X^~Xqq>b`>M57_Iog3n`sZ#?#}%IA?eSF3{+JYCfENi#>5t8=B#+LPq5;M~Gg zq6u@B;Fq;-1BM*OC#Rjk4i5d9)f*IlYxJQ-bI&633%7~2kP^G$*1|pBzrFSfp~cCa zt`!>uLpznsJ0_$A-iAZc`cLMKt2P-Wq=es3I96sy==V(cG@h0RX`{=5mRzma*|=^51OF($>L@N^@!$ zRidxBQE;&3Z&G^8T-%<_AqNEGT?e-zYdgZf@;){&s!=4PUHCPednJRmDz%MqbuGYS zx3uC*brq-x7rvcI0*4td91v$%VB~9y4yeTR3>|;}1k9Sgr0T z9{Tti;t#-N+;0GCmAn}b@i`Dg7It_ai4cwFk7{XKw-Fr_7{jQxE5!14>A`vrC$1e6 z3(ppZHlR91>%qD*hJ^~l=(k#z4lKN0(yjIhH_lA1RuuG4rYrV#W?xv| zxf8lc3!oUBiYquq-+}q&5dy}p4GMak*UaH0oe_nPg4Tg-yNZ}^;Bt;OZfWzVQ%-L; zNb5X-{;JE`+Adj}d@WQQurJ$U5h|>jyLIzQ0n<@X%Zco(xp<*%e4H@8gRrvln$jl^ z2VsW~DKy<~&iGk~w1Pz8XBoz1S1IqkGiCYBaLqvCour?qZs~B%Y^DNIfm(PbhiA``*;TjUML>~m;vTQ!%1lN9Kt<2&VP)4)nvlx5cIe~pTw zolmI1_p9cK3hwQ;&OoiBkhk~asx0nSm^*;=(^h|8RxsqgmWa%OT^p7Gu7g`BD12Rr zJz4lGXUdmD!k}A`DSBhC9$E5wq~Z8ukwP1%xz&MDUmbEk?AvxvQD9?KShxkkn*r*U z28N-Z^OOkt#0ptrF?aYaPN@OC{QJ6xwnh6d2uUT2eU@w5JG8iEn|+FtL*I{I@qa~` zXU1V!F_G}s4-{(;DJTE=c?&?YK$LdP(NhK5H8@0Y`+Sk?UFD~%a4<@sugQ)aVav>1 zt#@a&E|#^paX*intlrYv?6KwIjjc!WAbmsW(3OZX;psK;J;Y%ysiNV*wT(cIi>&)h zX2bY9C+eGV%_S~Xjb#UeG={L!g&Wfetbmqwe<~CBVO@PfMQ19QrLA9eY+!NiUs5}3 zen*2Qu*bHIsD5QAYKw>!kiRm_aIZr5_*f-|wb&-M-WrGFV=aiq+=(aDk~)Ax@^*E2 z-0yIH(sB?DkSxUC84cY%Ra68mx1UYeR{QK$CX!oz{&{jfcr&0F&+Xf=G&6H5oBO2x zzksBzQ${;x3Hq}(58&^_`IBwW`=J&8X7G2s%=2+~Nw8VUkMHr^*Rmuf{|>D3Kwp|V za}~={4Dee-r95FgaEeUIohpTsU_Tu<9pZm56qevoLsl%W zMJU?ecMpmq!_Zkv;iNH#*iy;f8iwbI)4v)GB0yjQ)#ZeUpYuwzu!bWMoJ zk(~wXPp2}`{rh;o3%5R;Z2U1{OAvk=o>H&|vh zH$!S{hM7mv-?xjCY!BF9fSho<M|x?yHyX1rL}&RL>j;X7oR zb8`4<-+Qgg5!)RJ_u6mLqGR#{rxy$G3h@*g zuexY+F=YQ|`)*p5ENA*=JNe^D!M?`~#fENIceTGkchRnW4-kP`x`*hi*}jqzowToA z#3p}+e{L0|R1|(mT#y?$eD{w11hQ^rYYpy|n&(ZNAmIgb>ZA(e35)+U6bE>pWDm>2y_8eMdxS3L zp8DH~UcVIKdzE`D_m7fLt{vH2R-YHJ%C7yJDcWzm5_qc{Qj~6{=+r84{n$E`u!C_h zxxB?lTW+3+tP~Yj{h_k-VfG&ygScxr2QwYD2n_F9(j)#MDM+By_mzjM4e>HbE?I&A z25bnXX^xKfi6j|W!LBJiN}g7q@*7~qX=wb9=lr}m%RkL0=KazsYc#d{TKpOt9Af=+ zX1MsZJA#`^mxlwyh*UZxetv;0c74or_z6Oj6QkcLL%KB5Eq6M$02O1&T5!}QtEfVH zl}(M($+uw zw|*T~ZiO@{uAC0}Cgb?$i5wF??^)&869>UmI*?fz%{8KBzyvu1LMf7MZ&i^$+eKbYnbQbrbvDE21+R z9)e2dHzUU==7DaIvZVv6<1+}Xgrfy5gnFn_$R)mq<->YKsz;1A^!P?I2JI$Yo_JR= zCSJk9fWs0VQgV7}^XoK2e=JjaO`cCie~10476Zin$T1sil`VCqcE5P^;9#gj6eS() zgtTomI6%h?ivFh4#_BHtZf(;#4%e%~^bR!;gSL;HCz(`iqVD66yU2OV?9)vdCOdcr z%4R^Gp-;DSmF)21X;UJ6p;aT4B4#I@DAJCc2=OOsE2}4*tW1ogw_aV0{4yU)B{3!? zn$ktvMk}mpNp&u`1rxqs+wgdS{bVvE%(-hy=?JhoETHF}L<(kGw%6x<_Z}s@RAK|K z&v98!;l2w+09l>>h?*edsZ-`~+lEcmvEL$7?;TU(?^tpt-1>OZn;4`0K7c5h7)Op9 z%&{)qNc3jT62UyU1nJuI#XVGx z=AJrN*u?{;_mui1Zvkp{+-MF>7I|8h>TP}1g5`>#-Z`Dyjq_8MRflf5)c0_jhKABB zV2*;0>0K4Gsrw|D(a|uT2y&G3eia|rr2;n0s>!Yp z2~Y|EuvPs;r=1)rnd4&m^1SPX?43b(DVyn=pZ)`MLLbibK2g91IX!Tx*!~%@j%gU= zuQ`BSyppI1U|H0MIgv7l1p5gJR}sc#pv!u7G1ykig~406myJ z9Mt_q5ZO8^Lp*(~#!=DkB0tAMfv`MfMoHedJ}h%rgz~xms)-_SE?~x9m;=S|hyDn3 zg`OQ_llWY_#&vXG^SWmIVW?qsHtZt~5A!!y@(X;7k3B7;8TRa~ccY4>zUqZwrSnV7 z)Z#-UlSdt`c5}76OO+M}y3y>%6memrZJ#cYs{ha=pfTih@yD#KEYL}l0JX(hl|`*; zAmun!V&w{Pw^9F#DMTts#YuuxT;Q9sj&iSyX}rfQMK!M(M7K-afOd6@I}eB4wNu%oUW5a z+q}K0>eWg1vadd8LNd5+* zdzf31YNVqv2aJ+iWL$Ih*y{bS69a?*C$L1J*WUGy-+5DM!$P-qC}`eFUeZ$C2a)m* zrV|{BBNG)RaZ1LqR*S+}GqoeBZ znAT5<@*~mb%wwWNI91EiRQ=o4cRh1rdK{Oq#QQ+DMYv(jV#I6fXr6;E@wNwiUe6k=SjpRUt7%Z_K z7E35u9Z7M}78WutnV)SlXE^hf&fJ>e$ROED>(>RHJx!Rdd5O#}Exir~ALk7d*kn@L zd54BQYHMtfh^t7g7H*E~u6G0M(FK#zKz;>bq~yeK`)|a`W3vhW-k)<(2?m0HRs41W zlJnC^a0Nqt=c|Duwq!d=$6FKUwM>P0!yt60-Q|$E#bd7T*X=ew0AGCU?~l+7{rYw7 zZ?mKZh*bKj1gadb&9*X88NE(J%)rYU1@ZL@p)84{i_CS3fOG@*6-?gcI02#ysjaZb%a9X>+io_d?S#TeXV(DFq+6|x=MaBByp6g{E=u5OE9aApZ|YHj zPtEZ7m;>R3{X1k*oQV@Hx;yX}8$4$T9z&*O`3%2<$7q9ITi(bQXMl&-Wothj$q!^} zdS)5c--P#_Yb=G6(EvH7F3W##Fs9y1okZP-&bLicVe%O=(RHeT&kjtKXey+5k>Ohi z9jHiJ1eX62F6!eVL(o!F2f{eX;v+mxbXb%OYwScQzt1wj@dIIt62j#&arU0h(in}T zIRyCVhsQ?SWmBy5mOpV z5(P4csvUBJiQ3MMhl9f9Gfqw|-vwIksqY2DIB8mxUA~_1QVDyUv}nPWXe%~9_Gu8@ zZ`xC74VTF}4MB4;NJYi)iH!&;r*EQ23e5PffeKdA*4QCZrX;02EW~Ogi$XqptG}Es zq1tHLP_nt@(^{kz*V?C;={oiO5ZHx|SMbD$R0uYeys0yW5th6A-DPsnbx`Pe#wCVZf%* z9>l&u5BHlWyIas0J*k&8`Idjr)`~7ocH2KPEe8S=@qEJ4-`no#qK4YOnx>6k)@{td z{gB#Si^EK(F4B7W{@n4ZHYtL*Cry4wFfJV>iUiD^1A~yoJo(XPXa9WVzrBm~%T$(M z2q{byI=bL{+eOBjSeU03hh4nFN#Cglt(Pd{lKNDOL_$?xL4_xWgHK>cW>LAy7s5^) zgLOCKnT8kL`uJO=*);Oz=+YO{SA3>Ig)K|+Sv|m~Ne2h(q|xkqSs8sqxC-dqq6aN* zp77?7{_->(Jx@9X4rIX-zFEnKS-tUjubasg2I4g$4$7UBviYe=2e)QJ$?>=2r1Z(3 zh*G__lx-KT02lQqeeUp9gzFp#EA9mbL z7oQR5JK~Hj9f?gG(bC_evRSSN+IJG2=WwBh>yWQeL6H&Ab|Yg@JU`E_nGH zz8}bo;$+O3{7DzmBW{rj^MnnbyjS?MJV|!~FPW}J`69ZN?*w`jQ(0*=kHnKN7fKA9 z`;9M?UZ9ujruPeU z7^Y~%PKD_~9|gYG-YOGzDJ39JA1@yHrq^s4>0zsSz^5~+)k+VkkDh9$N*X`>L|_$y1^5!2Q zaruxS!$-lRjck*|B2Kv~K8##OR*R3RY0~DLqgVlWG&rcOnmRA(?wU?q?BLS!z3v%g z-5V20#fa|m7bI6EZypo1D3#f+vv}E+hO+DM7$T#NLfF@SZA)Jn6mi>crmmn|EV|EK zmVAQe`e_+{ z>Fsgo*=ZV9a9>r0U2$04*HaJ{wr&~!a1?_3PizTRvllR=r=8>M5=j}ClMSXQF(qM` zf}l`)P*{)OFKMV9Q0+>JW&|>LA063qbU~BCM~P_4GmY{r{XU4w|+~aLZ@(( zTK7YmkA6G8(MyCX49wkF7{+KRj50a&#CDbtoafc2oHHZ?v(|2iq-Vo)_F^aAy=j;+ z5J|VSq$$4JIzGVY9VE-)6^hGvC#g5C$*lj2%j(_Qt(M1%8a zVhrNQS%5vg_mjJ==jx(-pAt`P{>!?uPmuPxJocznEuO`vf=WRzv5=;o;9LQhO0-wj z<x{=ZmC%aXDR4RJb38hT{=%RUc9j{UII^2bSGCcf{_wWk)Zsrz-l31#lv zVR}4$t~#K1nM1ZWrNYft!YCx}Raf=uy}8Bw_9a7)AYa+q?i|vFy=3mf$v{zOfj4s4 z{Qjp7?xoznek1RwENiS-hD+{`gP?V+dvrcm&W0@EQ)8|RaYkY2j{)0qF2vg3X>C6x zh?#yO9>3r*n8UJst=#?a^}PLH$@adPM22sm$We)Jpi7-mUp$L^O=KCYXsye5sn78S z1k|`%JX0%WONGn@bI3&LdgkXECH-xtl83nHNH-@J$8HDJnypQk#vq`_T9NB?T{tg*N#(7Mna}z_+yaOXb z6+JvXg3p8a-=eZKYc$Q71Q89FL5+df^o5ZKE~))qsti3SX!qh?)*7pf5Kr!Z%PMbj zxq3n#{}#5#dk~Nv$!@Y}VqX4>I_z6WbB}W41fu)HY*Yrez^m@Qn{U!2Mdx^!zjQoP zy>~l};i>bFo3H;ZITQ8xkl2gQb#DZhGl6J)ujF0IQ@wMs)*=%kr;YT5?s^m3)S^E} zgsa?OH1*JG@D99;)cif7>+=16zQmQ1_3L!#z-0jfOl$88SNrZg`&&OK2xu^l~*pYmY6f1JxGb3MkP8F61` zDMdpLY!!v*#zq_ckL+|?Eh1=ZLSp5i`*GY&i$}pGHw;OO8cMO?B;P-5K>cF1<~5ks zw(|CY8$x9v@okh}Z;hJZsr8w0wXm!0GF|E{aKuf%K{QUJtrZoN zip%jmFVqzkB-r}j8OAE!jWD!vB5ZY^@)5dKz(aWG90ty0O+LJQ_V~CBNLEN)b?MFj z5A^~t2-BAD3ret=Z}lvj#dOL_r-ZFa0;br7PJ=;R zj_J%B@&(YqKXHck(+o38I&FDrPZ<%A4@QAJ%BT5<1}0HJXL7e}a{Rp1WumyPS~zF9 zMLH8DYbUX-fw%vUW~oi_k~U95Et&-}AJH;6YO@)O!m}u!C))iZt%{_`fB*uKSJlX; zpGm@%DVY$V0*I}{iUPgChHuD$h~dZQpby4)vFCmVqh!0*-@cNmd*L46K^%LLm;Gs( zRw^tm)!^HuCj?A+#oZsfHJHA4Mu<}*Z(~RW%lav`0%u3Wzsim$#}v*zezsfZ;XKAA zU+p)4_s8a&LeMc!u#o@CL@qVSH`DHgOIPeoG)RAdjC8QdPg(>IP#bJpftDwaQZP(x z7#+@e*DUofTc6wBAn*u8qt$%ChNko8gQ%-6wzx^~UwWPtkeggfwV3D75*Q+Ss=`;@ z#y(e2#ClQ-$q^^gO=q7^-|}&%*3e{?!WH(;w?Y!?h2X`M+I4qm{rqNnK;_EMsHlE&zPoNuKSAUlU<=b zJbInl{$|Xp_kKy^r^x^jUQJbPnw~6Lt_q?nlIh;O<-=4KYu|F@F(b-ovP@6*RXEl`+i6oT zi5GTWT}MAfTGg%a6=g@S8zJLf^bEeBl(ZPr~I$ef3L zkejP64KG#dhFl$~ai(^X_0i^{8kv*Zu&z(Z=Aux?v8h&if#Q`3eqmFOh!JywdYvKP zQUPnF?G2q*LXz1pqcJXD+1M*|&e>5{)3+GGeQk=ghu(mxUxfQY5ZnEIA5`nD_tW*1 z8WHtA+Nsw#j)r}>fuybuX(o0{;Y}BuLSN8I>hA_qjBS}hu)tpkW9=V zM`?TZm%Fx_&mvRj`$4et?2Q-p%nSeKl5CRA4>cESFJgDWs?JUi(sj=Qt7tQ zxu=#b+jhabMO2o~UnAm##jXIzLmf=P#?%02A_8iLf{ctNjs{L8M3@cB1Br)q`)t%6u9+4?**xAt|(r@My%4f4$l+-^E{Fme>P z(ZLAed)4(WQz+HH#5~cEg*%_9mqgse9cweWVUj(oS_iN2k`k^d+01R9!Mpa^$ZvXx zSJ>5ii2X{wsV#A_X#v7&+)BumzJ*@1Yn@@E^N0i!Vhtyw7kb<6~%zP zGTl!Te>>CfZj@Tv+eDa~MELpBk>?274eB# zAW&N;FD$RQY2g=4W>i_{)?QgdFls8L^eLg5!ReUght|pbl#FX0g<;G%^;0Hz7I?H4 z)6Kuo$78gE%K76ll)$>^bPkl8aE2vStz^zpoLU?15D(`1$f;S8FCe+U4vE8%Z7qe{ z8U~w?VQBD<<+66Boxy<~=6HRMr)Nf<= z=s`qigI~)Ek+m!{Uk})Wj{+=oZd@@n|LDQ`=LJ;T#rIvbP(Kr^pf2KI^mLwU__GAIX2pHy=^=M^cs#lu)Z{ zwbrpwbH%7|)V@!OsF62&(cuhCe0Z~b^DMSpYDaE$W!qC1Kc5!TEI$<{`fEJuF|NIf zUy_AFXNLi#=MBU`wHIy;tfLl z)QHV1`Za636&cCSWmOPv#S^Nbu8zK{S^S&}G2sej`LT6T;%4^8+BWN=V$apDTD4qk zge5E9Cm@GpL~HFQUTwmS@m%S?fO_I$zWMcXlE3_IR{{SguO5eUypIod?I`oy9mwdD z6Y$#=ko`ALLa0FQ&AiwWw3|5b5xsampZEqb@jS+B@m0)8r_6IP`JGColFn(!mfL6{!F>RAOnr>*LX_=t21|P#^S}Ru8A7*s_aZ+2bXS- zUq{`L!Cb&@2Fg_HB0x&eNrjWU(u$lbz7R#`Bp~^uNIm(o_MpWO7g&;z3!zT{viS`3 z;9u9$F9%&QN#54x9+%itcE6NAcnlC1nou3P<=?}h^}%7~4?03mgFC^&bK=3D%0~VT zM|ll4;JSRG%c<)JlA`lfKNA_C;D5X>aaZ{87ml=h<~Y3I(OEf17gcy55(SXJ{S|@j z*QNnx?ZhLhCagvA@r7=&*nBSNW}A5*ny+C>LpIyId*fj5W@2i4`vrN|*>#3l2sfnE z7&4eztG@js-OGpUZ5;!AiQo4e(z}x8`XOHyv4}nDjt26LLAVNkW3hi<$lZV{gCdQB z(9mQ>Yg@xZ4?)4KxYNjkpqK(q-2VH||D6yyNaG4JtZA*o%j`s!)j9L*-TM_Z5U7&h z14xdhm^xB4tFm)A@y~(;C#T%+(gdQX?!@!1wylGqDyH(9TnOth7P}O3VJZq|-nB)2 zAx!#rheey@awaFC_?C~67%4dZp_0Lz?h>)0UsZmwK;k76N6W9EUW?f$`7{Y@kk{is zEqYvw7t1FKV7fgIPinP#8f7~c`*Y6jr2qEaocYzp7fav-xSQ8K2aJEdr>H=RN<3k` zg_vh*)kMe)Nft{!*2wx{lmsZpv{0m-Xk%jC*-A~BifdR<8>ZMso3Vs;P4rkhH4B<8 z$UF3@E*syiMF?^7MGC+W6z>|$Yo;EJW_h+|+iSVPN{o}*$wO|U_z8pU3srIO z6ZKYZyDar^4~q%@Xa;esvbQ%imjC)KGiiBM($L-PE}(KQHe|sVaJ0N$ZFc{0uART* zDjf%(@HN~orq72DQzwgup|Ledc=7zUyOmDk*ZOpQaG#~^yE^-9dvKV?9c#|mff^@Y zx9FGwZh5=Z#iqUPU%~|r)Cz~yzWRo4COSwH9dV`>_9KxuvPmVkm*;%$()LtUJPsbV zXEkiBl`rrJaI0!}yXrGZrXy+MUuW>$R+PaHL){58$HC>+UjE6S`R{+O_jD&1kvy-7 zj0Ln6TDVW{NqUyC2MRT4s+@t@gDs&elsJK;a693$vgEyJSw?1$^XhK|sJWUhRhUKA zbRHzarOFcW2A><_O|2-X6DPUgLpAo2dCO+W7b&SV1$8ucWLsGR$e7b@@?eSMW}oQT zG}bs7!e!(vpT7shveEvIKGiZ=^YX@Tk|Psl3RS-+^q{N9vfRuT3_I2g6Lcr$?bNOK zN{*VF2{gd*F`%_3I3GJdyj@#6N+F<)1V}fZFvqrA$$yILe}_yk{Df87lr-G3vYu_z zP1G8U)hGTBEe`~JJ3~5@1PIwvTPb@`&?jjGJyB{4`ulubZykE{OA4~=uVON4N4^lu zyu4sff&rmOigy7g>qGe_A*#KSlgj$rPv9sre$=N{4H3>;sfD=NnM|h|c~LC*+aT(m z`_k^zBki!0$vEDYso|GWOc}LJZUz4J?REo)K#GrN~Z(} z%Ih2i*4vc&Y|Dj^VfnmG>-0&oH<5$PQ0J7i+W1~Dpl_I&qXxB6mM^I@>g-frLiF^R zc#b_b%yi4JDOFS2D(lgydq{ORjwV6`0NK=i|(jHCBs!3*ChzYrw!+@q`be>>|uFkyb zBU^y$vkw=~IS+X5L4$VNYf{+ZX0BU|Y+~7vrvkN~7c^Q7y*tx3t{H@rKKLj`RCXwo zYoOYNtdQRyLx9WE0S5Eei0}n+M=gN@k&W%%knqb_|ok?)d_z z!XXS0_Bz2urHr`&b&ava2Nt8>30J3Eh4@{%m&<-q+nuL5v9GkB@~IF6bUma!LGf#1 zAnqsOYG`dh@hft122hpK*%>Z`e7$zrXYA$0djg~ky$Zfr?eokdPS)(lO$hM!Dvh}e zE6XJW$bUk|rYV-`T)fGoYqsS_-3|Dy*JJ4hf zbvNc8_L+7OqGSZf8Cq+v8QqV-?CyzpA6g=T%3TlY`*bZ6aoBNd0zwFE}g88S!O8L|0U!wu+dr4C{KUt7fJO9n$xNT@R4elD+?#;yTTJ_Wole;1zg$Z)Z#2u zG!)+~u49ONU*mIfUZRtjfcHH+YGT6p2A*thT05lo=Rg}nS0YrpL&~-pQj+rQqnpSY zU`yAGg1-+Qe+1))z~$+C#&7Xyh5UXL6-(L;>RPJoK9w9VmG=M2Y2GJoEbM`KZ2z{` zs(HIee0c77Jj(fd8*ja}N))jexBiu=@&C67jrHe|4>8Vh9soW$rjJ;wagGqqk<-LJ z4i?;&Y0)iySU#Em`aGI;ExAY5t$3T}7BG4YvqD)eoV7(Ml?o%vg0rL~MJ2~=+ro&B z%-Q>zvZf$`OWn;xMy~SRlGm-&_gg1`n+YocqNZHE3sEcu|~T*3U@JuCHrjoFF0 z=mOLHvvSwVp(A$Oth!l!*v?q|YDTPlti?-SwbTbloloN#uyiB>(AX7La2fN%Vs?GKPlQo#Qy z8z?6qm`Z6YUGwHLL<0DX4NEiJ!I2)uI_6mJ1JEEIh)p=T&RyO1F;~}Ee-hF&kLZ-X zR-DIl7XWdeNtwQmF6-PN+6t|tPA<^VUv}A2dX90O!I!BU67J#a*RgyZ z-73Bie~QSIOu5yctHXQV$@nqF$7~wgJGxoI^`(`u#3DzOfeL6yH^%yt*fv6+j|`op zpuuz9ulcR(Ek44mjI^XMkumN0-d9p4ZM{flh_^z3nyW$probg9dDt}zP0HawB)U|f zI+RhU;k&RN@ITUUwT~(jKVKh|yV) zv+n$tXT$p_xlHct!o6+Q?zuf`uN%FjsqR|UtfLhwSXoLQvauA=tpAYR$BhybwIW2V zK0{vp0;c!lWO_FccUUr#i_34#sdd9(A?_N(trNv&SA@%)SsV89NcTDyM-LsCl3h!k z62gKd2+8@PFjGUHopBPVSelVG?bHfeC<%+SmPu^0no0mD* z?N@xTl(0b-tBM|b)T~59j_;>t*XXNl)>l_08swk4{o(q97>JKtWGWy%Rfb78vu`3! zUsTrg&_O(M!U|dT(Vy;Ir{is9r19cYl+)(ieg-CRD-?T}?H$l8TIPmB9L6#IZ+l7; zwkr_#J@v#laGqo5oLSz{4Ak48p4tDES^D>C+EIhk_ijP|-Q8Dap$`Er4uX%SvVW+7Vuah>rBJ=am^oV=P9!)%Qn{Q;%7zN*35RYd&rB=5W zZY0;tdZaHIR$+NPnOtm?vFWurII}DUl4Ak{Bf_1R=rXMgeju0ZNwAS@-_+Gq4FL{n zXqkr|Dr%J=khKxtz%;e_lFBKCU?(clGCxM%4>8}ilKp0AHk;&}D4!LtQaSTB3j#XE zev9*7n+25TgSYaG;3tSta{p3qTcuEUraI#zCtvg9=?vPG@DmLh4a%4l4;L{VDM6VX zUTkDBQYIIc;vslo!X1YhF>2obeE)@evaNtlHn6Hk!SloE3MMrk4S@Y{_=jy_n6p#y z^MA~D>Q=wQ-&D#{w^dm?mEA{#qSvp>h2+tcgEL}1EVt$2)O`79tZRl#Srr8u)(v5% z8U*?NA)hDyK5H@MpIy-xvl4GQeZR4N;}Vwo zV&6;h3VFGyhcvuKWr5MfTlTg0<{PB25c=euQM0N=TUfQm&S0-y;u+my{@tu8dFrn5 z@7jL=m<#|~zK)gWZ}UA%Gu~{Wt^?$YtY`&Mm!2hGnETbCikV|#mK^HnnJwl|LM;J~ zDWOL5zZXdpVt!Fe?r`1h&p&$18x35K9(4ZPKJw$1=Q>_~#45keD2m}&ZyHP(sxb5Z zwXnT6qKirzc0ORM*NBdvN?Wkw z{w-1l32?x&D%#WdCQ4F|E_*27gMm%C$cIo6*VX-EXi(4u5foZJY)%TG@f*QP6z zl1p!B)aRDd3EsfJs{;wrGG0^mB3)!^f*W{KX~HDcH`ih6Zp}I)n5toA-WI)a3gbJ_ zajH7dneC_4HH+sL)HDD2$=h7uS`tgU_L!!ByifwDQoj;^(Cip;RAEWa<-NAGAL19> z6y|jS8VSN#P1n_D`SAJiwCM0cE%vCgMsq-%U}jSe1+#cmxenQx@JClC0@hQ?By+NR zFe}bln(84QDOXZ33b>-B;#&t!-Y-m{Zq&=bGRzORE*<(-bQbxzr#xcA01vU&8O>`< zC>VY|_@qarpa-`4z1zD$l$wn&!vtW@x!r1R)C$-xd$5uDAXBlb&J?SJ7?P*L5(gO> z^?f^9nUg>yOft-+kx4@n4K}MgJ_i3oY1jV67Z3y1|5XtzS7WSO@m8ra72Aq&b{;VY zc_b)OX$tgr$_==-9aQwnyG*wZ=L7aa1R0k2sywf$VEJQ5VJB&J5B8#tZf!YsY0yqI zz?Bk}I}VDP^N5`hD{1b7%|AD=uc@cUsFr8K{;T@1MNsjoc7JEN?qp8D#;fUE3`J+5 zyV$TV)2DD-Yl8C+l~?6VUoUXm-rnHPO-dAx0)_y7srF4D^GP{eWf;SOB0Vb*Zbl;1H)I1$Hx%3K+fFfPke-Is-nx>Zd!)lkl79&Ir zcJ+3Sg0%R@?4G}9Q&w2^H3I-s8gG7f@$OFRLkK5JwxJX{>1AYHH+VcdH{WH-r<`F= zV1vF%6BumfKTSW$cjT?jqYv+Y@Xfuy>Vka4C42apn2;GurUn!8=nZzRlj6Uq8mWa_sU6d^kN1f&KC5f#mwMbIJTUrVwev1^MW#Q{hIBuh-(UHki2_rRL6cHAyHeH+p7z}(>I_Eo!Triw zgC}w++q3pXmazfX9~D+?O>+NT3x;W;ACT^uXEY~`M8=dKs-OK*_B;pZ%GJK4NR=^F zDJm#~rkOL?cW^E(wkipg+UuH}TVG_U2u(QhG}Fh#4b5LN$oen~zbk$B(#dF<3|1}N z!;RDd+k2#}csWr){LVs?^S_PnC>YdlP!t7E@alw2^<_DM#k%z$Ae%Xj5McRA!rp9a zV+6Y#a;MSZ(%II^L8W)Aor>Y!3rCz1?eQamuZI4E=NISd2k6Mdc7B4k_6*>eC5kB; zApE8@nLvmnj=DshZQH}Hxc!J|4G?S8SrKu||94!0gs9wP`{w~ju7uH4oZU79$18N# zSpwVv=0=99-|Cc{vWPqJ#7(|N;Pt)CtEOPJV7(uIw(@KII(OpMVeB_Lo{E%)XM1= zJG{fuJA9j)pR;tA=!tgqcEykWW>!33qy_a+}Skkl_{f$p2+s_~i4BS+eI?gTo zD~1vz05xqKlS^eKd)PzHR7e!?;b1%+pYXs!P_1tabs`E(`6Y3TR1l3JsS$)>W+&5X zddIZ`cBk*{JJn-M6`7#J$Xn*%^(0}Z$Gd}1qTxa)UW3-5CL^9RM$utemE=|P$7Zac zumKV>N=Mlso0Yl63*OFpXNj(hd#rX{2tDERaN%R`)`&i1G1D?t!FGP2il(6P2=DsN zKfzND69b87$_>yqkzdx*KXxV;r#@v|FCWr=pGIOISK>x4`+v2DbxI2Bnva)h9mBx3V zV({!uS*Z(7y4u*DhROm-lC9O7J5j9Rn`xCOU-HNv2vK&sbps@{{%>U=9gQ_*vezT; zCVlC02(=(Fr;9hsVM(w3Cs9Q}|~I32xrC{59nV^CnyW?45)9aVd_GZ#{CTZhEcOx+;{ zQ${<*BJhDW8TuFj0@r;vYA)rQa&Z8;l0egf88mUp2CG?p5q<|^Z136M9tPEzFKNTJ zN@54Yn~C};w94!0S}YW3Qv;`%>E zCeO!DA%u)E_@)Rw@B&;N7AekStr6-uPg?E3lT;Y#Y z)ML>wNYW78`F^RvI!nwuNXmZMKI#GqoS@Fm-qFtcVP3qNW!LEulp^Gos+fWMGD7Hk zYhQO$EFQU2Wos;ZhaSgnpZ8M3LxPs0s&*ax2#UI(OyY!UL@>)+MP56^I{{Wxcynp@ zx}VtZ;wFpe7H+|u!@6jnDF>_d1?N5v9TG+>q%i7!2#gKHE3UzI4b((r>`SYrfPwyL`GYX_BESUB+dn zC(5i@Bh9VOc%Vt&ttuI~{$yiM8A_4pXgH_|_!zK>vC#PDe{Z22E5-&g!X=s5G};URG((NOQT#Q*EQP+aHT@0oVV#4PCEwrd3_j?SrYG0n$!KkLhdR z$Eu7&y^l!l&iZduR{F=Tj4$a?r4p$tqw-kz_XE&O;&{kJjBfGwca-9HdYOYfXUZ1C z{x!`Z&$BY05sO&1+-v@`$mvUSR0Z1 z34LRaxF07B`5FFl^&2d_uhE>>^k)6)rY0t-_HknMD-Ft-fPdmJT60fjdr7oVpoTa% z49~<6_G?y}p86a11oFgT(nzcl%5AU1{A{#rP$6Z+Wv%;IND!Z}d})OC?aX?Q3q~4< zht1{%Ca;&>cl1nzC~$I9cV$mV~@E z5_Dn6z%6!Q@DurrQvL6)0j`b~a1zV1CH?=z3i8DBivYf=(` zyqV%%q-?QC_sTHVPb5^`J@Y{8 z55t~_iA!C?`S=pEK^J;Q6n&jJesJ{ElA?<*wCAs(>JbJ*+=fXi?*T)?)<-%=R-x~^ z_r;CqolWnHGw0SQr^tM~in)ZOKi$N-WRN1V>z)eDYt192d2BSp+GiV)z5eii6vxE9 zmB);Y6E5KfbI?XTK_-?0k-&)Cfn{iJOqH#xrqKLEs&L74J2~{}MFq1*rBB09MFu5b zN17TNj+(y3aGcb0GvUFfn@5P^=8Wfk+KW8K@C6+~g_lBV@k=8L%WvGU5w1ldg~MR97Fy29)3EGJ&}?cF!b=~XCJh$n@c=QMfE*%gJ@amYi!g+Il^ z+X_(@Dw+p@0-)^o`>(;k?4t#P#Ifm}+|6O}YX9fvxq3;g79j@q06PJfy2phh6=Ubp zoGtkWZcF_Pe^uSxQ)ne3T=Mrn&9w<8*93(r+AT*Xe!1$UyP6YcA-0Br{RFm4`7GSF z6E!iN!@A)$KdyeU($<`4TX(Ryggyz^<+*9jdqZgVRu6S?;r;Fo-l4s|P5iltyf;J4 z3tx^kRT~{Dw=Z#RKIZynxY=Sib|+`uPdA{o5qg?|w7IstlN9drJF;V2t7ElqK^o87 zv338-yrw?m`o~{`GB{mNj#2jMqqThN&gE@GC&(^P7i@f{x118XC4J=WIP(!=ecz2I zGaBpET6()K*!>(q7tme-{0~SC5AL_I(l6>i!M}SAqSQxhVSIZ%YvOcam-Dm9&-XDYU`w3JbiU*IeoR93R%^- zTjy3<4L>SnGmc5YZ4p4td!Zk%!G-lZ<(DY*0`N|b&tThRQanvl4o;o#2}8l7uf^Ce zl8~&#%^x?O%E%9y=S&&urun@h<>8zA+}8WTzOK0y~e^j*fMwRa3hb?5BH@g0D+ScdLTd6PF=%eS{ir`Ls2 zM*~xrw$3vv*rMdat@|<|*0_)rKAR)m;(`v}J^qT{StLhoqmi^^jgp@aWiAQ~FJl6I zNkO&NJ|e=|OKc&y`!W=Y1Q@2CMup4TBX8f`u;OKhUSJ)wAX3*z1dReyV7*%n>b7jy}D5d|+?s!WFk1V;41gg&-Yto>C#+0JafX_85CePwnz2ptF#WZ71?aTvl!ufROS1j$@nI}4ywQnRH z#3(xLHM!C--4z_+5c>3Zh^5!$nQ!urT4sCsMx#ONvt_!#Qd@8(_qh>uT6SB`_3V%|JFE4i<-j05O zx&x%gs}wVLP>H8V18Wm#G1NZZzT~6KgBnSq@iXfUAN; ztioc-UqE~P)gg?){Tp|rB`88Hbb0#huE3f8GP_wZzv{IDswbQ>`_!$9phBJl4z!Z! zGS213W%$-e{6f9bIdv8L(s+&$8H44~SbSeg1Rw_^vRBEQ7Tj>yW=Bmbf!NSv1_pNfIex zBJ^Aw*bIe zPn7?M-Yj+K+--zWhwza;ksqY1q4PUxB*hjcUt2lwpv3jCIHDK1AH4qsqHR8 z9M2wlBV2f^8P7^O3;rtEVsv5a8Uor3|NJTLdEt$e-=7wTnVdq$Zx9*RIGQ?FX-PQp zkg+b;t?1hg5noQ)cC~$?MKK;5xKRe?P+HZbGNHY-6dr> zYSe1L;4EASXxPjvR4SsvtykN=HNXGbh*PYXmZk6}=E1Rx1FN2fM}vXeE^hLdnVH=z zPk_2v?en^JW}LUgjx12O0xIAej`<2PsSlISbwzLQ1mx|fSEzX&_Kb)I7b#@y|S451jt{AR&a6D^4M0i(g0LUd_aTw4!y?PSj~P)&W27GD;hBlJ(w@ zd=|$iA9|m8%u)SuGxxy&Z6*n-s2Nf!Tm0m3n1%{xQWn(q0lX1&0-<7A`R3~HAwQ-a zs82EIxy_dY#fpk)&w{QKAhn(^k9q~Hl(Ha+geP_<@HtiAakw8J1kENrcqt>x0}Z3k zDfa0cRej^jFBiaF@t@q)WABoO2cH*WUR`ZlJ{io>dH8~;ZnvJL!I}6F&2}K$S~or@ zQ}Hm5*1`=W)!uWAFkC%wEO~_rwkeh z>UCPUU3a2fJC23GsX}^2wm&^y53%F}qX&~6R1eHd3?aJZ{35#mBZRuyH4jiZeU6tJ zoMj`h+-MXt5sYe-Q8*sM8#?xfN0?6V5iY5_RRzxoFTGTEU*YhUy@|3)tNZ$iRBYxe z$Xubag}b_L^c=SFc*j7`#26!1#oSE zldmg{rfy#-RH6Q%j5lSvq@%kqwQH)GKIQg{I4F%y1L#bJ1v5M5rKARAvLXKEK=C_C z|8K!{)<P`$R1J{l>LOij3!Qo`V|ZCvH=MDN_5qF6IJJZbm7J z4lkx~##Y&aeGFwh8Eb-!a2>i7Wv35bPIqcdVzie%+B0^e+{z*riN(ZE#UzrV-FFco z3#SLuwNDU%&;P~rtxQInRo-A!L-zk5x4&RWib|D>jDd=L4Xf!Z zNDA$3>0?R^C_G&R`PS;9u|wI%Uakm{(hT^+y z!>SzHz#;gmEBt3j%tp)5yNvO-c74q-1W3S(oAP`fA#LV9q!yrkZb%5q0kn_9@HfG2 zS~IvZs}8Z!SwE>0Sno-6IR3O%m}0W%kD*>!>Pf>;4^A(2jJSG0gxGyNfw)I~*`%~1 zY?7_DPGw-t1-*>dp5+Vtcup$yly;YmUa~`%mbn^xOK6hVbJUvf@|&`8?9PGfGk~zJ ze0rRa2WYt?v2wxq zwtM-=yH4+h?>b|kY62uT>m2Gsv`LY2n@;~npbHF0ACqSJ2&=OWy!=1=Cs^A~;LrT$ zHrBE><tKyNG|8L?5up* z;Hg688>1~%+OBE3k>jmyjsT6v?#;=$NrX}lNe5A!3NAMc9Q|on?5ll?dBr)pPWMhVYjS0h zXz2axLN5s+_Tl+mD?~O4RL1D&RjhANG)N6>rmF2VS;-J*Izn%x-#NBQT8t5TQ}(`H3y?gwXz ztsOKozTofXEB@SJ;ZDZg$Ij`$!4Jn;zs=ty79o z(i9F%AqWM9tsqd?0^YQF72?)7sugW}56AwZgwb0^fSZDWZ)}94|cYz*~0gLTDx^pLc zD{rV7e%mVRSiMvk`1-zY5E27<4f8Zph}5_c~#z#92{NxxhhjT4hrhf zr;}BMDXwV!hC=R$#)Jfe2@GNKttYRQ2TD3tH>R5H{BU^tyy*HAO@+#)n@rDUUtQ} z7^wo==;MzDQlIY~NQmb2aM3S)R1WBBSaM4vRdcMW!xeJgistUqMI=v8NTv_6q>>ik zEx(KGSYf@|ZzI8lMZe~o781cVt*B|MbqQD{o#Xm7!M5o-^t+#QfR zpgeGQ|7!lJH_?hD0ozz2N8kre`Uxm6&3SaKppLFAQtKQ~Q$Vc6Rw9KpsRG5{Q=2>x zrGNNs&iWJJ_W|)EfX>E!NXq-Y@$54#>n6@iS6L5XbYFV1pq=q`~cc6#o&>pYVm10hR8hr{&J+^rWXuC96tv<2})mO_21h#%xk5ZF8>)mZEaEl%aUZoVGkuO zEv?Mo(ZBzAz+EG?kTqq-C^RdlQCvx<^P`$KRJ?us-deBNU1WJ0-#Fl<%Zk(nqaIe+ zPtprnAI&E9z%>+qrzn;?h_@I*oNBgEMyKRn4Mq9^SVM&E;uqs3>iQt&rvjH0>!u~QXjs8i0Dm5;!JxBM#LMylhR-u-lJ&LRP>Ng+g{an2NDRb@D zYw0GxEyO8ZEuAAykS8t`yPO>gj&~hTc0a31PIF@wTx|0vL7hb<$G+k~OTd|a$6PNd zi06uaUvD6D9H!IrPzdzLyX?28#d|J}Y0K;I!TsgUvaRzJrR*rv?TXuO--5O8E1uYu zeX)0^p`^(^HCMDrVGnkJNw)K)pt0W1gnB<{Y#3v^6t!xSr;3;I>6b3!dq0&bz9E!q z?vA2llIo_@U39{C?18R2S&-0AtFW8sa^b^w#eu@?x`&{F0I7#S(a`xX zi<2OEAKe*1lzcj>#d}3ox|a1k^}~uf^%;57-vJF&YD-4szW307?jq^lz*EJ;2yklG z2n@$y|78tLat82fN~(*PWj&Aie?)x+R8-&h{l^4E3F!ur5(Xrc1|^lDyOCz-?odfd z=>~}bq`N~HQhF$9X^`&5{~i4P)_<+HaJ&$Q=T7xqVVI zo|$93X_hZ(Ts^5dG9_}6%_*80Zao*~sQ{6AK|O7a=WQ#T zmgXs#;Ryrxb8!ePyV7Q-=j#oPs2~?*TI-`)5vj)`HE8@2V$$?xpybAJbIEH_tJ zVepfdjopg_CMl`ZJcjkh4_>>kYfP0JPB{!Ne;GSTa`$f9q>I1^K~`4omCDv0aC^uI z*6tL$gOIAhfQ}uL(rEwJN4>Sa*tVb+{Z_2(J?ah-?n9;xEiBAy*+r{j6xJ#v0pdTD zDT72QnHN+%L!nHdF56G^*j0Kl&Aemkfu1U$GOcNyt#tE(LTNviVe-2Pye^)e#}C*} zpvty@A@KF#G>$K`YIIz~n!I&35;u=FKM$?wgif9$WS-15D9$K*Z3*(b_y+vtDlB`6{7nEAY%fMZ4*Qqc9E*QL#&;Qw>b;$ZI-VZ7}=>iSZGJ z>s8)wq}@r@XYPm>*H~T$ooo#bcb^`;cV4>x+-DLr*Sdd2kT|?;vX;ib(N&}7fiQ&J zFSI3Z;cLivr_xC4~*j2{2o;lGV$;N~fB2h}>u&XR7lQ?%xeJd>`mx zDauZivO^C9v*R~&7r}nm%PkxdsCvZIfNc4OFyztOiHFYoj6}ETELKahjwR#3jN2VR zgfjdGj&nC%8y|DNYD=*hPV>!ZE{__*7a}olClPp!jtR8wZ3#sYNys8-vIe$Nde8O{OUO^-Qp{8> zu@q0`lNM-zntA=MBrt|aU!Xy?SQAt3HVLKicF&Um`K9Rv-pBPRiPv}D&2O&|FsH$N zvFBiIVhJZZmb;4Cdh;`WUtTuY+wZfAKVWN@P_r>uTRhkm{@yQ^cMBCiYM3`#Tl`$a zT@ms&7}X06r>*nswaOXZ$zsQfaL40=Kb;Kk=23f2}>Vl z%~@Hd$GcyX+x~Ac6lS_k9z;c6TS?NPJYQbW%-RPZugY}JD>_R3b^q}wT*7UP;;(^0 zKU=DK*h_rVT7b(TQ)f&?FckPf=oFz($DqrW1eRlKd5<60*rIK=k$WwkE%5H60rCk` zn0Fv1ZcO;QJ%Ne0j7q^vAn{hQrB}_+a6#{Hf5C-UFjUCzSH0&bLja69go7o%PWeTG zb!V^guGZa7vQWbO7t-f%YQ_2T%&yXF5_Ll#id3)=QieZFb`AS0cTD;hvws-X`!s1` zT`4v?O8OVgMt9}a&FKuM0E|0ixUiMN8C%w_-E3%P0q2=;{f;{QYoB+DDM=P9e7n@x z%juFGSbe?41osS-fehyv2#E&{!SoBKyCzG`i{wx42YNhA9h?lU_;IAS^WbUdL!i$k z2vjV;JgupyusgK7w&MDonDAsL{W8v4Vm2?oJ;*&+hO^XXB9{ zI9(_W8hz%@tKjn5`b*a5pp6bVQPn&2FW%37ZNm&8Bg3|?<1vqf$9Xo z>un0B`a(A|y-!=l*x&w4Kwk5xbqM1zc8f63d7L)gm@Q-qS}8nBaP9tRAhLu(!QwMt zu7CBj^|`{T!mMjHrRd7{N1I=d@@9>E*Rzhn5y@4i z)N&r_^Q(^iwwdhIY}qWFzirS?(yTrZzy!7{geSyVK8(6{oult2aww9*tBXtzXMxj< z)S$}}0~vwo+d%9pd`d{(NYDeXgDpnrf?XZ=dO}+XUr)7aw33Nt1z6cF$F`NX(DS+h zvh43OTE^Nve7d7bd6$1{(R%Y0PK%v!=FFm@e_3F|Y?q8mu#9RFq?_vJ|G+DpkD}8;1_tr8)x6Y8Bc7>)Zu0*IL!VBlh-}gi;|>q2&dAlb?4( zURV4}b1o7YdyhElE|}6WP{|ZrFRZknZ$oc8c&_oY!wg=El-B8ED7<_PN%|yF5CK)2 z#7si@Tm`Z>T@f`<;1^n(^uCqi1R|-0vTVJLF+~6=mum)7sf=g!FT`5^F#hRdMMhSL zhic=LU-x=A^&wRltjuiz2hHto*2@tXB4`z?<@XAwm}Cv!pjoit8bb&Zb1F84xMWaa z9AL2hw@rut_*jj3bGlxhjtrQOv3^r|kDtj9KlS&Mauwo@ft@!PYaV306sXws9_&K;`&_#gs?+ssmuJNz`v345E$HAE9 z+IYv2{u!zj4H30p#yxEhExmL?(}chPbnjT|TmTtFw(1qv26*Je1I+9H*did^`$tf} z={c_`Au#2>Ziof?uf~R0GHc#NjMPH!3BLjU6mWZ?xFHXnKk4pHa3)ztx)a zTJNf(lCrN%smpV34Sx!6=S8g*R3wA9V424V=}`!1r<7p5wwX>O(|ud8qj0_hWJQgC zA}MM$i3{r|2}hQ$cYl3iPi@-!M(~4dD!(tFEIZqRz#Y)pGMLZhq5&o2@%FOU5sh_^ySauh*cnU zYKy|gy~4NYKEJ$ek0NBNsmQO~v$xT@4$%mEab=`zq}F_)T6}*`JUygoc`pPCf`A1X z3jMyC)}@0`P|8msC#&I*R0?f%z8#@~dNVqUxa03J@MxKc3Ohbe`xuHliYU0?18fC2 zlu>GG-Vg#cF@0OB;pG&Iq&tVcIU(l2*7org)cWj)ZerLp3){7~QuE)Z9-m*XPZaWm zOm-{)37Rv2MoWr#%VSWUb<{Z{8K;w88)kN~sR`(}iO937X4i4fi>@z$_9?Otx_F9s z4EXA=?4~#0542pS1Aq_kY=~>$-C&8Jw^YQSIFr z!oR~!wMNZqY-9)crqA%nIX31qW#17t>@I$-v1}A9FXU+xJ!fAw-sSPea%+nKDOOs{ zgoTBL{6b053)`0x+C+!lW{bA`cVml4tSpqJZhPY*t=#-xIX`|FRs$%)+g60`W;?ba z!;ZNY*2wwF0Vj;BJXd==K^nKEAtdw3WUJcbjnz;^L(#8W&PD`N*kZRiJiV%B=+@R? z^ti`RicYoz+U_`0>o3EIq77$;+P2e&m7;bX$f8C1MSfVy*F@ z_ZcPZ2W$sijdG)^E*5)vs!u#uGjL+PEUPdS=rzy)NALL|J2uj7BpM9-s4_+(CA-61trdjcTA_yfuUX4C?L4taZ3% z4}I%1A#K9A9G^y;^u?!`(c`;uNRFkB_(EB>=IcovkEacaP`v8m``&KfWIk}@lgVfJUia$$8n@*c@;7Kh?+=>%rjn z)sBkH(@6XwH!+TivLb~QD(sp)`I(qiAfEMD%V0TRW3wvFouf(DY)!Ko{lUt2|2Agl zEYCoR?#Q+*zKuyD_E zRs&Ydj|qM$16Q$SW8gh7)pAiK<)4-kg@Mg@cjXPn<}4el7RG_^4|2+#Vq|997qk?8 zf^cLv?oVIx3@&@W18PaeF!BrmMxWXgJTro9Z2sE#w9og0DS*D+C?=@n$kK=Mz3!tk8;zPo(qHAfC6zj9?KP4h0C zJ;EBiYLj&|9+u}Hjvc?6!yD?=Na6s?=A*97?R&-BQO8&f^uL zHs2Bcp6>^Ik-1cV!*YzgUtxI?elA7IOSR+AW^dJ*5VVvJNh; zlx$@RhJf}_dX;aqA@MefKJg8<3b^8bj(zoBDbKPT`bqx}Lk;!af8nH4iQH5G}1gYNG65 z@^dL+Xk2C7%t*f)?$Di6Oc4zN8AZ~%bDjPMi_`pr|0%*v1Npqw`I6@6e=4H1y%{mt zm&1f3^#Y0~UzeC5DSK=UoH#9L>pgxFgK@U7zadINAi|63voD`2rlgTJUjeaPe2f{bHq?In0NM;FkE*H!gAsLda^BN z3ix?w((@n=FXux#{!U1xV`B2vkub!>d2gL9z$Q<$CKr9Kbj!}tsn*WGQDcoO{~WOv z8lnHt`kga)nq7^T99UcnKn8ETsCy0milu{Z*Rmp^xH}`W0eibinmlrgK?Wk*>IAnR zL%EiAlz1#uQ2WH53{rrRtMUJmt8!4Z3=jnuxH4x|ZZ%(T2^N>C>3CSYvkS=mP2P5? zr&qoPS_fisdiD1zJvYuhk51Z>RwzB6!zq!>iyI;^Y2GKZ}4ps(Fqk`UWDwb}c>n9tB5_AQ9T>cb8+15P>&{ zU>;ydsG1^muBUxRN;RySkBQ`ORG980A)-(=Snsl1qNF@IpB-24Cb#Ng2%^H0js*vB zDJfEi)>DClvCXh_CkS|zI#?l=qQ|Mv4wmp$ov9ogWV4F{nr_efD%Ww5qKLF;Vq9CdcCAXm4^~*P1pPhx$RFQh3T4(y2En)1nuK;{pnv-3!k0ZOO@xnw<9<1H#{-%Vno>( z*zUaAw$YNqh;X!-F~ofb8)yPO%B+_#3qLu&nvxZKtEZ;C9YpnNv|6O^4&ncko4XC3+#JhCVcBjD35r8!Z_!I!sRCHMuX zLu(f0e`ruJ`yh*^G!>&y!Fw9S#{+<<9R8FY)oWqr2aM$FvTP2x?`-Rne}iUN zt%7<5*uE-rgN8UGPel!&%)IFX8^yQzfzs;M1+y2s!TD8S zA-G9t0CIwFm_GB^G!+311jhc4rr@#7r730WY1W$oLGCcR^YwKaXL2pSiKuEjF$%I%nT;gZ>eU!Lsqx7rWq-a%N*;NzDn64cCN5&Ji!qe;hU_eE_N3& z=#8J#P*(qpn7wtji6I`~?bme(b@o_gAjAyjEW;Qewz`h&pK8N8owH#F1~4-F4`p3X z<&G4gA;ZgYCMUo@H%I}50o!j4>a!bvucsY<1WB>>obp!M4wl$JWVs$afd+!ex~4-O zX2*R#w*O&91r=Bsd5d-Hy_y#t2c5Aeg7&fweDkL>B`^BsFY)nk1_h(vukHLu2#z4L ziLm@%`Tr!t7mq^tpIgi9;a=eOn4yp8D?EVm%R)UzO&KAPEW10iLx<)1981Q@RFZ0Z zq?7U}z8mLRs^YPs31YqU)t$lGWg?+7ynFlw7rXPke#HDPWa_ zXjj~(X$Xw9x(Rj)17)SS>$l3iVPqh2CSv9(E=#Vdntt8ZB|eQ#h6xS>_35kEQ2DUnH0 zTpjxWjzUpJ;;E_x@9|qYtCf|b{MtZM0mCSd<2O93@tjTBKQxXS6Gw}BcY7tynbi_J z{$=5krp4ts2E&OHNw))mI||keuj{+A(6oY|RQNWo;INf0G3=JE;J`Ij(FZsr&CqeH zm}ZxB?9TE|T)SM_lZCWdJTbyJB<;onKGT*)pUeEEnrgmpnVY})_nlO*RE`nPPw8jh zAKS?XJi>i~-|#jXA4&Zr@Ns_$KHZ{nBY_l`qTSb3MbhjjC9Yh>0O|AHs^)o3kHeYf z)4KHC>z0YJW7ZZ6!PBOls&QS9?WPG`kBV&GVU6h7RE#$E-Iw818+s=k+-g0v{rmLu zu6@EgF{72k?i=f4ddD$bnnOx#;maP*gn~kP9!t-UNjEI+hKgc@LLCnW2Ku6AOie@8 zZqhNh;0}C~rruo#OEEEa(#=c@nUzQx(0WPWNLHVyrwR3)b0~5Q+7xYNWI@5|}_fW(vNnk@DT1%zEiJR}3X#-b? zZDJQXu(c09&2KQxjnI8|NW2s^hQ}J$Jn{2CsAO!{ANWR$t$LE3f>dNdbmIc9vvtA0Vu2O^1qLAFbQSxZsrvgp)#ik*h=Q?P^tw$<3?`Nkb#qM{&b{sYw_lSgd! zvFFmgd(@PVu~#LNx&+E2#eG5+S^<0CTw|5FxoK|f?b^#!$6r9#p_lif;44>Seo~Gb zSPkYyTzGh`%-XQ6^p2`rtFgzZ9+1wbmROip8I9FwvbNRU7ilwgC121Kq=Vcz6y$zA zqr*vqozvkcS}o&k!!o}LhDtzBdxbaJ#HBIvcQKY z^U|Jjh&`Nmd7{A7CU$J~#YQ%%$9pKYMIraPbiV|Fk?AKGZW4H)IdXI6zF|VE@~K5Q zpJXJWiL6ai6}-WAl|nnw{?AV*cY`9-$c_Cp``Iu~lAG8Ft>h*}=w7z{D;2X$@saj> z8JODx9u8ClQ)EgTLl(!MP1O-M|7F8N4S(uu_obSaOdG*Ow^yljnoV+1qtfiGhUPLB zkfzu0z{inH3^e3QSWCo8`^IEdM|@;L`}7!Ms5fE3@m)>JhXQ{E6=t^^siDbOCj=l- z8)2^-_F1;@>t7i?{UR>3{iAvrAxD)X!&60HVSOXgqA;*sC8CSn+!MM=%;dUYWu&QX zY+zMC*i?Ndj7|zIfn}q55j*QdqZP7c~F~4992QC-qZbE5UY*VW6e!d-MEF zIj@cNm0OZ4DQXYav=ax1q5*c=1_64h-hcB`ThsBoZ=>-y(-vsTWiRNUWL=w|wD!D> z1#O|MH}RCU@EYZjA11v4M;FcMEaFS{4A6a~eM=A$36J)PdmN4Wq;C9J%e*n(*2PxM zRKuxX_oV|&m-8LATBooy{#=w$bgn>zV@zzs&Fu*U`QJnrTw%=!z#mlQj>QVlt&@($ zR?e_ARTOVww{xecs0lzI9;eW9FG4!CKZyIG&@>THc?picMwj&A8ma&O8rPIu$`G6} zA7<$6+K&7C9PJxcM#yvWv^d$)t6x;z=IWD1J|AttQMqbrE;BHC!na-D9>!Fj+`0H+ zv$rPQuT)O;bJH@$=3f4Clh&-J$)>pGMStyMxi%W5)mPsh@i#nFZmlXB9a#*~*2*mW zZt*6Tg;#}4{z2yfRQj^h0=mpye700B5bjL(ZhCK?cC(R>V)g0Oh1aiRnO4pG};FVZ;NfaxcL8~ zlUTp)f2(3_`o&J+E_iTBho=Z0uxPtQ-goXauEh;sARHIch1U5xer~q!;}Hn7dtCG3 z?MQgJs#FXAcf-g5x+pUKjXFOK4mJKuc1X{&{deW>xT3^Y-K*Yl+Q*=xek9Ihao zW7kMbNg{pYgkc>hI1vZw1s(w~nWB4!q#~Tye&OlfO58#thjOEQFLP+P4&SVcOoW7Z zbe7edN*_uvn;JLwwjRXTb}lU(1S^NWt$FxU!OEskd&w z|JAOf8MbIA-7+2sKX#b27{S=Qt5_K4Bn!k!p=$JazuX$;Z0hmwrAuDvaj@LJFHZX? zX|sD|@l-%l|8j?SiKED_hp#!q%P4{H^f|C|eXhwe+i?&A{}KRCl$_z*{?7LK0xgMV zLB3p1k-$o$VE29Cyw^e#!!`y*tX}@*!A9}IRChWIy&uqNXZisY47e5Ut>?#dJ z^^DCQf*JeLLwC5YIN8v(Z@X@mwz=okfm~t zE{{3$89qEb+?mLaa&Il4PWKv$3ZkuRxDH4z-- z4`54-FWBhS)=2LP2u7ukVEYUs^LQUeHE@%u7Fm9E)_Xj)%K~!`eI25Yih|vH)Tn71CVhhi3>B!sbwkXGEPiTWzkQkl>B{kp zq<7==aj;wtIYXCYgz9ZlC={7pY%-T`6fOv*ucldxh72|R=z&MAplhn^c#b;Bc60Z? zCvW$9W~&=H)%Cp2imD{y%NpedgtIDm+%GUD`WSZvWQ9f_^bF54z_gH)823p=AaesZ z{**xG@*e+lfvz7|M8wDEO+wDNLT+E{CAoGCoUs4hzdopr(_W=$6VLIEbv#d_;N5-nMdHo^hXx+&;lQ87dQZ7P$QTjLlZ6Bq;&?aHW-YFO ziGjf-tdHnszUmj1R+eqB2tknqJrTKMVj?)W-yRs}I^w$=5`76p*oXFFoh>p=eTVR@ zlX*D^(4oSn(FNl zsc@tsZ46Sk6)nnz!wxY_ay4=-bl0v~{=6gtAuzek!J;sy(;o}Jn7yc)HYI;uS)ujE zHQLGc;8+v0hl}019lrx+vQN<>Uow{%H?zh@Fr_-&YyrkL&l6h&o3zjP_Op%JGxxh5Rvw<#U zMjP9+9CRB$XMaYkZE%0#>&D+v$ikl{M<_M96tnGc){vHG8Zy&P?GL4_`i-O-j(cv$ z0&gh7p*%X-d60mXco3I1N<1DsZ9NA3mcMyJMPZ>p7Btq&C1E6|HbmT7dtbGUSu14v zZ%6B+Fx;HeB2rpn$i8a-)6RflFQu7C1&B-vvFw~|nWqiW9VpgWGLx`sUD=}_Q^Jno_{k+E8 zdfv4eLq6W3x>-U(G)SWzW4lK^`+3G{?UOBI>%4*-DOFbN3GBO!JFdSS<0rdrBh8Z$ zCf>%Q(*|Q_eeyH}j{t+0M{e4(q!mMhqCp$@!XazQUecQ-zW!{LBa>R=z5;?}sGyp;3Eo?FgRjA6jkfmLc=U6&Z&`#~q<-l#yr|A|(f?X3vZWl#4Kle5{uY(8->^g>#L`O;VVlOs2O9?=ep*O>e zuX2XVKcl88uxXGD&HtSGXr`V7S&s3>JArb?=eJ?}H9{1kTLBd-dSI+Q6Kz{-s(h)(w~BnvR@1wY22<5c4oa+O z9`jHPg>uo8i#*qv=atQQ>2v+F7O!nv`N|ndRj!2VbG}Vwe?^Ilb!w!2R{;@!^iz&6 zzd%=#+m9B9J^T&+mPgp;V&kA~Y8$a6^&|8^#Vh)?#xz#NBT6SxjrVa;NSm10u*Tc8 z6u4e^X$%O`cV=+?-J)l5?j=>wv^`r9{&G9p$FqAa7^3yy$5EdBkbK&t)Fuw|6NL>| zXF=cLm6^@tL>SXX*nOD;V+$WG9ATCh&C7(!f7#td-;lDt&FxGw=?c+vu$dC{_-2xj zvrVMZKGJ9E0n3#G#e~%f`>y2D05fYt`hL2NnbYH?Mew(CmTf0wg}2Rwm5`RG4+}x+ z+HD^#g-fo__6DKS=>5v2;?YSO?>v>^7dJ?_#JqPHDT{r9eA@yn6fu&iqhc@gst^2m zNEwhR{P3wf;!QG@k_zYnL$!|wxu@3mLhE&W(p-~hZWH$tHIk;t_$}8Psbj9T46aUb zm^f?n^9LVT0@t1ly;v+AXV}3>HoI@I8Y%DzU=?@~_YE@XKIlax81zxkP|(FXde%<*a!R{w#c#-(o({>2V`!3DosZYoe~w#DlWkw)k3Vnl@eFR{r)D_9>}TXwi))X0ckeG*YS7U`Z41*wqhl4=mh zqzhx91ZOqd>*yt&2V&0X$KD50gfCbKbuSsaN=Mh=;pPiaeJ0xN`<(}FQkvLmCd=}U zoGpj64j|=HR^0CZV2@tg&(+O-V3PK^b|<4?a(3f61PV?g6NtF=860bv-;A8D&dW!iqo4_Pzh|OucW^Rtl!rq2 zd!8Jpsi1;3D(5ro{NuIrd;G#}X6*zx@t93#y-VY;^lhl+tjH4LP3%K=eBFKdK5_!8 z8k1na3enKWnF&QboZanVlcionDiwqA)Hs)N!D1bjh}Vq$l_zQVyqyQMILT_W(a-EK zda>aTEL!XlHY49MtK>0QT}21^e7{gxjIoVytUSdwkecd6CfFyUvHQc?@mD{Yb{;3m z;17m=mm@g=r^%E9tWFxv(+ydV+djI8cZ+*HQoqquy*wP@b9Fs7g78@KQ3)-1HBBKS{SJ4TuZe|AMn4}{2XSNCE2}mGN_sx{rDBSJj2k&VC5*=i3tZ9{N z%o_e__V4es3D_pmtC@KFK%9*<@6zmlaJ?@^i^G9^mu7^RvhN-pTKeA+vAY>9f?$%} zil1m_bF{aJz}8&R_3(olLLR)+qWXrQO?Ap@_I+B#oQ0sRN9c-)QXjD)*jYtf;}@a= z_^ZGY9NVY1ggqn8`9-xVnzF}hP;#;3Y$GTmC3L4EKy8ma5kGL&DIy5Z9}1Ga%gs{#ptq^h z`UHKzx#Bi-EyBdDu@}%5-@2IT{VE-K(=^>vdEa31Ep>Rq$w+hQa7c|x?4&OY6{g>4 z{~~1t_|Pj=KFe<^7cOT#W;!qZ<pw`Drsr#&P4d>+?6I>d5zqcc?7a(U zQP(t(Qa99u^`QTI4B4+CEUDglD{dr|p-^cW^0;sdb??U!zeSnJKrjVU2XLAG!T&0! zoq@|moFbnb+MO0`DY8HQsid-q-|0k()mw>7Hd(S}V~YUWQ__@eg!%L?<3^+8uF+(j z`wrSRG`UaUOzwR#iVeYGMIbybdLIv7AF0j!61+B6wHlTpJ~XaNk@HFH+1X&LSo0nA zPiq1U(EML@f;ufynUeLNU%zzjeV`yD|Kab3P2|@U}z7%NN&>v9p?p#RpCe`_^ ztRwgXkMDeg7c!4An3m7Yn-Iq?1Ar6$_1BR3o&k*vFcL7-cQ4}{awmJ%-_7ucU*z2K z%h?xhYCl0kay$J`jPLT4Di-;ACq;MaS1g@U-;p`+*dM6T^8^bkWP~}b( z^p#V1?W?&+)VIs=R?W@N5Cui2HcyK7x@3C9Z6uhG8z$L>!bVC&sOl=B^}eK+RF>$C zZ?Y}y+E_>=dEPAQCo!a)rJa25dDQUz4*Y?Y$;QZUyK}0EUa%{;H#ibywW`|LyuIdE zo}$a->hp`kdb0Zj*SPz`HVRv~bEupL&*3f&>=Zk7J&G~xR?Xy@hJ5S1iXM9G|F|4! za75UhT(>jUptP)BbcF`n5^tv?-%)<`BF09%;8cB8Aa~Bvip%=vp2zi)MAFhw>SvS~ zV*f(|Q2!y3^?5Z0aq$tP!c7xhzf0le@ZcHe3Gr-}p=N$BI*|+>B#9oB|EP^i2ABv$ z{1g;VJn@iL;E34S5UTSFpOaQzH#3u*RW|~qKm@vuUFIW-^a)XalYgLrT4~A8XYK)< z&gO|$)dB!4 z$D*o{Vmwdk z=YsW3GWvoL!7=jQh+?oT4@-Y-pAxOPS+whow8kJRIAHbqhvWSvkN%D#HQ`kbhG7aiZ~C)-eN8Dd)t+MVx@!lpUyPuHu2z%10rtJA*GgvkoaoY+Y7A zHApU%9|jfT{9 z9^A*X7rxWxisgdsJ-Z;w62wHsEKY(JSwn=9h^Z6S;ahS+{ ziA7UO|Gv++ljX#%&V91AfK6y&bO{L+ItZFqXu?;s!<2T_P(+i=ssD66&isjSGe@vXz&UW4k^}?t7Rv1B#pj@+|VK6>3-)>lct*qBh8x8=Uocv0!dp zDf>;r9JjKK=R>M%c!+xryFdaOzz6|h-THrtd0rfhl_X7AfOzng0HyAC4@KC4$g(OV z4IZ5=Stmhm8g9erFFZMAJrzXTxU?IL`_cfW>v z!rNqZxw1MXjQut>>8~tI3er=R0Web^EdsXo8uI?IAfL01?xCB?m_o-=)k}uv3@b@f z*u@)+|17pR%MXf;uhouaWyLDdWdxpa4dd`xo48Sf1chrK_@)19;~x{8cJt@<3-JIC zgOT8%9eYh3Q`Dt2<6#)DsMNod`K5O}QQg_t;pe`?&nb=BT~1{jN+ znF!LR{@|Ll$T#h`S&He2mMCPkH<+TpW|R}a3*=H?bR7j*gFThn9=mos6?$D6ZL23c z6R+@%H_tb8Q&=q7%syCMKEtargrJH{`6Qpdr-K7teW+sNiesh8^qf71B%Ub(k(n-~wxRUgc4NLe@obqO24V+DR{oWbpCLEr_j_+I$`yci_=6MED~>L$ zbZt^$fXjK|yR}Q1o$;*BWAv^)bPOVz15Z&J&HA@CdT>38I$5N8r#`Hc&fP_5dbXMG z&kB{1tDhhAh#o}lWZB_qwfz&q`H+7(wqoCg=y4-I-^~-3Ihndg2eC66n?zF!RCVo9np@!dkQ8lVKY~*4yu8=ol zv5RBnfpITP!iV zg5r$)LEn%_OJZJJ)4PHM-?jYJ<~J$$9ugC4t6RN}UX)Fa6~%*D9$o7fDHiXIXm@=I z*gv_nhhdFMJco^Hd)(t>-I1+T4;RAgdE^uSoY}9}^35@e?WtqeO}$Wr>fgoUU_2}r zkXJFuA3js!t=s?mX9p-vEmL&wKs$A^ZRR*3pTb<9m&P{elzdDiP+Lzvm4<$x1!c`S zp!7CH)YBB*09AK+fJpda57Ey+%TG`doW<a1f zQnG#bFICMk3xyEBB==zjgwv5T9TjpuY*^N>1i};1;!IE_8Y6F!;OUF^(CZL^&`YV# zh)0q-xv=kU4#Dwp?jp&2{6}@id3{)3wqIa&a*Md90$Uzl)}SLyipo@9b0!*4^BL*e zpN9PZ)L4;!td zf6B5N93~9Wo-|$jIiy5}((xaiXNE<7&{pY}K_Gs9Ur7j9Ns#R9{yjNhU&8GYN2}S1 zzW)a1uRDsc-(Q+_n&%-Y?=R@c#%_O3u+ylutI83EGf?^1auL^smh@h-+so2KLKj*< zPpDQ53alo~kaYbx!F-o>+@!qzQOa#>_Jihy%lKbx9UFQ%@8bBb%w*xAhS(ncPbcr0 zjs%{Obh2pO{dlsYF;;KAA;vQkW{0tGQ_!upy`o~&#vFarK;=qj=+F|}$HS61P?A}c zm-XK1z|3it3F2MsPw3x8p*Q9szktlw#HZZSh8Oi7SebrJ6_j+rM5u66K0BlYQ2`ei z>$%KsC#-u<41Bcf^8gRx&2~a$=BTsAz8A1CaWc;skv0#{!UqDHW|sKQ-s!$02q-r2sKn)U9UXjaiL< z!5L0mruF^6341FG;o!>DUwRj$@lRQ$8gdHbUIb!a?|pj_hJr&BG)D$2m3fnpHfdl0 z{0$lGvPP=tv)bw{`X=VXGGftKZHc7mXsX2f$iQT(5Q7w95a`;5f(cnQ3va5`|R-{^egq>$&x5x$sN1 z(agZYl&0@~MFEz5H#<^l({shz#%yw57@+no;fY}_>TKtd*YSULI8aH!SEh^JX6G-n zD?^XRCfF;MW;PQhwNLrzTZWh<7t%GoSqu}Xqzot9M{?qZm6n!~S_kTvkrN+I9T5lp(Q1^x|NfNkf zj|Xdh7#!|P%L%0FNqXvj)>#S2p++oaaIpPeTWw`3jhpLUqJgM( zx`~$|=MqSjV=ub9hZu3(`@^zblb$v&vHR&C1B@0H&>%UN{AAeU0}ZN?kJ`~)u?Jgx z-K-5U6&h#4I&O? z=wSO>#P2Zae|=bMw99OT_7$)Kc&mU=kJrIo`g%*!X4ndgOa|B<7OvvOF zf{fStXy2VpZaQ(RysYUAI`31pS$`hoU3zO9X7j>A=m-3hW1DN6{I*A>qbcUz z+#jQoZ$1_Arg+)3=2(Isj!H00O)6USpHQi2SEcjO$(xDW(kWQIj1}=o2}@$slGN0E z|5d}BIGXjse++`T#>^=VySxk?XEHn+Q#lqAO}fOS*Xas5NYEu%@hZjf>F3|qEKGyy z7mDu%Jo|hIm~!}HeO`@E(>=oow_K%tw=&zI6R>Czg2X_)?Y4K3wJ z0sIw|#3;K8VcXv%nbx<;S}FIX$F)lxh0ooxCGg0a=ETd7J@Y5SN6hoTPlH{I6~D*e zcZ2GNxP3p*BqE25VSwDrY6YLPp&}?^qcGhYf&ZN?Lg6JfSQ?&!!banPt1b}?tU16Y zwyo1F-4e)qUo|E;^=)pd{(y$hv!ze1U?wx=hNHTc^!Wx5@{R8+)f?RTi8>eQk9E?L zv5@PK__QYqkOzMBk;38^Bo6^Q5etzmi;XiDLs(0YeuwfdR=uMUL5KvB{OCdR76cibZe5tPTdQ9`76B!NxfSLteQ7 z?oEq@uQP5^IZh|Fj12O{9@285v#ly|M?4ymwF9t#a79s@QGo{MU)L;?;@3ja$o8JkMd>-Hb2(qzcfG5~=2$G4Wf=)aQ%!R*N z?U;oX9GB10$TOOqFIbFH=+AWK!k9#bn5Rb&3aUD12p0h%n7kH&jr)^s_)m7`6yK;r zNP#t}KXkF>Qb47+nIJDcLDynqT;Re{B2~DUl^01r&j6@!qjFuscn3YR)nymU=4g)p%rdd$yL~1kQ1OBRAzP*8g;GVJUG!u4cM?$XPytLxn9M0^ zC5jEMBefRx0>FsFp_`{8?Ww=I(~V4Cu?4il2!jhu2zX}=F|5A2_KSW??yBwJ0twlW zpmye~=pwy!psT1XPd%c@y(H4kDfATbTc@OB99 zfNUQ&mxVwHlai%tUzg00Mq1?WM!f2RXrR?C!)leGu(19;W4$0ca8keP%SbXJHHBY+r1$Q?)SeA9t&-vULX?sFc<*E3 zds4>l5ijuV!MDs@;k6BN3r(9SL<~n{M4AURRf|V9B8C<=HzBN+PeVmVzCX}rfYBNK zwDeLr39&!qO4krEgDbGkRny4yw~go!!ubeR5%Cf%EQUYlf1B3$1d-OcAUQ7j1P-xdRF4&l=u3W&n) zVB?Nkhm$=fGB`{g4*NXQQg2=Jzv>H@X59dl#?Da<0MtOUz$%nXO7{PJ-4h%-{^{D! zaV_qxKfo(1g5wHYo5mqXX()K1=~Ve9irAO|raqJWuY6DkukNP(@$05E%osKnzwjY) zN@qV%1b|nb8_M+9+B>%=AehTWt)j3_6-YMQ&!~=`?iF zAnP?O>O+2*HnH?{8#7{aJ)op<3JSMGNtJe%FC&#%%PT&%cGXI%0Honoeo&pwrl8u4 zE3baz-`7ioU>0yZ7oo(3e@2)hpHANICW@SQ$ZcmOi_02Dv`O6E0U}VNm{W4DX%-c> ztOqL)WVSfvTX5ZZ)m_CSSm^$XM+#urRFnYMp7&5Na%aN~Lb-?Zf^lnjzF_?>|(YP2R`lw4y zKnQRf0Ijm=a|n5&aq-+}J}TNmtR5DI0-c?~4u(RIZ@v;XcndE0dFzw^rpC~~FV5t5whb<2;6w{P&)T9n z;3^})g}@`nZ-k)!y}q|CrLgPQ*^B?x)N6ia4KpVn$HAo-ki#*=3%_~^H-P1jRFSo$ zmGD9@i-@X<`=XVotHzm~P#&GolSR431&ghoV~WT)E}}&< zoRui)jb!bkY>X2inERwTwHuqBaG0QSebw+?1!eC63d_L#5Z4Gyu>2t=@~eEag?ukB8kr2Y)tCg0ieBIbeV;MmUUGx zsQs_m_u5GUGG`(#Nm z*D`q??No5P*@aXuRzYP}nIK68M+u-+;FbkJVxJuZf$f#@Dr5_WD)J*TixwQx^!unaz=d3NA$?Ql7M~ zM8iTzeg*nf>n*-Y%uiDJu;EPClwz)+`%L1 zWY!kc1OxudUy;ZM&cpncIRPz4Y+M$^23dHXR_2yYFYp?3uHicBdI$BYO$_LyyDsYf zbkDaNlA{z5@H)kZ zlj0abu2!!{1@ERFjzCVY`iFn*y0;Vpkjc4Twp(50aR8le4cun?jtioKZpj4oK82h! z+Rj~zdJ_9!?LXFKQB^V0si175p?XCuuduc%qVUWMyeQIXOdG~`abYkfbCXnqiorSD?@7CF&5s6?`>CHa@Tv2 zr4S~qEA!!{Bd*?JQb48dCM5ny2q19l;9hI(FnZf9%t zi~6-Lq@dt6Gr#FK24;6wNBKC9Oi~F_v9vF|408XXn&-vOwvD0tuH&YGx3r6;e8(ebObVxQu zc#u@xm(JGP$HJ4ZNk8xsndE#!=EGR^BfR#Mko_qcM_q>43~uJq54U7DHoQ25kkfA{ zHa}nLwk-tE*8lwGvrQaCRFu%}IZ@WXq{ClJH__25T#0UYA!X`sy_sxi%=6(+)x(ij zWO>~P{{*dX++!?bDQhV1NB1Pn>K1CgLtiHM@;(D-sa;wDH|w?1W(SNPDV3)uNnhU-sEq-?7Ae&4T?u76J`Es8>8`wQCvI zPyav-xT5Un^LlY$gaL|1FFBr5LRHMHgd3Z@wDgq4mTE-LCC1lOyG3skUjl7u)+b`4Kh!rzt$jwdQ^SISU(3!(%E{F-scLWN zMQOjz$7!QCqozJ%?NVqPD(M(W9%&AtT>%nkK1y$0XEpe(z7m!x(pI%6q~i6`cD68y z#!__A7y&`e{@g}IbzK3vbsid(h))=johx4IN4nIE%%-a~I>iNlv5>0A*e-zEeiy z{1fuBZ>>1Ju{Fm{XUhZE^=ld8rRB$)uOBLb=91Uj|9kHlbW-O@YAH*peox9BcwSkT zl#A0eXklkz!Mk)Pt7z~I(8LKSAVV}|%_~v%c2-~W=YRWgE~XRLRy(j4AQ9qzSt}j8 zu7vVFV58u;Zvk`52C33!;xlwC7QaByRZJdQy94#m6?e0(B2vcBBze)Y%}`ih0Bi}U*Wz)QQuDfL8(vh5 zVCCIDbt2$yyyUCV<%HLY&MecrLN(bIQ*t51|scQR%JrCcvF* zIDXFQ>TVLYfgcIzBf}lE|N7FpG6Il#)J@D{KjEZ-O+}h4s0q}6@9@ME!^sjw#%S|j zqnFhcO3sS7|Cbv;XT*a0-(Q`?U<7)9+lSmaMI;IOt|I$sqE)>X|tx2$QYCRUrT7l!w9ccuvM6DvfS>}3_lBTzNgEBt=L2v;)x7y_mF_Sv# z3`Oq{M#3PZ@^W3fP$*(~Imm;H_0{8^?27;uJ?TH2@{zNYqX2>>FF%U!ByNh^pyKxA zWKN_75Xjtm`k+0S*f(TYJuk)Q)bv&U`TEiO=+PIXa@DwxNKK98V?H;^2HHP>e1{e7 z-leZwg*WY+L{pSG{tmTtOZLOR;)+ptOSau8Jsl(Kj3rq;@&-Gi*NILY z^9Bb%Ut~jvyg^sW9c4n-mBXPzGvNNO;+*gind|2$u<5|X$s zC-mDnYcAx!WKKcf5sv?UnV^(C8$p*88=m5(K7Fp0S*Bvut+lhOQ)ErCaWR)rQP$87 zz3CI-GmB1W8i-rNhb65IKJ+!G^N~PewZ<1MjY66Dl@%X_>uQqLDoePXshE76K9jQ! zUnU|ZYoi9@N1-Sa!wHvN0c**0gUeY3eF%fU zzx=hlfnnvXW8jll`Q;f%k_S+Z1dS;63&~|Z%pxMlIz4#vPUyeK*=O3PZ{G3D!dpXR zPI|oYh`8(DtMnn?8J-_1gXE3?vDP5O94ResP6Dm=T)UrN7PcmN3uQI2zo4+REB_>% zGSjRyjmI9%gCl!= zTm+BA{$v%G(!pTlsZ>DbC8^SdZN8Yfy7@|QQsjsE7I>$`Omfkl8(A-4#@%J$DT(Y- zLV)6dtZ~Wn)MS!uBp=vr+*Mz$3Y}diIsZUO!n}5oOiY#Z(vohd$MdRr&RJ58@w~}( z9-X}u&q3YAlqz_heVK;>-`c|_-UJK*&CEm$#QDve6uNuH)m6%SlRdYO8Oy`*Tv5&F ziORI^iP%)0blUw{W$txme8`keW1#Y0wAFV=NEuZ@*h?o~_`=rfG(uW*tS`H`?k zd)!rx$Klp9*;4f91-pjDS_y+)1xH03$kmYl!pD=QHtuNl;Vq4oc=J1k!D|Qzq7@xh z86M2fEfYQJ+F6FLg8C8w9R;&W6jIpe0GUyXcBzo+>g@+|&%f zdf^&XP*^b5s?$FDi+mTu%7*VDLkvs`p1{Zy>{ zf6fOYC_-@-U8?K#JQ=VsXxLc7$UUryRK>?#YfmyTP=j#@31~*l#L=$wLDt#nwB9h! zueT8OAO6iqyGDijir@nhz;Y1Xl^JVTX5aN>a^BTnBcJEXc_m> zLg-O<4TG%h5JXX~gGwD|G&vTqfN)?OG}zIvOD-u1dgGUQ2ZjJ~;_uE2i`&u<-2{yd zUo%EFFgw#mT0ZBH*wK$J+5#Jb6hk0h!%n?RXa&|UGDITQhjSt%%zeot8)kkC>MeEh zf_|3n2>fxK;*}%7cuEdxU}sLXQoFK3V;bdec<|Gqr_|%4|D#0nt?5nMk7`gdDc4Fa zfE3s2zTul;zATqx=ie%4c5jYdCvN_8ZHLCB9e6V(-{11v{Dx(5iOHe(JNHB+qi|Lo z3+y-P;eU>b?T!Nv``MrOvt!2NC-x{~xlQjl;w}K*YaKm*r*L!(|s=;hv+3YcbTcJ#yOg|xp58aML`blqkw9Fh(o7XZP3tP zt8m5VdvT4-B3adVZ~Qlx@~dQ=*zgi-Sz73MB89rRb8doCegJ2eRf=ByE=sB5(38Pi z;gpw;dy4XxhD-J4M^il`nQ3&oUIW_@8e$Lv-;)E45U@pfNK>FimVTI<;ef_8>!#$6B*-RB)JX@P6PP7lS=Y@0ei!?vGfUy{mYp`m3qi!*<{-!4pVgIvV@R+K}ijdHpQntm^pH{8;w-?b~=q%nF03fVw2 z7E6qulsE6gsMjS~%k&s6X+afQs{x~M9ecOV1tTF}oj52RoA~m?^K=*snLTj`iOl>! zG1#AiNf41MB0WRgO=sPXCg84Nh|oM47e}HvvyJ7GPEXf(@2fA>L#9a01}gTu+Y@)7 z*~nsBx*<%6urT#6`LqGiBuj7m1Y#l%@IY}3K==fmigL;a$tVJaw0*g=zeW8eQB?B& zmq%>@_DFeKUZog<{}RX)q^7UA;EbfSbWdAn5W#Xmosjpb!0UBY<~~k>Ni2rY*|XO# zdl~)->#k;WP*xXMX?V)PGz>M>qG48)bJNQz{$Za3+|z$7|D*r76Fx^lFWwsz{Ao}dRG2?ZF2PtRCBXvHk}{nPY;hDY3L*hZ{XuC7oL+^VCtsD3(OJPjq_RIXXF|4vr>~Bd#ICUAmjp+Lh;Hq?pKZ5U*6OoTzBX) z5IbhH9h@k?ie}3>G)&m@B-JPB< zia4`qZ8U#;S^gE*{T!PmEYbCcp@yGAcx-Gs?KIo$w{0YgQjTX89O``ZR6q4eQ|kJE z%2B=WdiwR>GF!AM1-pFum@^g9KY19q?&wd78;61}7O5GZ3lUBQ!*jow+2iU@8W>=X z{fJg!>vNh@pOUW#Co=%i%y6C$9E8(QG)X*EIvIdw55iUllep{T3`mO5@w;MX*f9E6 zE*~iJxusS|hZk^t`AtYM2~tn;OHE2J2p!2Y%rCxwa8F+yjXB6cdHbubE2@ej3cm zTiX4h*lvQJtEAifv=tg%LZNK}ZfjTk| zU~PA4%p1~@%B$EeK=@&2k)J+PmR5DV%^i~>4)Kqv{zWuxvmYQ*-xm?Zm@gc=PQL&XvjxoWO()TOm2e{dbH(-4P*&j<)L@sHCfRCXnqSK@a822<2R z3hAHC4!!ocebRPLAB0u+TM-8Tb0jySxVUcSt{u~v4XoD0r<^S;NzQz zHI@4w!=el~w>xVY2El-8@p`19fKfRYxPVk^f~|I0?m4q)5Z&F^Lq2qIq&F=R7!DaF zp#4kFudrJ`!aQh4oV9bAO&2whS9flf$TE0-fc`WD-D#9Iqu~$ppjG1dX+O9#4?xXa zbUK_f8=|`%NDN?*yWf-eue<_kk`reA_QSiAuboxJQ&C_j86Uc7jX6FO!rzsB;QzT-zAXoc(&?_@C znk;Qbhxt;HtgoD5JZkXFH{YBp=Rwf4&uQ z!B)~4C1uC)NYWaTQuOyz6uG8UOLZFK|5$N{r{+0Jb3H~oGQi8T5-saQ8pDA9-4I_F|iI%jrF43b@7i{?DJG=YSg<*q09bD;dEn_qeKkH-yMhm=d#Hp%jR zvM%ZA0hyVWd@}X5u3R`*_NJ(1(?eJVw-~aNN`mA$?HpXFrCjt3NMnCrX7|MJdG zq7aZjQNI_h#7Pg6(pY6WPaMjG=HHj%lz?cf&y}Kv@S8MVfCMPHtUrkEhT?UzcjF2O zdj{6a9E~a3qz~S&uDNEYZd$>tfc!Kn@ytuHAtxLOzJOJySW=PUH5{PUh!u6=}xL=Fo^ls_$Cji zuXcJnH!xF?QYJaDyJe{81^91Se4j3y^}0xYx`bL6q0mEC)e$&DqAB{5GRYpTE`kQ8{b&l&|zhGx*=R2tOnp#WwT!(UY3~O=~imZNW8|d zjTnAvt1=R&AdOs5$&@OqR$>`1F^Op3oD~XM{mnumtK~X3s_TSMu)7~+XmgMXk^~yElZB>H_I_P>|> zBVX6uuPGi%nu{`;>doZD2yRA@?-rx!HZCR1#C_+_D#@Tpu`Afr#(^yYFfPj52xQUkUBCHg4Mh`SaP0Lr-5<>1QS`%5v1tPx_N3YRx5c`IGHpGz5iwzAn_WS3 z-?=GC#m}0wD{<7F(V5{N%9gX%zdMw30YfV7QBAK(X)~85SsZDQ)ORt$%Fw>Ln@su| z2Mb=f1v-4P=rV}}DkCztdc(5fZj?DM%Y|Vz*?(n?vXlDiJvNh_82Xc=?h0XvraG%& z9D9TzZ6JOu*LJViP%mGuTt`Us`gq*VdKCgAh?1bqKK4dP6ieHE$hwbGcX2_SK-awh zmCU0_%c!kW7>z)Il>P16&-IP!S)r9Wv+Dhtx8t0>s%ycH|Fk1@8K~GpscDD#+(49a zy7XI{i0tHR@%t@kcaw+=-wX_kg~P^t;RH_GA}l_``BHD36J(Kt4jRXWtl#mX34loi zI3hv6(d=v4tKvLNC*|eMa&_|~N6KQd+vfPAdzZ zWzuggeu+FAzMG8`5pInPgG`2#djE$U8o`_;&xz^%V-1uDkaU>d6{_R<&u2F!W_Uh| z;Tk(5LqqF1@(S`do)C8(=hRLlofVpmq5B7xZMbculpJ3Cf$_yvC-&!db%&GNU0M`vKX@*WFAV7T#TfVPqaQl;eNK@XVDzG3G8oLX%5^9 zdsj5T&XK=FcUj~`D=1=1jUbB5{Dsh7AC##z<3M!lEM{zAnppM<0kIk&c6q6>YVbGv z`q&T67K62lO3SGw+lcL#zI|bD45TpF%rAjoXy{_rw{~$U(gM~LK>p^Di8W;^>D+Cl ze)w?g6H$wO?PN3mEXdhY;V-Bn1A0xI$SPs5;{Gx2v9#_%xs7@R*SK@tDo8-GqpDM| z0B4Z-aw9*6`r{X21yvm^SfU z(UXz)Ud`4g4MUz`F2*dxn8ALFGe1}2*EJ1iCo5rEIynfv!@E)vBO=_Xsi;7Zj7Cjg ztE61WV%B?^jiUF*X5*m=@AfdH`l)w6`jjx+D`IgoWKjt}D^wB&DcA}1Ot(pV`$2)-Y{W{sL>+HYM!H6(RW*4E0SEzb^m-OX`#E# zD2|+K-(#qM}+ADYKf)BnO zRvLGF^6dF#ZtoY)gV!TRaPJeQCNsRIW_jMeWPCR|atF8UPI#!{OuD&)8>sH(RXI^m za02bYb1rvro`Kni|3!!S|2OS)kpJzMo~{ylWw0q%B0V+#e~YmSDph;|A%bJOtVS#w zPEgTUd=3ms!X!$K)0rjXS#FY9OWH%*H?_5b3!gR|(p|jMY1Zof1&XUAPi7AFi=gX(X2hNwZkn%7m&fW@Oug>x1UaPlGEv~q0~55Ipdqa>z_ zpoLoejuyy8zCuNXQ;Enlb_=&g=8i0QBnb&p+Q^F_M;#46#z%jF?%}UxR*X=_h(a{mP(r(_kz5#-J+HXR55aBYtmW=$Ja6jinL=Oa?cF zfjR=-h#=igD3CB9ty&P|B`)l81+e3v23?&%>O{X^9$a^PUQ2cOJ~QqCTOxGYbu%G- zd5hJ>E#Y2nG@o_sY0{l`{T)%taZjw>-AP(bT%1~=-_|PfR#q@;(&heU-211bAYl_p z=G6;0+Y$2~_*fGWX+$n&bM_)HcG?0=7{4c8rnqABBdwBnd%Cl_n9nXwCRCgKqP-V!5;I zyYluW*5)U_Jd25>o2?=GLgD<3%!d>skC7n5O3I0kZpcGkvOFN)_)7 z%l`yNnyWIxtMWbqXOIW-IWL4tW;TS;FRqVvA0#|)&)hV+y40%)DK|U02>{-dMb1>>SpCpS2MA2da+r;dUVoyA@G@N*X;TE{i!|7Ho^UR z2K?=Uk&Xj7S~_mSFKmbiGMhVCOtLn9Uyj+D<>~sWz;Nh)B|Wm)+M+kt%+BUH=3!=L zp}c()E)nAV8P@zt^4;J`LYHT%18QrnwfK=&HbfDzu}r1c4-8L1cBnWy%De7oa5hX+ zBMa2*qv88~)QvkjE(?53-dJQp8$_W75emd$wcJ_U@breORJ%~}XH#?AlJ-Nms595ClSQ2;F z<~o33xUeQlkc1mv_~)JX_dsN#`WvF{Tk|B$?|wz%!C}3Chib{UiuQb@KFIFq>Bq^T|&mH0qi|| zoNSCdDXr%SYA-u{<&!o(!BoQO}T!t4v2gS!R zk;NLj@>#T2I0`81n@F5q@!a8>3aoXX{nNhE&dpZlM(UkWf#{~k1Rq=E8woc|olo@y zM$X_06?oxm5O}(Od+}e|(4{)&MvkG3#pD0EsP- zn*gHXhBTE=yx~)82HvBgE+GXo6un=a2Q;%kzmgBSc2 zjuSt64;UVq} z#Xx#R0GlZ`p~aF!Lk{u9q=;`w2-?pq$U=pA_0Z})a!ph*xIQf#9knFq16VF=OTXQlLN4<@&r%MkL~!VSh};zzkr7V@8fZ6E~0pd!4V{$p>WBnLQuypb~0(@$y|ug+iAZ_9l^&jt+eBdeQ^ zvDLFbo^WET10Wi_r{K7T@00w=cvb%xayZD>a35x#6M*mNvp!?Ic}wZJDMh z^=%Z{$fsx-&A)WKEpz)cRp+c7vW(V2B~CET`60AvqO;YdN4OF*W9%_5?6u5Cor6b< zepu%s1LR7L=AtPF1DXoJs|2aNM-V;dSD-NERbYVyPe!Ix>CbT14%G%vo|05Im~{BB zckc(KUHe|;|IdW9^~B+^F!wfTp&4gSMN zU7c`q<=~a4F4qE)`CA!Db&jFcA;`iGV7cqyzwBT|$I8B|Ui|6|aWaWG)2#&vaF@w^ zrpPjVKh7|Ft-ZPV74pTW!?oP}doI)I9p!yv^cGU2xUspk(fjCEnnY)T*i26bfW z7d#t@#r!TxWo;^yx&NFwayfmm#iNy}9{H~gSsVDx1;cqBYM2cOPhc*J^(xU&xEy7p z)r;SjYR|2-dP02aJscjCkvCX;eT2PMc*|k~7+2uw4PawU=$Twec9?@>HMUhm*D}6^ zk!+4KFU2xpVWceXAijwd9$vf$)?h&0j-g+_Zh}d?GZHj}Kw5lgCr`>iDk z&4-usvFxUgoFsCoCD{o>(51}(lcnw|G-Aib68s|jPYjK9#h)YoL+^s!!)vBFFYd!& zjqc$kuQ|a}rkY(x1jz)xl`Z+kUNBY3`(Ue#s_mZ~vc(v(#m}Hc51|bHkj*d7zmFEm zsdwmJb$So~v+)#;MsE0SO1P2$|Aj&u003PIvE|Y;r(^ABHqBf;n3~t0DJ+x@J@Ycs zbNKc)t?KxMVrt7*+aH&%67l%HuS$J&W!SjP@s^I(r_O~8Wnh#&m%wM0;I!nir|xL$ ztkU{1zEI1Qz^LN+F>8tHD|thGD>^KHu?g*7)f5^T=l711UEma@bxaaTM_XkJBr+Mj z-^$n%YGZEBM;{2LTb(|T8#SB>4A0j$>lB`G%C=XDNfDMp$@RZ{#faEXgE4y*fnR5Y z(C$3VdQ%VeQk0(R&#;B5n^h~ zrj|CTZf`owc~~&IH}djSPZ>)56)+#MV<#X-?F&!7#i*6Si31*i5>DON&ebmeX&cj93?>b~9 zTS!jFNGv~Ie;(?YJbr%qSN_XlnhClx&e-;g@7y!Z-x>d1;5M5ENAFH}U#UuYl}BO3 z?RJX^5ms4?u6#Q4b|TDc%Kl7uHRJA9skT*iPtNcVs-=fh8Yt3v^XSwxS$Avde%2zD zRwtD%ww)d;Y+b#$`qS53`CCLGYLST_w7AtZGzQ;vm4|B%k+dgNav)^hc3x-GdJwD0 zh$tAv3r21gx8Vfbm90(N<0XAFlLVv-S)e1RNe8446*B>woT0r3AycIw+Q!}F9>7@A zbb_^Ip2sMj*tCMeVMSJG6Z-l(I}Q$D^Dr*2Gu5E=!cxjVAzt|MfB@@2Vn26MOKd1aH%2ewWpz5@}Dp~-f5c| z>h8Hw%^2pSv)WWhG&pTbH{XU)hNl$>y4|8HD+@Qq!uW3W^$Ky)Xk_f`%}&<;1r9*3 zhC&{#Maaq%M5z6I{tRVUnu|cbl=Rz&o4F+qt;vrSwL@rIKYsGzF7)z8#V9<P(>cCDhTBgB^P6YWVd9GbLr69Fa4_rv<@WWl702%zS}a zw7U7##WS`<$rs?7uPvMQP7j(x%;#ji0vK`bZXmkVU`0V7Y2@ktAWydczm&@_sr};US$4|%G`_;)>?w!dHt|SUSP4a9h|Jv+= z1i^bliG&#ScPJU!D-GX|0#sb5g(!XM4+Eu?_ho}|U0;OmmAXwIc=5adcM2sp&d=A* zDwkyCa-?TAtLJ5jz)Ss=eutN2`fR`L=ahDyBv6wL8bH$%UDrfxm8#!8u^9W;B0&|n zCFN>>e^YMo>?Y{Jo<1_e*eiXZsUyKr^KoSDi(uk#^}NEu_7yh6S=^$mWSGC?=Y0g@ znJO}d$%(I4g#0wV8Pw&Ya$*$Ro}Z0a459CAr58MSBRtd-s|;V`#HI&*$4J=|Sa$8a zI1z4C))ER1UGeh~KQ&LMPpv_dvC(xg>zB9hRzlQMw`=O$Dwurr9>$?3utSU51(+|c zK45uAKsGCt!!aV#BGTU;9KY%OXzYtt1*NTygj~J(Q{b#Jx~yRGO*17|DmYi_{#VKA zIKL4n-c*=Wd}R1Jr5?|MH*Y520Yu=wmn9%14Cm21 z7E?*jEs|WAq3=Sz8$EPCxvsh`L+@fY&@1FVhQovQC%jp<)a(fHQ~FNXt8fm zcJ9N?;)}EUhS_8p>1iKWIE{%1y;L#I2|ta1p7TzPO__T-RE_U2>ZqLe(`&;i z-Yr>{BnkW5oKAAF2!3nP>$GIzt1xrq>gIQ3^=(?_V!@fA;5Qz2cf1)Vx^U@qa&^nT zixMaW&XzXcyXtOOrwURQ*-{tTbeucC^F%7tB9u`?pa1Scxn3#JZa)*$=@j6ySGdq{uaf*&Fa(k@z_YkTeea6jC zL{b{wt+Cpur)5}WDI})nWqRoUGyg%R+03xyE>WcLP%LiSjK*|SO3c-)|55U&;@*nK zCCbGe{ddDyk6Dl&G3498jT-~*mjJ|>dv~(-QhEyBWWE`fw~Kgui1=L@w12w#9#d$- zxDXC~My~r&c&|v$4NHAvhRU_*57~LJ%|G-*M4|H`^!lF5IIH{8t~$AGIQd}T7mbsd zu@d&`1}A?pJx`5d6jMuuG~@PU*J(*Xbzfl|158*d7(vf5qwX@Fakmp%Jg8!5 znjSGzauQ%b%{Qa&KpQ6doaNJI4_%(wdFhVW^gn$W+eQk>chfv_Cb^(yMvfCWr@==% zpUa&Y@m8@ZyEXi(Nh7EB`9}R&tDP5WH#J_rm1zrpZMDWznDGyxIaQLPgj(MSYq9^n zF5&F7;4d@O@I0iMGwH*m9oXuOFXP+Fop&DYogIT(P-JwYIlbaj^LYu{&_vo!4X;Ff zICX4zlFz4xZ2#8c{Xy45to<`%nRGvyzDRY~qtPFY795+#f38awulA~y@nNHNd0x(p z7&#Wvw&T4Ox;y>w>qE3l$7p1T#>g?@Jh+(+*{C(RX<(~+dl%x=)249>)-KK*!IXvm zICxZV=j6I>Cg$oOr{B^TV!SW^JtlC+a}tfM^R6u@x0A zdYT}t-5hMHc4h<^u<4|s>;ig>9Iyon-n@5qG8ec+BSubhp!4NPXZORRZHrI;ybjKE zEl=>@E4Z{?d1JDSHzuYWI^_yU@OQsf)#ZOn#4qKNtZras-MwAG9BjGoPf1+vA&C32 zdS!O$Drd1>9bLuU>)Yw)Y8e6d(|@UUlv6(3g}i?^=A#y*1&H`2{+FUnmbZ@|h`4*W zIn18TFF&UyV-ozxybIr~4AYG$9iETvCtE0T+d*r9sPH!I6>u;!3%o43=$S3@-$q6i z3|TtC2^XWqU-khq_RIftE{JGsJ|%T^?-*L{W{oQ6JZFf5tbcKKm1aR^g2+$=hIa4; z4JNAT*EmSaSj~s_*R)}KrTRJYIs%@bbJF9LRmiL^~B`-wJIFyRBD$=T!f8K8Kx9 zH!dokfAv?NSs!2Q7_Uu_OK!rGIgtmgxx;uwja;DKGt2a*ter7UcmdlskgwbeqkKA(JAvF+7!n5^*h+k*`=EY`+x~Fc4#nsw>LWf*-y`OyfU%AXnYD5 z&87U~swWMhJ+@4|B{<9WOH%bi#gSAJPABap@3+`f z^EUlInJnX6h4S=GfWE`~sm$M68&rJo&(+Ml)NZ~loT6Vf^7BBptC5MIyl}>h0SV)q zDKf8CM9P_qyhD9(&CEBRO>+?nJN~L8_2S0gUVvk6j7DQVRmUB+4DGX{npvALljT(yz2{k6dz^#$3&5E{oaD#)E6(3TCidp z8)@pw$qx5TWA_N;A*y;XjZYX_dZSXLUM=Non7uQ`SHY20$|~N!cIZZ z3V=BRH+-F~JVX(i{SZ32KYYnve#ZH%6S#3cqaqaSsQ9S1FoqxPJibM7@>ZjMlV+Oa zZOY_Zklmmf+M48Mo>exzCkO?DUqWGbP%EZRj-D>Zy-LNtD`fuJl~?ej(zyKvue{-r zvH*9rk?v$|sp~i43)3hnX*?7(g4+`Togr4AF#mu>JySb_GBh(nhhev!g{61)9U+S$ zF-(>pbhY-|zssP5sIrE;b@2j3j9U=-^)YAuvZ!fvcM@$N{wM^Z}0@F8d zar+|B#`J@I~O zQOLjQ94hNdumAPqf2*2U@6O2d57x8QHM9zjlV`(B*q!aBy06qy7AOTkDq@C)?VX4f zgH2xakP5|$WZD94j`PK-Fs|>rJ{MqZGQ}5MC=e^dF>dNmdUR|{FVMe(xP(o`{ z$*ALGD6Z1_33>x?Pk!Vq5?X+aRcEs1eY3k)KC|I#aKj&U%bHbUP98{I?bE39L&KbM z9|x`{7)hwrk!}2pUFk0BJta#%3$vxIVGQFjpeT9Tw$5}P-+kPlc zcbB#wFj};rL2Vi>T=TeH^i~{3QyfN1|CRs0So29--eQCYF9fHj2~JOQInGm!w~e>` z&P;F^%?S>p#XY?DRG;cyG?}vbKrZkc1)S!8znVNxi+-`Z*igEEp`D3$cm2jTl;Q!a ztnOF&z#ohjU;n;s5+_@}-jWMtiZeU~2PeN9z8hM)8@}Q&n(D)7@r}7YzK<1WcnS`q z1w#kf_puw@aZruIGg^4us#koXr&X_D!)I2#lAagavmCB#={^iWztVje+zY$xF?Ba$ z`yNh<6^GFjr>8ABZhaVn9;X$awj}SXKu_zK|1NskPjY=2Eo$Ao@U)*4eHhZ!MIVNm zH@Sirh)24kbBZSiM22fB&XO-UbK226ZG$M7koP*kWGxXK;i)*o^V6^GVZas!$|7PJ9{4&3Q z03#%S$j{)y2w_!s$!LTHEze+%O3LoEGZ~TK3^&2a>7V(|8`q_;&v)dIqoUpU&Kr!7 z4$ODt=sB`eN6$H)>vR8BcIud;%5!}ft)_lV4I8PS1})2(~EuzLCnkBYMpcngog-m$i;7k=LF@?vF&R?Xc#J~-O?Iz-K8 zn7fBNbDSd`<~ZGb*s{{E&j}6^`YIhp+O=*_#*vQCs$a&$syIekad1j^wQgu5eO=Gr zvV<#+k(E=0NvujZg$2# zOv~*ci^>@3Ky>&4C^AM`buiK@^8-+HVC1;z`iUHAf00CS<`nNKTK|4)GWey^GhFw^ zY+DX<<*&4`#3ncso8TZ(-G0VN`NCsNY~kMz9%o`x93!nbM%r~MUf77H?YHiIiesb| z=SVl`Wc`fOi-$dGBP}>aT5*hYrOg5ko{TW&Utc-W`k}}7j(+aFr6Mv$T6Hke;kvF( zZKRiPwzpJ5kulP$!*Hr<>m&S8m0AtiALF@a((o=zL`lm%mWWg)Y0&{`)u(&5&GqS? zB7?N*fOOh-kv;ERArT2)DiQ7YZ#zzHA;7*`&*;*VEpy=Es-6Vbt2{!G;AP<|KcwEj#O zO@9}RmX_rlSf}gtU&)wGZp=BrXyGq%ZZKNSAAk`L5{rs!OM0i3{|5j7|NnRaWRkr~ z13?spCqJ5Keo#S0P)KPf*cgHcliiDs%+7|L*^t-_NF=0LNU~No=1nXF!QMyE-lwn> zEVK~BJHxIq?7-!~?03((bJ;q{kuo9V;c!*ve?e^ zV3r2&C79E9xG#WpVX+rtzTFn#Pk+G%OJ_SfTlTh+>mBQBAUBUdX9p zzR(VJ&Y(&Fxxp7=XvDpn&!DYwM5&}j!y8u@w-2xP6 zQmrm5|Eo0L?Z0^fkReyHLhjJ8d+_x3iJX}#ohZxNHCKrp1wnI6Lk;UXnvRCi)u1to m;#!7C*WvnMn}c?#q8dc#)idN4dYQ#Bp#cAqIr<9#0RR88+nsX& literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.cycloneive_io_sim_cache.45um_tt_1200mv_0c_slow.hsd new file mode 100644 index 0000000000000000000000000000000000000000..599a3357410f72f0c7863a8b179e8fd160510e81 GIT binary patch literal 744396 zcmX_nV~{31)AiW4ZF9%Axns}l*fy@QZQHi39ox2Tef!*R)mKTS)9J4KNmbH0ryC6j z2&fts_}>TwWc9DH{gY~c9GxueY>8Ny7?>HDiG*D&tWAhGSeS^InHm3e7N&nw6=@<_ z3+F#XVkQ>O|9ok5`2TMUE!h903dn!rzhP4Q|JK}rFT3GqZ7kFX*am`-ppZ}?paquE zrIMTxvV5L*xDw50)6Mg^Ew^li=uRiH&#w1OJ9>MZUz%9Mf!PGmgOU_OM8TB7rZfU% z>r4xn>V;*Mp>Ud_fT_vKrKQtUEuky{R8++?jmw?e&D(A_H46Wy)dY{^K=6q3fy`67$CMI^AnVhxco8K_8Ffn$PFw=)=xuWq85P z=NtdV<9T~n?T6ob-u3;%{$*Kx`)xXsX!Wld!6~F0=2_Wweq?4?8&l-1-$*c z1JlqiUtuV;(Fs>h-_|wuyVpU}&=(fG88gx>ztJmu-WoTk(VL7Zgd17ToEsn#ygoBCwl`BHr7kJ+(;9lL{wWrv__&{p?gi-k-_i{qzsUd&BORN7k7wza0pH;gYp9n6=d_l{GUn(cb6cR2|FV`>FRM zYMj)cE5$rQkJ;!QaPo|#6Doxj25N<^fo@B$GVIeK!wmvej}l!ekBnWzRslRw4}un{ zoi-vrb=1w)PPHd^v_={V%tLtQ{vMmmZmJT)-bw|zBMZRbp8aXArGrz(mIkb{hiQL( zp*)(Sd@;D|){!trj(m^1qGicogRhhqn+gwQH#UE|7gV@C=|SI-dR> z{AkCenW0;2_4?xOEXkC-54IoIjoiVj@WRq&XKXp9Iih|Sf5c`pTU{j&ZgZ-3y*pVK=Ns3XQKD9$0=JaRq=@MysXJ1SOC3< zP*6Q9@bvx;j>P;$F&v$$-{yWRZ@y`k+i&%9$r^fvk37!J^;bvn7{F4z?fW+Tfyv0{ z{?-qR`C<}kX&>Jb8+t{q&h1yF6HPE-b#I*8`?IaSX<%@%P0Pspa{uMV*VrHIr2lO@ zT!YED{S@Q&;lMZ`%A$l^KoolvZpvm$jn-;J!Nd%TIdpxPOvUdFSMRK>5PHLjgyMe;>d$HWYA7D)dcpk=% zq~^xrS~GuW)6-6z zF=kmoiwoP6^my^=5yT*6+4H=fyHw5RVpOuVZR>`iXT;ab@Cx9!yMJvWoP>&pXFAV$ zMxtNuj|v|a_6yC-3b|f5h0hXS>Jm~HnZ2M~p$A5s{;h=;E!(_&($dH&aPX+e>wSc` zduvCJALxk(@{bq3YGHwsF+F4!q*zr*JF3fmom7uPdxnYVv(pbl6SquZj~7NHvydxd za-EIYUv7=+h>Rlt}$k+F&iGn`1| zsGX4%LerYh;s~ifeU~5w|MWbOB;J!=foaSl4PK)*pHCYPwDa{B=bpy~Y8=5^2|nqzAiBGGhgc9Ri>53=*qac8w!&5mpN#6oRVad8G$|_H+7c;`5Mb@Qbkxu zPy*PIT0M!9IRN#`HnIsXr2+&d7uI~>E%4CTO z;s%5y9BEjM#Cd0zxRTKsXNUo{-`rC*4YRhRQaG}`qJ(FL?~@O8DiA%!BN|I}1xUP? z%iOT2b@78qV(92k4b8!*Lo-lO+Id6o7+%)z)u+ljpyIHQ3B?F1&WV8<|0?pc41)bk zWxI=%Tot>YjTN8U_SCF;kR;+)^A7OE^28tt!!3kwcqwFy)ckfwtnBuHRa!7$AXKkt zK*4sxZvMuc5`bXy2Ex-vNpVpd1+QZ(k00j|Z%G>s?I{K9<*E%lVuAk`z(vH20Vcw; zQRN14%q_wouvkV&$xf=yj%Qz3(eBfO_Mhe&O;j}&@llU^!B}`sFBKyq@&_IZX+?01 zORs1@TMC7MT1wbJ1t=?{N($AQ1M)C6I|skRsiB0o^EucabNFm%EB!MiB4^!&pswOu z98}f2Yg&xmyPfeY<0(nBrsDB>ttdZHCVj z4P2CByZqKk#>1e#JzLODrbkcMq z@EWiGJznehH?ra`+zGlAijmVt{1)hrMLd^zmBO6=4x4y&z^eib_QY`$L2-d-Isl+y z)>Gh=zG_eA47Xw2-qgdzloa9=?%skymo+gs8`cR!4}Y)s_Qy1P!Vrt0X>+}&ax~nV0PTq9!trCmbuB;|=&xD+! zTFr4@E;X&Ne)b-0{O?ABu_eMif!|s^&9&fgBK73yAgiCsStG;(ok!y0)Buwm@Awl5E|H$DbtwOnke=hB){;!p>SBE5i0@^J2t0&xb zZP0?5k)&XV#tfXMW%NT~$!eelEYb7?9_DRs+=UC~k{O>P!cPu_+@!bM)Wx=ZXV@?S zOAE!!k`QU|Qg&M&luV6-eF>7LD>5)f<_VGwmq&+!W`~rqy+v`gvJE?+Ge;R;{zZ;Y znKJw{WTW+Kuq~^x(L^vk#|6}-{VJIkPxdcWB-eZ0u$AGR9OV&%(=4sl6B@!0AY8_Q zu_~+62uwAVX?vfs5aip9-0k+|h`=BnbI%GZR+qWn!n@0ltak5rg1*3dAVsHWzZ5!m zm87S1QZCnlZ=s1g5XRfjp;2$?WDxKJoKy>4Cg+o9NZLx@3^)Co`ar0v+Cec3Q{ZM^ zAVj73cXS@?6>lkY%-s7p#u!BWV^_ULbGqO=E2)) z!R<=+9dPa3Tez|31^au@jvsTw;odNK*9pHn>fV)Cy$ATWL`c>#sT19@(FDFyl#Hgq z*)ueA7l=M_#bHC&dUfjp1LH~CSHZY)^-H%Z)sN>C0{TvGWlyhl=z&A`-M8CU32x-Y2_o7&_SPX}WNKloy1c|` z?Vn6LKW$9sqwLPrfkBIKBisGf=}e7^z`2>FPPcju#O}i%`$v=D%Fo9@vs`-E(X7mw zOD9tp6Csf=hIs96?yWoaN(nBM*WPQ1-uB5|$o3~RFv>EC6oyUqIyxxbhv+W_QNQk-Qs6(vcs0x1!j%0kJ zy=`TYjx&Bsd0S;HD)xhu5`j`8q@dI8r)Sh*!U{sbT58U78a3!8fpH_qScm1`%)HiVdb`b%u(6jUPW4{>n{# z%bMfzHm5)d6=Oi+n+8KGf6&BJoyEK1i#k$^`{kAKHKQtCH&U!as={49%2aYFTe9N? zI)JrW<-VvG7`jUHy&VS^`v&1cIGF{Uw@KQsE)#O%&Fb$+E5Gi~tM7qWZ|XkFq3A-F z0GzVckS*f!d|PBU;;P61hLSg){6s04CG{cB$6dM09d5eMUa^d3Hc$3qKMf|e^>PqI zMyE?4%Mu*UBx2CMw9*c%@O}(LkkuCUaTPXSc#kVpu(^zfR8js*@E`$&BzOUrExtWl z5t0aP#qN+{oAmbR`BnTB37Esf@!36g1Ov|UExAQpSQgXOY|9HGma*IH7Vd#E^6J*E z(YB(Og>!qG)i)}O$8V+%E+`^!-zx<4z)Kvn**pwzmFYVZ<597n4l$~LH+TA4KS0At z&|C_A;n$gSEIGrX!^Ar^paQs1*JEnkTCjBJ4ladK=(L|ARwS6Q5%3+w+~!zfeVR~` zVEgpFC5t?=R6xC6yzuI&}kXO9C;d%1dXBk9DEJ7TJgRQ9Ns|; zfbk`8lDj#tP3EY_>?wIK;Nx8~T?lNTgp*i~d3HXRBi$TU!w}!Vw#{JTm_U7U)V>t0 z{2HV6C|}E*;zKV1e`@1yD{D6f*aBHjk7iJMujRMHMTA=7h8kz1#3Y~UZ;S0oKJhcE zI>NrmjChi{M*CM%R+Baq`4lV9X5v-#(9T)qej5?+OimoZ=6qOqNLnWJ5vtkEJ>sR} zXLypG=6{;57n0;Qoa^6lx6wvL`0jo9-EjQyH6`$@1C?VELbc>e9FRmuC=)yF?`vr~ z-P?~rY&@THwGjX!<^gWi@ZCF>xe2-CS2YM3F~mIJfkkVP7| zSeDzJ0Af?gCBGU-%?}s@eC2$y2rnB0`sM7iClc^6V38``DIBI5U7_pst{dt`n0pzp zyIbDQ4I+E47%PCMZO~x`!+T(ZONlmY-N}^^GJ}C-Y|!zNshv;$q`@jDo$Wc>7ny&e z{5DgXcAh5L(ZlfAqA#%Vq_o_mt{e0{dGlfRv-rW!ywNd{HUoN2 z4aDQ`1NRpl^jx$^riH;K;3$Y21^Kcg>A^)PAWelFhh?CAYxY`kDK%L)Nbrhe9QKMC z0j%R+;J&<8K3ZZ}k_nLgP642>7ONCi*;Y=PJszvT4c96G3dx`}VyPXfR&Oe{r6OBm zC`Lt0`+Fj$00J&E5xWKXC=Ne<4(fWFZkUk@5%grtCUq-KyCAEp&8a%PZ$V{VU(_7- zjH`!1(6YApSh-o^+q7(U;HrQmR2N%(CdV6$L<*v zRw!J569)6n=84%SJ+q0ZDZE#gdGDA(7E!v% ztw%vHTjh(^z4ZTXB!s>ifkY{1jut7Xi{t{VFw{ruPQ7-)k zl@>i}B1ANJDAH^2hgYgVjghe1AX#Zs^aN$9r{V)K2sg^~7lc~J>SbCoxXqFlgaTS- z03qqa%j-FCOx9l};lM#AJ7maz8w5t8!sA+deqiOmaf+V&!e|$VN*Z33e&-+W08tl6-hM00=Fu{3Y_7f-G>0ddKMj} z>w;UWnW>j^p0uTfj{$HHg^-@`+1dRw zNMWC~ZD2rz@)w8EvAffDd$7|~Dd>T(Kq^%psK)`Pr!;r7VPq!sRETj>1R22SUpgpH z%!$10K2C|Eg~HznuiSZ4%e^N3`eR?e6&nSn%v0SU7ZJF4R(oCK+jkj>vZu2e(0WwY zPM+42J*A$s68pwZturgDapn`sWb;e>m@{&|BAvct)MhWR=>yM|@ICyj*q(9HWnAp! zUO_`VYBB|;JTO~Atc-s@*Z9?HaOCP$f`dz)Fwl%`&@}COIGHjQCpO?}Xhcwpju<;x zGUoqzTU}9Md~|6oYcXtfiFDuQaAbUR`%Yo>eBkf^%YXgb0}|@ceb;Dqb63x+kj+Ga7(hJew=RxuL<#FmjdfU3VE%S2RwG zz6_Hj3kc^r>QJJ%Eq0o$(Mj7gR4=bruz&aN!cw5<0wj0}ehDkER<>Fr)#gLwKS0Wu%QTS* z1Lw~Mu^vbZeMhzI1DT67QQQs`u`e)?)tu#}?<$>Ag0PnrjwC^laS8@2a8Ij82~H_R zQGu{a)F(hK;)P15S=`z=!O?^ZP9c|p{Q(K^i;$-*@1>8+mZFZ~J#%$MI*3Cie+|s_ z13?|$81%I%h;R^W`2B4RGyCr{cd8WiQH9g3Ci_#-6!mP2p_{>Kx-#rb(FtjOP9C^oID6Q2CsU+R?A)nfBc_GA++a$DL_QJr z!6djvlC@Z_2oD?e#2(-gTNdd+W5K!nj)~Y+rO>3G7nbG)^a;IOTXxn=$fXl4qm8IJ z2Kt?fN;R`Q+(qaej^Z>i59oVQAQnuKVl9xI99T8Bg#pHMEunI-0PnmEelg$U{q0_U z@HZzKDY-Ahl*PKIDuiIRc5pU^Qn&;*T{#h+tyC>%BMDz=DpjvK{!^8KOr3w63L2G zx^tp1d{jf2XIzZB71K1E0M+~gpCjpfaTI~wU`RroVH(|`fRNkhu;-kj! z*A{E^UdEL%kcK&Rni5 zj4CL?+P7hn3(8bA9F^j>gQ1_-NfcJ=jP?tO{`ra~$d10(+Zez7!MGx(585bR$M~B= zH-Pw@yOC!9Sl*UPZ|YYm_ONCn@be#5OfqX)x|YFe^K70xHp*u64EZyy{^>+XM4Kj~ z3j(qp$~P<~8CRd1L_}+TKtWG3BHv#WNW(m&|gJ94gp-o`g zTOpVOs(SUoXij+_v>>9|@o>qh#nhZ*pNrpGip;^B{CVu}Z0?Nmi?F<4St_Qajy#Dy z%$OPbi>>K#-2QQs*u(s%BjfGR?&J34ivMsdqQ`^%SJ%yKoMIU5l^Vrl{!JlSf0}#A zO=3$N$CaitOvprw92+VoU7g`$qPS(E4@=qs8P*;^DUf(;G6U2ZMbC0>jwMj;sBX0Y z^k~fU{D7Vt$<=TkOih%7>+W@*T^t?)B(8-p@FN)l{Ueo{4J)CxB6jaiPRWFX_E~0M zyUriu+-YSjXu#c3NUh-zNKuM>t-R)w8eEfEs)D{9szQypt@SMM!sjhiro_4IHmiBI(Eq6jac)Fs$x?&D>-+(b zr;;*V@c7KRSBldJ*>GTC{H&<0!xZFifZ1FD+uY&ez+e>$+xj~~iF7b5HXih}jDy}P zS;;_=si;YZ-K3=)XyDEyI!LbPFT%Y)La_Au0_(=v7bkkG0EaFYhpxx%C+qlrZ+v{F z-OqdQr+87b9^}@ zd3hQJ|D$T`UbYyDFye$U^o14w+TRaO7PyVO0mdj)VPiEIMe?jd&K|*hCCFm0zjNNn z7EVo-jme?a7Uic*xOtPH9ZR+P*`KYWqx9j5MbwNfZ+DA{|D04akXc4V=_sAne8-0h zvo{d}9jnh}2;HmpZ;rKWgi3J{Vf6>MC*HTZ^Ae`mcSUVIEhGx*r14C#1YOWi;d}WF zE#t|aqa9x_N1!iLFwYzNR8Z^G^Um3LSz)B)%EvQ);f;7|M|*4}Je+S_j9qU3NDp~7E1*KCPQ0pDoba5y=D`4LHT!Aktn zR44Vf0=5wZa==2A_+yfS7e!Ao(K-= zrgrCXyJ7#Mw%qM0Q|hV0Es%d{7PH|NG5- z5dWzELeDG#m|=189?L~JHuw_!9_h*u#{gc3lwJDiO}+ragqqa$#eyK;$91GbF3w#h z-R&GJniIqE1PiPmi48BK4#?V=F6zQ^_JRu%TbWQ{C5W5DT+IF0~VsC>q#m=HG>MH&of+dkF!B$XuOAlO_su31pL z*iG8vD#aBGB&m$;9Xr)pV7bV>f{rp!Bwe^{+v4ps0<$T2y%fT2|By}E0jTuI3fY`~ z44j8|zKsC3I6Xp$6as#_=zX-aWi_I`o-=M3Vj2FktffDhawms$u%GU~_&BoG;y4Zu z{7-jj^u`O$boVwvu%6x7xSqc=<)41qPdK5d6?%bCte;iCj|scpTfNK(>lGPsQQnZgV#KoY}pse-i zEiV0OP3vx_ibSQhOaZ#Ly8q0TQFR6H6u$u-2C`ZnJ#eDwo?B^RAw=Z*GU z__Ah&EAG72fnqMcdntv_a+sS*jCQ`3v43PRZnTq=On;KTxBXp>+os=1CtpLdZMhp; zNb{?!cmxnzdyU^A5C7}ScLT0o^RCMwDC}^0YnTd?jp&sH%TuZte;DvAbM%@VX3>C* zHW2ZgqrRH;3u-^sn|oN0T9Rdj_b}F5(TJe==(c~Hb~d*-KUIG78011LF;-zL)|o6KW_ED>gdhh4lFDbgnvm<9tQ69)*X2V5e+np1LehT@s+$;Vy###BG(ymMHeyLtVJ-xr z;_F=_Dv|iDgedv}qRLsgq&CoyXbA(6P3&%u;zZ#LxbR=8!pdmPQUnHLZ^L`ZVW29K zFq9lp`;?bQnKwel&Nh9@mZy}H*51tm^ zusJnYx8~n(qU~=IOXHQaGRSU}S%eHiz|NB5Y&p4h-MNGU8uiq6b?t<1nkJx-uZL(B zo{cjIBZU_RRh?pHkO!uCQH-y+`mX`Cj}0i*H*7(AtvGoH7K=O;VsUjzG-A|X#gxLR zrT{9JV&Gt&y1=zcuyQ+Dq^TBjbl|QVM3yH6_FNA!941sz!6^t`NrW-$iu=VTeF~_l zK`gvE?(=t*VKcq>iV-j+>;=hB0fQJlOS?4i@*vr`r;ax9Do&$5>$^V~^aUA7s)4n* z3s5@IkqgO`zh77CC(H2fFNwmeLwQBW3}EM0VPMIQlTDVymw){!$vMBIp@ii-mu;bny_j zRpnf6nU+$P32n&WBdij>39dPGTdtP32~V?&9e$qmxm6Mo1@t?ZBj-&SbK2!3Ys9GvoqQVH2E2D{w*bxRYS# zoWjqqS^Rx-$vvpk1C)6$9aK#%$>l? zK&cTu_<}_pgSqKvKTXF7qn&CRbKn_Ce38bbcZlok?Z#<{O?*LhYya)=k0R2C4F9-m zxZ3GW#J<>j9W0^6(HG2n{W95ztrc&(I7kL{l{Fac_dxaH$-}14yohqUHnI!d46<=u zg+(N6adfwgB|d2=9|wmrW@T7Hr^Vcs$&t^2wd;y!T~ol$83&|D>n2RV%2zr!;n5&A z9(rgrIhi@eOdw1ptMVqnKi}Zt8@=uBqi6N4&LA@IN>q)msne{@%skX}RdPmPdU~|a ztFg>{Co>!=TDwq{dIv1I1z+!Hbh0p}`h~RTri2!qQ-9ZO0^RqV*C=w!anFi)MC!e` z1=qapeAf0pO85>8`ZTX$TvNivv^$J61~TgLOy57Jzhrp0?qer2NZsoCA5rGuBf0M} zO6-ugG4L}(H60$*yduaEf?=j%7h>aKDxyLtm&Q{Fa)cY#aQZuZ0e-?uWlz1*t+?^cH^i0kUP{AxS_dwo48y6nceD>)@U_Uagx=&*@+==I8#(Sz_|% zdVhYYum=#1CW8!5>-m1b9)50)*p-9+K5Lf@?%ntU&)9G@OmPooDO=pY)zvq45ZXPM zEo_szRBlV8-Wr#8PG>4ptC5qjGVq%Nt`YT&3MaMH^MiKhCBFAZfMG7@ouQcnB9r=Q zUP0djn~Ey_3=Cdap);7_?J9@zo1mf= z5sFntd7b8nhDHkAl)Xsf>Bv(@4YM3{w9xQ?%-m$`RC-?EY~5)P{*i`W>1^k?0Lxpk zTw6v1!i5&j6cMzkUNp>$;>+V4I`b4!b;!oWRHa2%U@3s6FEBX7tGGiY`3N&=@?46S za;V(EAoQTWlbc+LX5rW8#p%q!x!QbLX<+MFx!$Y?YO-fJhi`W_l>0W`9$725fN-#D z&dZQfSmNio_vM`A338@R-8IIN>Di=t4}tiD+1G8XzIXPDqh+sTq>l27>uBZo!teqzu zW$n$K!Z}{jnYKy?t4XmF_KC%6E7sR8DAevQzdzAI=?BnRKK~M=2CiDQc2A2wT&3^I z&iAL^)r)&!&V(W<=l;N##yOuQ@QBgPoNJ{LC`uc;xAHT=a9B`MA0N;!6rcFIsv{$9jUjYLjS9|dU2FVuArzN+ zzsH<%0lCtObUAPWaIGJY-j7#f-N?ap&PmQx+kDy-sAIjq*XHf)A8R$dx$OsJ(8=Q zj?GIjIj>OY(AvDl8q$~9Q?>FV_R zZ`_F5X3|2JBMHsv1h<)0KnHUHSHYCH5a;kCwvY_sTskB#X zG2QjQ!Amom)mg|+T`BcmzS<3W#UPCT5&6OYBl0`YcHR~IUcB^QizcBLR@Y&)S%%Cc z|K&47n_}1Z`7e@`)4{M+1Je$cQr5ZynU+&g%}*gk37UvnzMS%f0wIf+>`1BSQDMce z`$U#EleBF`lp=w@?Y@@txPpT$1}Q2fcj+F`kl&nRLv#z$-Q;foE~i|1aL%RsM|CxO z5~OT_h5mllXPg654pTVa9?0~UYdAp&5EsXKK?4tO_u+y*L`Zrq6sPMvlUDG=xBPTE*u@F;={p6H;a za%r;iwrBRN&AWi#9s9Rm47=pKs`d$wmV#t*+^HI9deOYrd(!NJud4LN*1nf>nm*68 zJyi}=Q$F$ULx9ohtX!1o@0#L1)+N5Gp|%2-+^TIsq$eJ%AR?gXckZ@?$q-EPw{A^G zsvl*dU)T1gV4t-1%8lul;9ncTiXgH<%kadK1;`1HP^17r_uYXv#0D&&{+DJ^Y4eoK z8*s^NvSNg=&xiun;ZP#j-RAKejG60kl#ZG0lH@1)(pg}32vGU4)?;AgbW?X9O9w#@ zvv}m)xhMRZ&3@rbE5;9;dT4ST_V*jx1a<&1Na0Re*3zKOk+pw9i!2J7-q_t&}vI7qxVWpSScFf(i%2BXyp(I zSSTPwuFj-rQ~8JNr-nk3?YHY6ZYzeFiQWS;nFltD2Agqs@N2M zcjw~95v;%9yI<~0XNP&9V??j3PCI_9pK4|=vlj};QC=FG+jpQb(01TeIzlCnIik28 zSR{I%U~kpxKp_(QL&{kMm8{QJ%sZnD&tC9auh@@QfLt!HlnOE@xjG?gS>G;fG5gSZ z;w@yM$VLp zpeZivnd@qPJL}h*Po}KiI=F1?buBc3tJh<4h)oa&BR)JSM_S7qSUo~xv-4*upegzv zMCy3zOTsLXs-^Z({tqK1B7uMUFwBXqugbUMT!P%JMBs&kCifOw7uQz$*a_S9m!=uP zV;I{V0z<@GB%(E1-wEoLwO=H=vsqIOj5-BRVb6klo+vP(rRP5wCx5cG0?G2zYvG6cA#_1Q^eJFn?N@GN~$dDG#`zc0`-lKgtjQPYVE65kYCyE>ry z#vToI5Br1n{d#izB0kLI=>VSP65$I>GWUTE69-(-Ulj?nzx7Zv)h$W02{ynp9e!P0 zXhZ3BYU;a1PMi9C(Q|`4eYX3(-h7>NctQRG_rJ(8!+{R?qVKxzz<%NR@&v~)*fE^dKb(g&Al5oA!0;+iR3u(eoTcxOtq z77SM*y+}*@_C1e76#5A>ZnTZeIyq{z#e}zNk+J+5JCn{u$=zsiHM$-$73(zZtlkK8 zHOUOSLqcU|aod*Pidkq$ra76K=%g8i9oHYavU>_g87;VA5$M8xe)Qgb&nKf#_I!&* z!KAVQ%!Nv`O2=FYRZK;~*|;ZgloRA8e2gd3y;fC+*LdNHhJ`4cA{4j~>|O3@ZsW}g zh`Q%su_lxxfsfxZ+0C;1w{ad*;klN(l1YY?da$vICZw}n9_n*CX|}rTdVX$|`e5^F zT$8154Frw~kvo?HUJI+RAZ^(+)x~M9r-L50Q3x2ILh+A`J{kKmZ0vI{84?=(Jc~G? zqJfp?vU_mk3gwXlIuJq)3gHrb%N<}>(cAhHdMppFbFvwA^=9U{z}f5dIA7{^bE*s7 zhV7@Zo$SQgqVTb4_qN~4*vhu6YT3aDOAn9GorKGR9L(kwtS}X5Ba(RP6!JlZN@N4P zy-^YBA0n6t0Y<*sQaT7u27N6Noj(^_kIt+fJgA$e#AHy6LD$z(BZJ<3D;EQ>(G8V3 zh&TnaN3#~$FjoYpO20__vXUtnsX=KhQTYhK2vn`qZvff=YR>Npv~snU)-?j8`rD8e z1PVLOZJ=PD>`eh|2$1^f|Kg^1-1IRN{H9MSZ+^$cwAh#^$p!XLi{Ho=zAV5F3q>*RQR&HOxv`q`YEtG+=(IGW^4 zrBs*1CvY0*nT$8iZHi%BiXVf=b(%LtdmfS~WoP8gbOlNCh*#aGr(!u2%LX)wgK+($ zc|F=Ml&=TC%jM;exMQav6_wrVjzJkDT`U1@W?2Qq&7t$<^!LRuM(AyZBw;O1Dg!86 z?%F6gGsh~|MJKwSKGH_Na|**ta@g}_7t5>D%@hNu)RgKllF3}6&QU3a&RU46^6})| znu1Xl{3ejk?%W?$-xso;wF`;AIHf`9nO!s%a^oV)+Q5Mpms?sdUVfC{~ZQpwA&B;weKFWE6}zfmT42V$ehZZgcWdCsZI6qjShZ*#wbRDyB1Y zxeYA+KRLq`)vT2l;4!11m?=KVCE<%y*s&R~kl34`ZRXm8!q%5N@H+GAlou$t>5K~! zysrjZDk3yhoeN4SwhdhCBM?iB80Ks`7Ii9?o?`rzEh@jWm%q0W+8;LYUz=RhjSTR; z7W96V99ip#cZBl0k`Cq{rMZ68i7)K4M}$$yA7h2EoV^K}3;a zg%V;#s4vO21(?r>d;*PA!eJ|^_9;xAjDpQEKoik{e;BeDWg$jDKiiZ>dn+O``g-!R z=Mtleo>1;0JuUzzTm4SS)gr8AOTbM0OscW7j)yx0JZn3rsHzN zv?(#V8SrxEOB~cQgdPSM>hpGP=4Zk8wd0ArwEQr>zto)Np#0M68VSnKBcf0^qxQ9R4+qqht#Mh`p~9&oLg3E5NMSLt8Q6Emhi$(T7_nzURole*p4 zBP=i5Z^3>?5?hy6#MMi-TEPN}7^++Y5bzs5=d1~?*lckfNUunWkAGAK5@4k-Edblp z{S`twig^KKX$b(bvZwh7a@T20cq3X8K@2tYkQhqw;99C#L6o87V&Xi>HVfrhaBv(+ zfJGKOt=i~#D~5R=*xZ8Le3Yb239wI3fiS3)qqzjVrr2Fk8{XYU*qQ<)z^>&)kwkgX zv+nimI*1({r|t%H9(OuseM**+b$n?==c?6-2;|hm!>|Nf|J#N0H~+9MYvmRd#TVZLA%PjbFfeQ(5^!Cj7kvZ1ziQ90q007e)_pn~ z@HN>0)pT4Oy+1+shK!nf!^h`>dW0X~P7Vl%D=T1nUTdbx0-s;H)8>nrO4^U073_v=25Q<}7s(#T@NreJl9a#l#yz3ZT$GgM*Np@;mCeUs>#i*s zuoi*lOmQqZQKx<(7wa-XE}Pm4C4+Lj^DECc2W5Q#Eq2chzUjG%na&F4mQ zWSunhRf7OtdYbwyI13I2S3qb;JX1*`^w=45aaaOmJn$U(DRgul@>|7Xqo;s>Rmu2y zOplaQQX!Tu*>ii`mmVJb3UKAd!G12}Z|MU0-^k0CXBw(jBe+Q=IQ;Mk?KO zOU?`^=#7OnXkkFV{jpn{$suhhO-$yxe$Wns%f9P* zU*~=mpwo-gX0G`rxeP#(41bjAZ#$xK3|2y*PmxOmSyI5ZP(@$}O`cWOA3~ivdPWwh z2=ycxl|UumYu2FyBU27=ha|5^T7Wq#p?;gQY$zQQ0B;p~<5Ek_=;Nn=*t4|px80Ga zbeDxUh79fsEjdeYanPVJ@1!d#1|P2$HH0>co{40^7D{ZvR4y6~V}pB7f8>|~6*(x( zj_wnifWz_6+6Z@9q1$^p)a3d9?6v;HD?Xz%lP3g+;G}7Jgxd1pgkDmB9G-wZaoZoKq>RQSO!%V7DuP7A`d( zp7os(FFRsa2G0CfU+1U{aY|?IQpI0VEBWt3CSe5vrZtf;8u3rCju-w0uBRNkYXDN} z^N@Sh1zE|rUKi%isufc+qCN~Yf;8k)$GrVSHtE-f@OfpEYvrnBx+R~N(TFtM=$&35LB@gbl zrQE|WY@@5HX5`cdN#|V?;6Sn)mvR}aF;V8hj@?zT<3(6qzvLvU<5#CH0B}0T*Mq2p zUa;Zp=Krx%GQgY1y;#7j^Z5|Ffa&VfadF*`72(TxcFu8-`8B@4{G1+vphoiFv7SNZRlU4Z3)V#TtU`oGupjI3BVI59nJ8rx1?gZIN-0t! zCdVXRXKb@(eOo%Uz(H*l0-59)Hqb9{o| zfZX5h%C`dv-hIfTmwmf_l~VWksr&e?!;Kz#9)8`7 z$g0c0{sReyKK+#x<<2JAT^y$1N_@54lRZC+UHqGGF702NiqAZYXwUf99#umVy#&H8 zo1R6gG6!94PELh0zLiSG&UUxqdO|{znf{!r`CA3jTL~sxrMu&?Vdvo;H4V%CSS@x% ze0!GdghGtT*y%2E3kl06KUYn9>^TXc{|KFZ)B^DVE>oP(H{mCNCR=Xm#}DtybxEzflGQ$>PH21ql1MG-DSi4i_U{ck&)U0 z(JrO{A1f+~@yS-+)NTx+&SluIK2*_W!!M~UK%`HpAtG81I_JRsaTnj`{j(ve!tzh! zP3B=klZaRX?6;xWuuXO62oDvk+lSb-WL|(5@(bsLLtlG1TaqwG!ly=%%g{-{b!!^!XCLt7>>Y`MaBpP4;BO}PO5eA zE+0}1J=qooI?kSuya6l`$~p8_E2x*iKSebL^-rI76&9A66X#ZH^<}V=8OaVFG2?l6 zh_>?y{T7Vh{;bb}+t>09>?ddi6eD>5#eNqUVzO}QcDJi6G@=ZGPp09p=JJ|Dr8Ol* z2kG)OsRWV@u#Cw<;&C(BttupSP2V5(d~=-XziUs9q7iwCdw9Nrl|w;^<#MvJMyuf_ zD2)mX-M6kL??RtUNQ&!`)3KkRYF7csiZv7k%1AOJIDuYMF_j=G2^P}-Hfl`v8Diio zYVS8Gi04V*C2;S&Ztj$qdyD%@pM4lC)G<@-5y;rZCu0{g^EK;@@o4};@8wpa+seQT zVO~IX!w)?!FQZ?`(JPz=9Nvg&JCHDaSlERS#J44Sgn4<`-@z_SOl-h!<;7^qjKnDC zf~uu+bFF7$$_?i1O%DhC_hS=;IrYv^&p7L=w1U-LV5GaD{R*lN&=v&iZ*Cc+K4^$j z1BC-fd;%;X1TYDZ*5SZ(J)>UlWG2xO=7IR{RFhEl1VzKb;iLc)EL@E2Eu_LlaAqC&`ZBKd5A zD7VRS#iygjrtz$}D`KbTuex8mssbu=rlH&woS4pC$zdrDp?aL!3>)(2SHf^%7;YDL zMNe#iIGFyb#|)@liE78v`ayD1*bE}`EUKHKcQb#DhyxXBH;nI{wZh1zIiya@YxOB> zEJ!#anpwr_F$IK%(-{;Sry-RMeCxcuQ-LP&RU@~}600iwAB9G$EoyGWHA^+(rpmUM zDV0`DtCkI~f32>XA>`X51Pda=OrcPR4_LmQ`+7!Hjjfk$JGQ9HH(&T)2IGG6*&!Bc zD@U%UbHb-9j94Sh78h!Z|Hv}HJNZk8xX!Dz{r(qcfoe~I7tI8PN~AE*jbR%E`h;Pj zAd2AW7~-HGa2L}*Hlxk?n20|-scMxc)R1tuSr>*{Xq1)LbVc@HForH^ZU_MH{ zL|O`K@a6=n=yoOv7w!D%FULYAo{~gf-Mz9I>t!kSaWwsIp%iQWQ``;2E;O+cUGG_& z?Z`3|#pO?nTjrn_bItPtaaX<(gam5M^NLly%j7BqB<_g5=ff$l&OSq+>a4d=2J)-Ck7Q;eJjY<{aApi?O=h~iqW#{rwcv(Xr zvVO#uLM|%z_{`UV#n8McJqLkuHLjgXIVCTbZ`DHcA@sFev>OE8%GIqtxo95Uuvh#m zI3qVd5%@n>=-pk~yZc;x@~^~${{*>uhvQq_3!E;;Lu%;+pyp>~0*}D*4Kc^WT_(>| z2LB8dv>2$gfwP#QWg~soEtO5D7^}2d5)dve`cvYkct&2JU0|3OyRk0@l2&dSn$Y`E z@o+3b@xE6;yIit(C#SBS(k;>Y5^yZs18eSVtmvr3ID@JJVtz2s-#T&VdNa%_!ZW6% zi0UBrLr`sz* z@2KaX)hKpVE=5|d1h$csh~5Qz`|?3nmut&PHiE8a zKkt$A;-Lkc(Y(d1#Yi497cwznuJQD}Bv3!Z8_%lWCK%(|-39UXE_n ziHla%j4;jAFM8en#b!yMnSC7RJDy8{#6Vxhq7Bp*8WKh}r)m5s@o7O=oE2&^Z$)li zukhFytw56jTK3-BhL9uE=k;W0qi`AYkn+p2(F+N3ZFdx#f7s{>X_tT8_>ju0d4GR< zb>o^}3}vjBw=LE?ZPRjTr)BMrd%wc}43xn|RjB)K32kTyEi5Qld#1=%nT-J<(bCIk zr`v?4qBqm^b(1-b7+JVE&uGA0BfMHJ0N}Fx?-}5yZSk^bQ4-oFsZ!;Ug)xVZ03L|! z3?GGJJ^kt1pvmhx44Lh2wgN1;hKnK%ucOut{&PsZOQ@@d$Hv7qMx?jD1uH%DW)=J^ zrDB#S}L~@8L#Dm zhVe=jQl_6umFb3`U_NINL^>p|`h%5^$2badnUP^&*v=b18rjIIOBQ>MS@neVPXc7i|1R%a#N}AF&j}C0TVwF;#m|@_$_V7j?-I7 zHYi9WrS;bSq6@-M5h${$?Z#aEt1fX4JH+VdW*HNKQwMEDQ`Rp+S{-XgD|vL#y2&WH zqo`+D2=W}^z|)O3{(VQrIP5_V-e2lJD}JV!hhxH$Xy?aD2U5|89cRa!v(trZRI|DU zIGnRF`@<~;0S-qz?CpCl>RstQ&pa<2rHU4CYeSDMr+-f+4iOJ;Mc5-NFIwG2-!~ZH zoD-@%)Imq~BmSURRpl9{{k6zlj-ENj2u$h58cCr2DayOzGsZEG77ls@>2(M`uhi93CBMjFJcbNpHQa~O;Au&ZUL=#>h?jb@ghb$xof;fiIdJgM;e17ba z=UN^InJ7OJ;Yx-rpDfLa2XKZ``f@IodirR|w2gqrj$jb%`2D;ZJjnD@Lg{MXa@ zct8HV{;k#-q?S!hklduR1t3h$=pukL?E_J1Q(nq$%-3KAosWVipU3p0xpYUZf_V$h zCmPEygTYrOm*vv}gFn6+dI>%VzKV{)h1B%^B&{D}|7Amo!ghQL*+=8Hx#)pzLr4-; zbJwT4wz-Kj+LKD0OZNgLb@@H}bEA&{fI^yu?VnhFN^sbaljo0YaYkWhx?$P{>47ny zT_`*u1(3XLSXWmEjiyQm?CB7>hZdw$iTc)ltXxFPEjR6mQDy#!TG1?nuzlze(bY(!08?3Oc0 zB+ac9;?1^igoXrtIm^SMQY&^IQS|^(O#Tr_+A3TT!5n1^Q@{fSAo&r7{w!TGV=^Pq z-3w*tzqqG6i!yXsK=8ff=DqOZm-O)yX_i4LyN1>gp%H1gHer94ju8GgoCP8US+he; zR#dBKLexDHa5=}tmH31R4tz-?GK0%zv0hD|@q}nj96e2p+`BW21SC=i#7bp2yWr_h z<3W#6LX-d@e>hG3-?Kt(-we@Cac~~@O{Z}M)|fi&yd7_kk0_yHTS-I|Xbhe$$iW66 zx;;aD^7BP>Xgd4t2^g4hWr~Pjz|bK+kK;KCTAex`*vnW|G}&m?kX}hNgWUdSR2*s# z9Pev3Qg;+S^j$#5Efa;TtF;t#&hUU9oD?;i`s*%>d#V)oGFz=i?u6&@eTyDB*VnF}~M|9m*^&+5Bwm zx_{Va3$>drEg3rX`zK!XQPAs;JSO?9<#hgOF=M`Ni1U(_9HQ%cJ6u}oY6mpA`SI?2 zf%lw9^F0nUlKM>hHwuSoqKYg5j7x(LS(HFYM>Zfsofv9~$Eb-)d!qbAran@9qXsZV zj}eSZ(wrBY${I?-`$WxS1TQ%%AluO4w%g>`K`AK@x*M$sz-Jm0SEx3NF`*B?QkQgJF1=%_YtMReYVSyU2Uj?W*a`9w@#%j;&}QRSo#N|= zoSyZIQUoUXtaDPi);Pq$VEz&gWszKTDu8iAm1T*} z!WkYhuw%LictBW?Yq%#RMP>Dv*`qr>sbp;^U@N^Dg6dj5q{LRf*vv0928mN-^Z-`n zf8t9qoa&Ra?09j>aI#F>dg%`GZ1TRIc)umlX%6ikfUdS%d&7IJuWooo1O%MGsY|X+ z1<=oB!ejx5V)**VX4EsQGw`g{dz$*U*P%JQ0Fh>9wC`B}B2snc5S)cFiXz$u2iPRzTz@=jcy>(7HwtVcQr+2X>#9i9_}F{mUdhosDRZ$O4d)kl*< z$Je7inl_!7Q2by zD(0ChN#xBf=aer9ISK)!-tJwLj#oa1sW zqif~Js%|&bd&d+pXT8JfKic_SlzEX<>VQv{lAQoQ5}Z0bM76>095wz^YSyVNb#63S zoJ>!V07WD%sy7r*engd z=rs==9fcS?obv7BeKuY&AisEW@_qEYFZ#LbeV_ddVyE30SRuW0nUh`qVh9u_ z>39zhptx>2TlsspIK-6Ni?qsRp`A{Z)?vsR_HMQ+?M86{kdREKvu6t7FR>f1f`T=< zJ4VgdB|;44r@m`bt7MSC4@{U2AgQ`%GCfAAlru@f@t&?KAsOt8D8<8SGiSL5Z)Mgu zv!c_sVr{78xoJ{1W;%->I(O2nJ7rPk8EH(;F8^6ya+*!>cmfgFTnI}WxU*J!ZXyN# z1ICT%`01HguruJVJ`9m*2ot|p+|y2n55Rby9BR>b6v8*Z}Cn;A1sW_+&utIz|y(^oi04z^TzM@)|qCFq##jE zGhC7hlJ(i8k>vloWfcpk$D@}7Kva^9j!wM8Qv4?hyZXA2ImE+ zSXW4pg03;5MSiDWC5;G<8W?AkAfRpX27%pH!6v!ssZU0FI=XWE2$Wu$jHOo@E& zw(R*+)`G$$j-Ek1cJQ>p+Y4$gkL{Y2ye|?(wzBr=(n`>2UP9oMO5(Wr5v&An*N<}V zd6EA6<%135b%o`UFt%u5exj)h39_c0jdhR5I*zIHy%__B`TN4uM&#MHRL^-U`N#(z}MX6U;%uxn!HsVi99){yL6^E`MO4ov9>B6o4`qeABs7 zj@1U}New}ersG}|h$KoOR1-_=L<*s$CX=#Nn}x1sH-G@}p$pr@AV?iLGay8La8nq$ zR}xt;FuP^F=a+qmxEHWj6+QGQ>s`Q8*yT7mh-dSqjO=#0b;mm88P$j6z? zSxCM!N$;7^&uM?wpjk~AeigCOJcZVrzV+54llQxPzk66{Z-qOG!MiQEQD{F_9QauC zd8_gi2}Yb>uu>0Isbv_fP+dJvkDOqfE$REiF_(^AcJA79R&C!qp~9`D>E`V2H5=}` z%E?{dwc#Qvh{6A&VRmh~9LMem3~+99Mmx>^FDdLp7W=JBxAPo|&w(7!`E_9H`S31i zSk|Y@@hRb3tM%=<&87c+_xHQAM5gK9C;PeLfh_G}JjT2=Y=nz~vau_m$#NA#GTT@) z^YvO{(-h8@>wA0EMCxmHP1zhE?i%IBfNdW5e95?tH@plejg+)sM}b8I zDVV)%hil=sLTw#XIu<}tR3n9O+3c-N@3<@+x$66_h7Ed(ZdS=z0VDM@1Uqz>%5Ky;lC9t0JNre&%wrFqFUGtTsmO6!BSisg zJ}B6Q)t<*h>FottC@@w2g9Nsx`XyM|m~sr`FN`=J5iDPxSNKi8PvNK-XYN*yvhl2f zVbRUACk^Ii4u_TLL|o&};byh7c5c&7(M|W{Yqd2omZK0&vnQ1IG=gxqB=ypk_pg9A zdc+~;UL}Uombr3fO>LcEwoiL&YAOcX0pv3Qsj$DGv?7&ZUt3jEH5wby(cJ~1@9Wa4 zKcJ)6*()92&8cCTqH9W8G|D~E;I)|@S`9oYhZDbeoN0jV4|o^+pDezABvAG_c=Re7 zX3uadpDX$&LLr0+wSd6S)UN*m6+>c#h0W*>{LQj5cRLJJ4DmFl>_dv6q*4F%5i-_S z%e9NY;2B5GQD^_--TKs86-5m_IiT?>F|s0mY6yYizIuU(YbUX?yQEqU1j<%ne*~YGx5Qc+|TBiB8iXdH;y54`VoPxj;EWAC%_F9pz_-*114Y018RO zgES27D#bGc5EJ!+zT+t-_i&E_Y!2xbj@a+QOW6nK`_}%M-+g_}=EoJZz0Pa&gxIzM zAYr3%B47ekOK@kiM86kO2_=KLO1UDY$SjaWUebx@FM@Y-dfzSjb!^83n^gkQAh`D_N#%Ui-rzU5ko zWbEuy3RMOpoFjPWrstRSJuVsBcSa}YElvY*pT~~m8^bCgq?_qDKGn(Y?A`DESh#V# zFG!qt(5~rHm{QzqibE)5iAGx$!ZOY)YvY0}yvAQlx6zNrsqOjhpk_6Z3NsvDE&|=_ zEYIEJ5Dt~{wd&w#CGsRJQ6iRss{-{9Z`uoA0EFzx?_2V?MfGTstrw3IMcrya?%~(P zK^`gx3ifi=VaQVaV%1mF!AZL6KMY$5I&2)=3cT1HlQvB>-nDPWTE!t3#tKF?Oe7H2 z@z4=mQMf==6{tGrlR7`eBnme7k303NX^5v=D$5=B+)*KpXUlcVt6In{b-K1|(Pne` zQleZ*$g|C>%Emh_P8MPf^3m9>@3W6}%YbN$>bo^^l$D5Zm*z z0^z$)uKEmjm-Ix01(Y-`use{um^bj|bgfkE?zD%sp!7Eg`o`N3-?)=A${_K)$ab~% zL;x#Q_X+lK^_QC;2fo?We%Ei~(oO;Nf=@|!GTr?P|CR+eDt~->k>%MrRH!HN;-I^6 zfPl+ypO$RI=L!_P5{@`oe_}^!rjR`7FGdHKyj6uLeRI=~xoXEYkR96!r~u-8;*h(} zE*GEf=S|}xA!*B4P29?UqYLkFsx>dX`ioH}TNdRT$uo`uG?C4okHmyEZCvGaGo-%A zeMi?x%od>60+g&arH%ip%$tikDJ_uBGBj}C(n5CfbrThmU-rCpY zggtD?ZK;Sc$An;r*ejVG=D^09i^F)M$NdOoxPeRdw<+AC=!JHW9l;d7yeX9GE?Q$9 z5Xu&N{lb9{o7{?~1nnb17Ev^Qyw!0y+@s6{M}6yAylP%-3Q=`O)=Y;xP~T`rV3rg? zY;${QewPHDwdj(+P8(VEm|KUjGFLP5QKhnp>ZWC4&N=@pM>+lffV361%)?pDr#{{p zZx#{jAM5EXNG?XG2@U?k47=~X&cE>f+;9VxLSq7@rVcAF*YmSE*goFX>U|0An+gre zY}v4KRc9EE;fn2kcta3Ynqc$ycR-uDljZ zIT}nh>~HDtE&S!>h4BewvrRb@Na052c`NQ$pt}S~^6>&H&{X0thdcnJQ8Ip9Mo#jt z^L<=;o$x7Axx0s&uIn3UnTNQ2LER)s+~?fYIyL+o6>Ios_gd2SE&mh1Z1-GFxXoue z+|d#8Iq4hrHZ{gZxSypoXsIs6mSw>IOoIbj*!W8i9{*(r$s*9^&q>7vcH(MKKi=xb zi>-rrRr%q}E9mliqZcc(3HtN;9~@!Tz@|ViP-Ge0Gbn@vOIORw7TE-zsfm{23wTY{ z%-JN>N&KGp_?I7ZuYi^s-3T42IFkq=JhWugzhp)%k*H;x7+x}04kd=Er%;FqCh15n zljsL}!)3!5So2N^dc!o17_?3TCd8>$$Q{Bux#=|LtNEcKf8KVwp##MDx4Ge7@Y)+B zyj>dBm(HhoNZk<%;xaiKB5n6J!+)Pk|2ZTBybw~Fsfh$LH-&*(y`R{-0F$Hcs(?Ql9^!;*GSF?-=U{8 zK>9(-X{88};iXbSEk4*AivT9$xaBa0`tmlvGN-))>a|p>^76uI2z1@a5IJFC1(LXs_oRxb z8`A<>cZ9eCnpJ63yLM%d|8b+1z;!%7UtlWHR^2<324WmILmI{11o|pKvVhmKHMcNK zu~X+UoOHO+z}3>B33<_EvrfN5B3KrXTPN;@bNSraf||Ft?Bc?S#m#Ge>leJR*~#p+ z@3{u8&-}UN*1KKAS(1n`biuINWtpZJ783)(408#|M#RL!ik%=ft~O;e zR3JDk+CpTT2w7Mh-I{b(drwG5jQN}z+@CD6#@#{f8}o>1Bg(R{Uu^;?k`&`2Xq7{= zW_XkeWmM_dLgWL6?0i9Bul!`~JsfCqwj`)fb&20dg4qh;bbOQ^P)Mhsku;y3gS*as znF#^V)gcpcBC~KFe#j>}(t0xf+zmBYNoi!IwYj8O5j(#XJwZ-~`cvgPc{083+`Z(X zbP%mscRp)$5|ol+PoiPj^6@8C(w(m)-SYsg4`HWL+y4?5m=jmhg+&@(AH%hWgw&CH z&7o(?P`Q!l&&vK$y~-F@el=|Wg0WYnrhWs@b#%8D^yDg81m(N_XS?4WxbF_L+J^9i zQ2ml3R7N^2ucPyDK&?_7&$ZOW638en*kYss_%KynEA+h^TmPI}EbVpqr%t?E9|$8~ zU^~t~0t3?JM7lArB+z+endT$FjzY9zF4q1ATh=!pfmMW6S>>TDfGR8oUWE>n`Lu@&1FdYOAbILjDesD*=-GvG;bg zSz7w!DXaO~r9fmdG7p(pXv$J9xAV)&H$8_0?E`f}#ZqD{#i`Bzv*-!^ZZCwuA+Fel zE?Uzm+A_{1uDFUU`gP*>NKEgYDz1pNkhv}oNY^10Yhz3avY~>N^yG3X7J4NrO_a9!Pq>!RQgX;h^xva&fCH zeuRq2C~Z)|`Z6?^BrkI0B2b6`$#+sWCtStl63}&m_vCd{W`*-tKu>Y4HbnE=8e;@lYrlH?^s ztWpqYN0cFmSb-!fL5|7g12Hs4g&-(&i-#|irI)Oz1J?-vfg-gOE0uFIsTOJxdBfKQ zAgPcNgnX<5+OC(*)(ENp^;=f=!l)M4K*&xUp=?gFe!= zIle87zX&|qJ61>VP@w7)j*pG4T-E5ZyvIoZ2M8&pr?#HpagsMU1N(j(O^cdVdeX%V zEyQG`imQlMGN!G~6;37IXG{Lfo^z%6)*wrm7oTG#l%imih`((B6*ccvY&_#&Z0i{` z|7K2TmpB-@@&=D}KWq3uhXsrI2dznui9nW9cQnOmT=k&C>BQYCWn30dw2KL_$8(8} zN@PJ6PqOuP+AbfbjbhF3WZ^pG#%#7Velyz2aNr2C1rZfMvNR0gIsEEls)8)@G z(09LcxncQYQI;hsNh7j^rNnvo<{{dFgL;6ZyH2>wk<8IR{Fcrdb%tE$65}480H^~9bsrvyT7!(FNNyk<1X!2j zWrtiHZw9_NNaK_ql3tP|tq!Mle#eq$+FZh(?pQr4-myJ!_A2T2d1hbzY6! z*^K!{S&H&vlnVYWsN{U{rV;YS76^74Q9v$iKsW<)#%!j>4jFlb*2i5VeH?F_v*PEj&kch+_nI5{u!R!R)e`zVAMVs2Isi= z=H9)&9HWR{&e>ksE_5;}aI>4HwNl$IG?V7JBmenl_c0fl3{B-kd#toMVv(AY=?jo1 z1O(<4fGRJe9pDg)L|($(Zoo1b_LU0CI9A*zwvv%-k;+UDv}i~E%$<3>bRn-qS6^z1 zM4ow^?kIVU`iWJf>8i%_`s|`r&M--t57g(!A;a;lIzc*rkl~n7>`<jke3$A6A zHy3->B2<&eMXfP0T*{vBK%oSc4bEswLkyPysg*=yUrQDMS6aDs9EDb)7vN2y_n6Ksnc2@y_zo{-(esYJ8Ch|HLu@!_%rG zevxPqk^D)z_XR=M_)5iP2J=#TB?JGkOTudEHE)#UoATeO`7ZOzud2-mvzZxrRo8Dr zwii1hDr8PGA{rHr7pqH;Di<_dMnU{FaAo6%+3QR%?r@ z2^Y{CYSc#-vpkcHy&Uj}L%lHLVlAScH$mTo@`t1a(bv@)Ww;QBCD3t_oIE`SeS@}gyjZRD?B8tT}2*-%%p zFJU>^S}gFQIm?HiCR=}enN(NUQz!{3kNl?MM9#I%c4&hcDB3Psy(?gI=TBKZF-&i!={Gr2`b;r*dYOUj2mre&cZgXi`YA!s zmQOaSp;_8=*rH|rdk5djKBsAuFqZ@rX%#>1&kzUm)n`BZ%=?DUd y6VfizJd8h z6q+;eGdGB_nBT6RVOfbm+pZza45Y}ne!dtdFBBD*#(G-@EB<<>8P?XU1|QoY#a&Y#ag-JQRxV$Hw||l>zio()n{LX$Ohoi$hilH zN!2lQ!(cN-J7(&cC*RH2{oLPth0o^A2{9a&6*n@x4q0T4rUUSPE^cPHk|wi519c%L zqg&>xcpU{UNs^^&p&AayIzaNeqZi9D2~Oo4ia-lE;xY@9q<0dyBtiz}%>oe&f$&x) zQwv@c)08vU5ww$TY1c~Rm;~lm5S#T?%wy3DHo9Jj<`hd2-OW*hs=9C^fTE(tagQhI zdXz|=u+B*`LzXnjb^OV3=0c?7Er{iq@^n8n+I(sbv^Mwsj|O!z`mXH^^>8TvlbiP? z{L?mQmFdeG^sDYK^fJ_(t*Fn0gget9VO90FTI`B$hE7cYn+1tuBQ5S{7Oa~9^)9Z@ ztdj^j4OA13vJ`9~fYn2rVr{lyQC!rpxRsf+w(ydPKr6Z`BdbmYO~6axS?{q{_98^5 zNyo?F8k)h}b9c>l6y(MyAtpqIq$=K`h^Q_VauH-=;)k=)q{n1!B~jTWltAmknF>HT z1=Q~{N}|!S&(vb9LPvc);ilo{LRLRZAYVFE1Jh-*o3G3|)c672e9)0}jY%*C{R2IjfV;{xPNLJJ}o~nk`o(fPO5KH6I<51HuS&1(ilJ?jqps}07d=l%hWV}zE8kIzM(T(#lnl)C=B zzFRf%>Y#J3!3QH#GM2`fUxMxH%j4Y1)?(6t-*Ujh`6JOmoGhOcTP7n3c#40$9@Co$ zBkyQ{dOh!*p9&LqPi8n9Y&SB*1-FWsYib|Dk%0V-PnD{4c}0LJa11-*Ml1|1iNaHO z=n9=jB?)gi%yTfU8ppt293Ku( z$#zY6JbD{%r06B_cBkkr5yu!eBTO-F0yUMh7^)DM7F>}OAVDHi+^!=!WEql)0tb*( zX^{;Z5crpP6D~wPUHXfbInBO1{7s=QEWNJ#!QE@kSu{*ZAtCZI?b`k;8pcPNx`C;2 zcj7P{9fMT+U(TNcu6re^@W$x@)>)Xs%R($!bnpLn zxE#pt=AYMIhAAC(0NrEbm>K8wf>}P{s+<*%XH1+(q6+mcs!E!S#A?fL-?e+Da^n;= z@xIJb8I@#!4L5cpC_^NWLFT{?F7IgR_jJnqc$~Ikr6x0SV zu-;k(#^^>6kS%dfaKscrEkN^^^}Z?*xR+kv3l$iVvoL%ZiR3^km@Jm$7RLbW?O9S% zJSiTipa9FwD&|^2@XotZhNdtmx9wUELH-$Vga+%!(_(-niQtXt8Xs&noQ|^bMNy8R zngoa3uHX zc{%g$`RW7gC5hiG48I{>=b8{?kbeH57gI5%7`dy9RSK=|VlA>VJI3gfbrq3CG^ zpC0H&uMYU*)4kRQM#cmU>e_|(;!knbAAigxu+UgC2#Wp969!z(eqBH(i*0=e0o1f! z2VIc*^FeBQOKz5P_V-U+E(G-qzSPGdp~Ucn@BgXI?^U3)1OZGi7#*}qG5#`6@5>a^`%zJqHSqbAgS-5NtH1>j@9|g%}PtlLcKwrkj*4MBr?6g&m<)}$WPw)`6 zeu-uGs$vzQ!&tUl>7+1~i>c6whttqQG=Y#L9psS*DdL)j!lE>d;3<5kT>5pvy%%0? zi@iX>i7R%Se-mP!@r?=LdIIaQb@EOp?h(D%>$HxAceq8XOxP1=nFTRp%?mE>3 zOk>yOEvY>eKHUAh(7z?L!pc|;ZvgH$CU+VoqQGR7G$GP#0~l%tK7+7E0wpFn4`!G;(FCmB+i2 z6JU%Yf@IP^?lQ6o9L`fP*Pg&yN%!s3!;cvvrj1PtE!(+QS9zINyQpX}WkE%%?t#@$ zS#Gs#?gImjwk;V^VaW?Z;f&&>_=@%~rkqAAYBDU$$#zJ0jlbeiws3x#hTVlTFiKI~ zO&)26VZJTX-nWGgsuq1&1yk1CuT^=Y2Cy~`FYcS?#0Ve;j-G$c;%I`{}$3wxUp zMLUm&g}WODdc`(StPWQD7qW}q`rX?5>Zj|SMeS>vlzzbe2a-&u(I`fkF>46Z6%Ix< z3bOElcycTOYLhKO=_YWAhAiBOQ|W@ReUr4O5nAaki-1fFnJ&0e#87)0vG9PsACU)- zla*t+MojCs9l00sc@xi&ww}SU(^_!H3t2{&iZc#5ncQMYLjFHzUX0}h9~9GQO0XB@ zsDs%=23V;cJ{xk?FwhJOfm*q99)vZ-YG}DU0i5=uqE+qsDs?=4%^IG}V?BqVWxg=$GY#%H zK=Wi%r9(9-$kJZmDR-aq{d=N-)`6L0qk1{Lq=4SxVKL8d3n!E zASx|nzKS)Y>$cL0DvkLn!;rVVFkar;IV$&<%$~XzLr;88i@5@hC%XR6dcRPPvNA_F zmODo%ONC=7v9baLs~QDdxE3(yHyN9P>C3=CQ57U=<240hS7YFSM4%WT*D_tb&BvW{ z#vR2QIv7OgSo$v-YCyR=NgEYu&xB97Tocx*bfW1Q^=q=`Mge? zvdaqQTnDefrIqIojD;?I{uAf!vm2lLeyeg6AuVp26mh{@_0NrK9OWE~fgUSmd<2>s z(5O*4fOHs--~EWL0s=C!NG>VqtIbe0G*rH6AaFh-7DF)mxV4)gU~{4Y6x~=_AHv@Y zEYP_|)PNsM_d*(P> z@MoSpl&GizlX5$FS|w_I<`i{~Exc&NmcxaACA-ro&%Tj=LGIlmRpl!$iWnTs(nV#GK;*!PKWiHQYB8O3_IEtnJLs<0l!uXN%V|3qmA zA4QH?7qqSMBW6lw2@e+endzggO1YF#oNaeGhf@E_iLM)Ab8Wr_vD+q%*DqsJ$0s&L zIz94AW#&=b{N!DoC*wil!V5+l@u;!QUm-9-ToR)pV5ti08OxY67*|*LjR}63E#5K0 zlV}n-XZzC1Bzijb{Y>;xWx6>7H}b@R;de6meI~xs$pJ2aMaF0#zHfkOrk3R6Yygny z-@if`f2HUYef5D`fmLr%PA@~rh#sBbbMV@TitK?#T8=>MCDTc&1|S%(f1x}&h9?NU z=K{slT&EVDz+25g&8ive-w`A9I}Ys(O`)tY3RN+S*%)ej1cVd&r8TvFTzTZDQq+|B z-{~nQ<`26RzzLghn!z6f#LwO`1$TImB8my&F3rao#TQEdmE|#Y{~1tbCnW!eJ4iqa zT~-K{ZUZwaS+eXncxjHF##xYUXtUB=EFyqDabldf%M$rfyIDQKA#gdsanM`H$&@LX zPYwSm;4xeQeE%)On|iacHvg?lAkIiMQg3PoXD~moryLCO#LJ&o@b80{t^>wcqHeT9 zk}dS!kOVS@Ce{AoX6WSd^z_MM$Rli}O+WM_W)o^W+cX?xC%Mcs0d92OJ?i_4M$}PT z`8FIy>vQUR&L-3kS7{yO3ch;S3l)8tss8X%y0LQI%5_vy zOCZ@oQ%MzWRZ1Qa5bv3*qpOZ`Ks0az^<8ZvoFpEWa9t7m?k)>|{wHto}_ADo2{tCn1#dzbwMB>YL&$P-#Q7UklRD38Z0l8cPeC)ixG6{DX*#|Q1Z|<` z2Ll$+M2v=B95NZGXEUa_TS5Sv4S53AS&q21O1%Kc*v|{*A7vZH z9gkGyYsE!C77o{B*~KnXm??u^3~Usb&rzyE5}s>SryD;(4NX*tj7P!Luo%c4SWO1G z7?sH}qEGAbalDEKK14Elt#?_ixRGln@H##W$T*HiQ5|X}L9yB!&p|*IF~T;saDR1n ztLEnv!6}2ZSakMH@F~3idc<}3h1rj~7~aT?XVpIA9yAA>UNn4sm89feu)nsBY>a9i zW>+$!uED%R^qNi4JDMqxTGrJlNyo+N48G*oK5sPWof$^m*ndGV4fxlyghCPC7oI_H zUF&e|?9Q~I0JKqgC-t2PZ$Bprc6K(dLpaYv-saYO_``4c={GNzwppKSl(yB7VS&>AKh(W*xkAYAR|h47g?gT=$Rz}&3HhcU{Tlx zAf2w`R5xjwN-LsGoDns8DoiBl0hjDb z2SpbHubQkGYK71(*~WpQgKw!gkq9e{RUQWl0;T$!{u2piWWSM*18o2bRX1FSc_xWT z1v(B?$(!`EZOzqcTQ#&JH=_DfnI^7X9z%9hM1!oy(}(NpCpgjL78=)ok%g)Y-lTvn z>TwAQf?BJ|^yr~bkQF9KG5Jq2Jqp`Hg`0#_;e@5)WRC@S3zQVG)N%PH02|7Y*rgLX@^y08{UWH1*%6r^G}u@o>} zAHMfXssK$7GQtqxbjJb<=g>3V4TqWj`lP!v%%s4Gi0J&G87m=bKqfUJf+mtet`&q3 zNf4OC#1TR=sX#&oWGF}~7Zk#n-)~)>wVr41Z}0tn=l#CEu!m~SyT5nsXYY4k*5$W; zYpu}Z(zGh+@$_;_3kURv@CrNTsM6*Hy-Qu~kNOB=@Dix?AODA+@!Bs5wXWPW=OP$W zE%B{4=#PW9JX3n*&Ua|km=fHwgvEH8V9=<~*10EW)VNp8ISL)h&#};`Q7zn3z2&`e zB6HBFccTCEua-Qf2?mQA)~x;2qSpOFvVW?0x!_w1*pQbLweFJEZxQarZi$Zb^(C+P z*1@6%5sWIhTC=`8&Y~uRypkMM!SpR%{l@3oaaNVsqj`15hhjVPnE5|lvfpdg^XTI` zhmP(0#Px>(gSm<8Y3&5X14w#T&@b1!$o`Ag*S83Y7FTx{iWWo`==f^@3cB7+saktM zN0#sNpkM#z-~GAY_2Fgmt$IJMYKxmxXkV~)tuM)!*#!q3y<9PRK*oG0q-z zG;Zi|j5DABhN>c=m5EAzgAU-1*_vSpSJ0xsYN}~B(8(xW<SjE4=X@} zqg$W!_(kv>;~s&&#*8ytBrO{n-KarQC2w%GZZzFB)I>BzI4bGfGd7L2zxa3${|l$R z>I!G;@21PS&5GdVa_3#)`ue=}S*rM(*{n83@NH<-W^aa zKd}WYX14OJj#y!T+ECXu&bNv+j-2Y6elP^8SVOkMNHq`;LLW%G&AN+CA_4+hvm1vD zIrYbzLru%KI^#5LzT#tr|Lpja?|5%&iXev84OnqpZ(uHz=zI{7dP**n2Z4zP3u4H) zJ*bRm{cv$T%wflfZDj-Xm0b463Oyy4Iaa{bc%m?XuR-{2-Sr$R?C@ZN3iH#E$F>2PS6Zx5Xdq1pC^hWY*sgocxS1-1I;PVG2iXwHMjc&Boa^yK;jH$Q~` z8$L+iCrWjzN^vXYVza7rPVJl)ZVsk)05PW59>FlK?jaz?W)pY^!1L1)M^llfIv5k; zL0UXVv__V1jU#jR|NP&5?8Ck`3Qd&?*mkiy9?_MK(Zg=Ei`{XL&;^31lWbXtlH4&$ zC3M+8BP23DZTS%@~4!3ELnU+^Dvv9sKD2ui?af(HzY0QiIzN4nUdI~;QQ2yjqs zX%!T)q6BQV;_k>42;i(8YDg3w3_%Il{2aF%EinQ7B{L>+lRr)F_(?7>LRXeV)q`S_ zv7{z7EHYuGg-;Spl2q)riGh1)b!3v(b2~{2G~qvJwdC9_thqWG{~<}{+XDiG z{UQwVRj@TlNGP0_(5nQzc>v(Y}er~tA$k0dD}wXKQbR^B08c>J$E z`n8RP>gT0Fd8;Up&@a}G@fo6byQtq)X21;w|Lv2Bzl|G>rh%>2%O}W7P}iJZZ}R!n ze7Bm<#|(ztv6rA^)v;I9c0OS~LJ6b8`7Sd?2+Xo-W&jYf!uVu6_HUT2dU*ol69n>f zJ77=zh@zeY&FN(U$HkrJFTeCnZz;te&)>)9lW%^@z0yBW%1Ea4gb-Ug?H0ARbPhDn z6KMY9Km7|o_4)`j(RK}Rr3ae2g|~LYsy^8I?Q*$SjMBcc#uqNQTdy(G2!cmYKa|fF zf;4z8xW@=!!7@QtHribX(%uz8tyW~S@}>DBAxLxQf|wCPR|swfJQ#(0Ly)S<1<|k` zt`e*r+SOX)x)7v}DuR0($SJNMH!7?Ta<(goWi^p07#UF7=4E})2 zz+(eAXCR)J6o14e;Q=nxYeH425<}wP0wB922`=z5U@MTQRgWM^(jRe2G*Sd{5l6?h zY$QkRd@+d{w@u0G4OmlMq_3k;nArHF(tXHr$iyd=M%vCkv~)nU)st^7_b>Ds`Ek=e zW5_QD4B4LQQ%(ES5O3P@tyyA-_pkogdw=x{BgDH3yYng)Op$GwzZE(JUYf*$7-V?!u`v$~g!tASWCV|SR{t6c<;erz59#e)8# zov|SmcDLqGKnk)f4*D-cav8fT(`!d**@)Y;SP+K9BHQ>iH7$FK2~0dVRBxA9k+D0> zv)A?jDCaq}cxg?`K7dszKM0q<{XsRU!~<(Y!P3q)|MZ$vf>};-HTS54>`=X{8?<3l zIQ}9urmK%Ws?b$C8oJlhGgZG&ftzSp{j2jZrbcbLm(}G^zuF&$!M|)j_&HR3e3~Lt zOAoUN=UzgmMSa3YYJ#|6W+0}!P4sR~58hsS&~)sG^=-}L9JQS%YWvi8ecT`az$x|i z*1jbGz>HJcY(PM{s9S2m;S5t+Mva0CnTMN!*NjqP3NEYT(OPxkJp<#=8KlIN5rULh zakG(WxOv5lQKHOV8H{~B0WyjV!aX~tvfdu^CB?;vLuVBE2;f?=Ve|%2;~9b#ii}`Z zYirRxz`T|uDYPF)X93Py`+)Y~XEVrRJFWCzOk1{rVe8~mF}(JnjfIeP)8#O5F?P)h z-j?B=%V1x@vrwkSRQA@KXfzm8{md6U`1~IYo}+eq@wA!senD1lNpuCuWU;m~Qtv{g zrLHarZ<)#jc-E1wHp7q*q{4GSF#k#TBLvhHq{4GS>(fkN$7j{Xid1+mxFb(h@;A%1 zWsgFT3a<*A%n1tKJ9=S(DLuGc(5Qd()BpX)Oql!NgBnNYKG^)l@wpE|p_nlDAwr3@ z6zAsPBu6o2tRkK#14<)6$Wcs8Roo($Xo8a}iYY@CPp4#rbz7wVU=K6*p{zKicjgp( zHbthkkcpw^hR*+m!JE5=ox5vTlv8OR3Jq{U*L!u%eR$2!{P5>}DAM0bdiV6VQQ&j0 z1!RKp*5#GLvTk$Lsdf?-giW)Q1XOV=<(o=i9T@%)c~}>I^fKT#f&BY@Umcr*FflG0|jz zY0~noKTluubNqnhS#H z9nh|rc~G4HErMW)+QimaQ4E1Ex!Yj&n&4{im+1#z$%8Ug+)u))c~Cw)w9CReNo}eM zGUoE-0E0PeLsexNIXa`lyh`Ea6X=tPzxAufT;tMALWAkTsP3dK)ar${K;n0~Pjp|f zB2JoJ27Q7lJ<(DCH6xfdmJZnAN3%;s(nn1X1*V!w58DnygiL(a19HIiRdY$f-PCkUa%N(_!M7^=OGhXLR1m zWLSi;?Be5jcuAxXR=lG1u`6^Q7=z^yFt@TjOHrPFn&>@XNd$B&R}yKQW;MJd(D~Ac z(k0KQW>p7U+cMoam2;GpBR_{Sj8Es1QSCnn&u3jb!}G6Tcz&uYYA?lzvhLHS-}L$) z{nd{h)u!4E1i4Oh2v=9sU&AKXx!tk92n3<~w74q-D{Z>wtV-rOL5xsWGz7CY-L6sl zCxU4A-DU@~HpRS1tEuZzKUtfijt^A_nwhj&lyT^+O}G1vsj2nG>f}PO(x%%L24qn` zZ&v21hhUxSghJD-=(d}Xt+eSLbpyKQZjFBMjo!_<&ca@0bIDpmPtuX1p;YZXbOuw_ zY89O-EU&q?t!oXjZ&wcG8lQ&Lql38qFTmW{6+NRX%49HlZ=LRJ+Uqi+zdG5=n^%wD z{O5l1BYz>dZ|b#nBK4?PS_>;Y2bgp=OC%Zei!=nj)h| z?rUGGx(zi&p|dadrb$Bs@)(JD?#j;ph0>e(Z>PoY5|gC(o1RP}p39(z)0Lh*us5aj zrY+y+6MpKaeaheX;BOmgR6AG!M5Sk>ZParPQ~>cxx?t(vgZL0V^62tJaSfH83l3J{ zQ0W!Hp&}eAy&^bNgF~g~f-qE9m&;J;6~U{kWtv8{i0N6KiZUg%)u`)gAes9Cp|7fr z2SxTta!PT5PE~sM9yr!`52zzGhH9OAS8;v)YeyX)+$#-I`LR2U+jnrkFH{!fx8Csd z9+Nh%6m6FWXoniwEIzrI{~O=*eWKKm7R0rs7j5_Jf=o(jMFK>mJMtnu&lfx7KE>{# zm!kRGwg`-PuEM(51L_tlj#}7mwOD$hFR;)`y#4NQ46K$8 z64X1Gul=d!N2}%K z$19#{eARub`A07?>ps;uwR1kzZ)$UnVca{TI>aTQ&iD@ca3K;`u!eG>({%MSt7AN`Uf*<7T`Pts$L+n9oAeL{Kn>bb3wnm zoX&c8fj1*B#}_wY{cD_WPEN1~aFzRnK)XXu4s39~IXSW3Uu>%61O%%6WjjuIv%1*i zUMTD1#isiG5UBU(cG@53n|rZrmKU2UIkBPkOFvk)V}D$*yqqK>!Z}*Y)rwrjCRzMD zcd>f$aI+vJe|l)$O^>ij-!R?*>8_n&!2#?xLt{p9mf z7xmQBZ+-F|7r3_gzhyXKMbPKXj>(k;`v%@}>C2~y0oidbpGHrU&M~gqwM@(9I-Hk# zXK+mJ{mZxEX!iS_y?+^9QglE6NmwL*#ofwR)m}VNDRhigpSyhS#pf>Xzgd2d{Iv3u zt}KaM;M{Qyj$-nheKNp<@lyu}!hf|P_I}TGoKXpu!lu5LiSR{HvNfCI70mzCVo1MX#&w}#S znqW)<;(Ol+%KL1BHX}m1dv_+{&_(idLhhrl33kaN#tBRE4_)OX16N7r?%rE4<0Sis zf}8GiA<^x2nmhDXQ`Xm3$F@~#R(A)zV}L`mV>t4f%?}bE*3a{>!njTO>03I;^-tGC zv|l7;5AtfRyWF^ZgD{AWjTs<`?4n{(b~t*_`3@cT3y}PHo`=0PyGfGOErMIDzBUl{ z&M&I&5oaUIJp#O6AAQu{)Ci?-=tOvvqntNg1kR;;pT+kqo~M7sZs+c;>Q3-5`(hpa zvJ**N;c=>T->3;aA;*{GNcN|ET%J;*zqyX~f1S1)E|j{Y$%X3BCB#QfJD>qu<#UaV zhihHfQ`^ZstP3mS@Y4CiC9GUH_@q!0;d9G&xKI)grA>LMi&ulI1%-P$zGQDo7*X+m z+|XwO`eiS?5K>oK@vz}ny9D77)XrAF6)v8Und9;ZRcG42Z*h&x9+!OwZSj+DPp(eo z1!g4msGF2$uT&6|hiaV@0-i^oXv~&q;U7?f*85%BFwk|Mtnm z-zb#~Z;JuViJdn9f;@?sIVG{9a0F*PDcYcqv!D}Y`uFtt-u8@Np+{ZgUpv!1ZFy?s zY)}~f{mQ2wUi*Vd8H#0U!@r2?&?k<$iX36&0OPn?am*3oMAS*P?s$Lrcgi4Gs*il= z99^X0PPlcAU=A+8umMRD3JIk|l6c80=Gfv8vyilqG7DCr#YVKIlpIYR?u0ZQ)n;B& zm7#@IG298kW&3lg;w1F;!vH}Es}9nUqFOWG9dH1&-{%3;)Am|c;UDY-=~oPBIjXNY z&ni4ek(FM}&AO=x8&%p=Y@+5=(_TSC(l0F|?;4Z;sus?1RIhR!Qr5b5Ujb35-}t1` zeT74MjEP-8TLoTxt9c3pRP9Ma2d7MdaO9w!0)bo@UcXz5uPOkvj*?vcQ$IVVG-4_G zRQYfJhyTN`e021$QJc{EwSz+%vR^wmdm;O^)^C`6_C?3R%YN8>4$KO8;_>X) z?siA(!vzLW>sw~O7PDY=BJFMiZUFRIIKU67rIP;YQBk2guxQ(F+C`X~n2J4_fbFTPe$h#%Zm4 z+#lx5Gi+g7-pHv2SA;q94BO1_J-MlYdkg+=<5e`9lu9f)i%5`vC=S3}KX%6YFc+)!hZ*1x!CgJ+~H#%vS#vt^SD;E=RGx6}SO-^`r_AqR~z^6>>FN&9m<_9rwFTXJnmv?@J}PPaw&q>jK=odm~h zi*C;rO}j-cvN%Ve=ZQf7z2E$bt6rMtLg-$RhGYmf9GMiB$=%wQ~ggx;R z!j1~7AgU6u2~xu8med~M*^-u7uR~P~Q^;XwA16EQ7R{FQW?Fjxy-)d(Uw$Gg7SyeA z%8Ex!dyLh9@j=-D8&rt_u&>OLx?l?b7S=911anlkLuVG)J*>#Nsm+Qxs@pF&;C4{3 zXQUx5sULc<@|l*@1yh_z14wHY=SZFCf^Zr*H=aesAdobIw4_B)YX%^Q%hawYmb6I1 z0El0Jk^vk25yuuR*ClPl6DI{?NJ?{X(UnDVy8>rfAiZZk$ETTcAvrVVgDD@LqwvviV%s>VH2+T&17?deV$@- zJ9VzY!B0+#)OBW?&BrN>G@w_M9>(#(vOlfn06tGH+dL~{kUB@$cd77@h^?V+=K zVcn##BHGWjo=ws~X!pFp>_%BpXAk6Go!yJ04gkQRm-~Htc`Ek;HrN|ma0W}hK9h}c zft&|ExZP9R*ddLoF}1=w+jv&0@WL3zCl#>5JKIoc*|>C$mTg&l@2BAZ#(I69DAfhL zGe>I<*H3dDZYErxrmQ=__XKlI1wYYTx3+-H{=fc-pZmw355Q6TLb)EZE>Xy7tyu7b zDTQbhr<8E}%EBZU+^vDqQ4p%cvScAhJ?4VZ!)}K$s`5gIAoW-gTru+CiYV9CH$Mca z$BH0Go>GR5l4+o$!rFzVQZ@C88>sFFAJuqN3Zg7j z=}ckFtE3khbDDZtB-Dy+QiBJBW{~O=LCq3BN81g+B<=n&W{H0E(W0dy5j(DgY zr8j5$ZZF$6?H09$W6t)SC)@YeKKv)1I%#;iHJ>wc^-zQ2XLZ}0L{_k94d?Pi+ryE{- zSWh*)Q=^S(%eO{@(Z=uinZNmqzZTI(KWZ|$dF)`qBcmp8^pQY-+r3|{Q3{sfgC`!( zsL4y%JQi*~dMOaF!S8Q7y=K$|1TmDq-JBK~D7xqlMMXd#!!=Cem zJ@0(*7v4HPHv{t+5qkjmJ1#8y?*N#R9Bm8e+(u)M?Q&_ubVD#>j_{+@AfcZ-QXVu& zAy{FK%Qagi%9u$@vV>s99FJ(KDDe?m5lQYOLx~x4MBi|BhUeT2%qYg8vtY&?5t$K6 zBoPw`VI!C^#~sj6TXAKr7vmgj%+be%AZsI(&3x<%b2Ri82VX4lyYg91ve;@DBjgb~ zSB!S`!OdgWT8BCH4u>M+^WMXD#wQhcDH1KIvViP-wxVPKU8_2dFX8X6K2xMwb zq30`!A{|gT2Z`e_QjXr?Sb!*S62}7PyG=j%CfX~V9{SizaH{d08v0CIzBL>Seg4kJ z-20U8j)bw213S!a%*=Jb#X74Qi?C&OAD77LQlaz=oTSpT-N@WxPhJwXM`o#QSK1|G z3+9?8W8=_NdcGpEAw*`#!`2SES24S{$2C(o6x2E6S&&LER$Nlw3fy7^PH|`|Jr_J+ zkg)t11ay+)$t#M|bIFI94XNtnHkjR^>&ke5?#PQP8nwCx^?ySh#wXRT{nH>FwDErd z-pr}u(=_1Cq>Akkz9|hhZTUV8_P77$pZTZn4Dd!#AlG1q3DI?6Lu$6ATuM{s497}k zfMKl0110wzew%n>wgyxkHJGos_jc6KH#TW81S_-}2(V^=;W8?dB?PI#d__#iA+-~S zUZSsDb7;D4E@(~`mFLdVwXq^KmGsmdsXc$dghG4ybi_1JW!VF+ z%r4vc)5NKRQF@Dkwa6ee?_e~+-x40Gy+x-g!x>AtJuGF~Eou$QjHR3hOZkO=>APR} zo(L=SX0%4RYE#{sr^+Zt3Rwp_Wb2(?XLd!kiD=8kpizznfj!-)vr)FW53sfSePhMy zc78UV9-$8hfi@;I8)e`g%=&;-yKpjEzfs;Jq!F&{9?r|4Q9dlTX1;Okx|QI`rk193 z$js_@mgIPlsaf*P0}O&_46la*{%`ZOZ2EWp?{#HjKdzK0s2)%FzjIvi1^_W9AuBf% zS4`8>9X8ekOHJMGuJDMZZp{FZk=-Z%E02C{RO}P?RoH$BC|bgW!v?(n`W$myQL5^8)tIA|`_px{P=~FvL1%#GBFTJAKTnioGD5^}-=Q@?E14rdT=;`VUwd$Y zD#?=-y{c=Ar4j?E=;H~RUPXGd+OEm{Ulr}A1nH?5QqCRh4;@od&dpclzoGN{K2c&g zmn~I)0az;lod9c@5n31Jp*B8tVBK3aeDJ2L_#Sq0kRa-D{wq{5R-Fq_n+FUbS|!MK zR3Qq0ADeo4N(&z1?Z{wlK~Z}n$}8_oP}FlsRpuX7<9%c*kk3A<=O4Z#odZl?ooGwv zkm@`k)w}<|=84mWU1&*6e;3$1>_Q4T^56vtseG2hW}&?ZI4nm~zp)bHgb)lj|y>5ge<5Di7)` zkdmT97c26<`ieI7jObW5J5N>af>88m=_29XN@nup73preAjaQf5|6|}m`mFnIw#!? zZ)4E3lwq`a#VYB3Tp+rWCAX;7Y?c&N?g!tkID=LWPG)xfyCt-A$w9V(gqB5eh;AUN zyhvii5m*+ym^unKe$l9cRvnkf0iw(FuaPFx?I0-Y_7n_HCHpwa^3A0y?Kmu{1K9=? z6B1fhK24F)WAqaSJdc)uy|%NPbZSchosR2GstN%}Zi|929y2e}4xI>)okM&5jaBX03+VXwC-u+MdYk&J&BR8R>TdyrQ3N9Q(Z@~rkPxX*}Wt1luESm|1O|s8Djz_N=1zb-`#vb)Ze5 zUW*l}eO)m6P(kmvho<&*K@|0A8>$1IO4X^p;%T}{6-(n#h8B4+-hgVd0=eC>1g?+# zUnCsxFyaaZ6;ty3NzEvD8Lzo=HPa4wS*rJ1@y2S0tQYX+*8QzEw@l^4`67gg9}M6L z=NFx(NA#-L=A@S-mI}QjT30joGU<|E`$KqFb+Udt9fY#oZ%SuPTfR?cz4txe^|n=z zoOGd9XKnWj_N;~C7-T}N^Yb9*@A-yjpM4~Z zr=~F+Zt*1SAn`ZniuXf&-b_YHvqjf3cseJ)b0&X~rc2}L=Qv2y73AEr)I%)YoXJNE zTPub*oupd~6WU3{XYDjE)<%8%aSvYQPHiE}L+1akGH_!uu^%NvhQscZo}Or=DH?;`2{lzHtA=`_En8lP`E>mm(+^nIExZdH+Sm^YQ)r z%6-vg+fLB&kVk0e4P1phP ztmc_scVnIvDnjU$5>VcZhG0=9$Nhj2Qi?tA5a#U5tKnDVh zT#?-?L;=N$RSdL35Tte9Y}vsZ?}DS6ipoc|)x8`AJ-7!#ZKIg(O~_@~Qr==Bt^UBf z>S5`wO5z{Smu{V|loVjKt94;1c@PoSo56yWi^GbY)xkyDVdRS@+WnIIjBSl%FSaWL zro4*)w>w3#nlLd*NjY5(=bx^fNH&F`1(v_H-_y9Vp+#kz70){Sc*+p&MkXcu!( zkO{q7WfOkH`T$+A0lA8kYmOIdcnZF`#YN?Y?UP?K9h zi6vd~xZT)%090lu4?B)6v7}3)n2AJe{BAV6VC0p5j+jiB-0oyeytx=EpmASXlMbIt z?$#jq(F`BaWk(IROHMLxDL}ibYi1I8b;WQ{rTpI;7XXmwzL}>{+XQ?Fy{%<)p_wJZ zJiXS)9^KG-yH_lakfm}c$~`M-#&8VMF`myu4cLdY?6xrv#HE}v2btuc0luneIQ z;s&`D0(A(@?Qmt*Lh?`hBdo0YoI;@9pWCs&)D)ymfoF?ngsTPZcx#?f6>IiKu{72G zhK@L1tyO2Rxp>|Cf9Pk!A}I>ja(JSl(BfeEwt(v@{CdUi#MF66(5bc$L4huuNQ1nl zzV-oNbGWrq zu6(|HG}7^LzE!gdK&^vW!=}4~U=V3JQA$P4;9?;~ceB$TKNdV4^+LM=n9m{r zbgGOMb5|Q|H3p@kSc?`SJK5R8KkV0tk{DN^A5sfPW(Pkessfj6!=>!s$3#`|K{VGE z=UBP-WuhvgE={0?VCCH-N)eNc78WvCA94MKv`ro?X7O;9)LJ%ph@J+R4UUBi=y3TN_iaat&n(Y1Ho(C6Ag zOiRs)AO^M=vl5a|KT~x0iYM4SIw z#n+C&YKTaVify5(f)eNACC_>T;e+9C8g!DdR3NKbt2?Z=J{V~)1hdu%SPh&RWx|AD zRvH1TVYp>`jFD|P1X#9F$?6y7`x9UpOhpS< zN#J0Icodz63@k%f+L6q62suCp%N7EBR!7gbD8MoRx`VJ^?D zS?2=T0%13JlwgNcW#oXqY9-C>P}0!ZK-SX!Xjf5@Ekvp31M>Mw`->V+`vbYFLeQ3- zBHOV)k+w`W0eRyjq)m!0V>!J%9>TuvF~QmV)x1ksF4bfyA+F-?SADMZP#q##Lj)F{%Bl@Y@K!V@|qcf5lt;aC_?Kmne-yvDS~GjE zaI4{g3o5X&Zkld-o_M@UIKtaPMv{^{X3y)Ri%Bb2{($q7quYprIQngRTjqZ`!#KNK z>L+0`K!23pLq&F?a_`)+;&uh>Z-4S~9_##A9KZ+r{#Mel07X;Yz+(JF-9knUjzRKb z#H-3~7oXhP3##2FWH@itZ2~7Zb7bi@oswV#<+m!|fg47R8h+*oM_3ZJ$?cNMliaSP zutA%})n!Q=8o3IKw%$ZC7%#%B2ncxNqu=y{uOEeXhbG9Ts|Pj=$P>hKPDXY^#C;I?U#rb^Gk;mOrSRu&>VUvGSEXwCSf%RO|* zT@QD=`gf+zLLXO|=aH_EFDvTo&10*d{E`3DS3fnTQm01{n-#c!uy>>^w%%7(sppR% zwvpf30K?1rLJ12x33zMdt`&*o)2vZ@`NlRjI0| zjPary#=^Vi43yA;@~L%ko3CY458F5IM7|-S7`xe@y3TWm^nGd^T34+8?_7)U27r0z zQ>dsXPYx7NTJUss;m`t{M=i&dj6WSPS#@iXJSZV(;M?EAj^Tib#K0`W+2IsKBF{w({{@aCbbiOdK@3 z6((IYf`kK#pbVxGK@>wZf>gIIh$KD-La=DqCwSRc_|;UmF1TAAnR=%nW(YScN-E1G zks{)h2S{SdhC(K>q@=QnB>JZ4&)N9A!X!y`8#$u2lb?Q6)OfFQt@fubMij zf6D-YD6m#@s@QE&2=!=#J;oCn(6R!R=9hwF@xBro&{6})13ZPtr`JhEM75EN8dZRAL z+F8@12jg#rV5J@p3(UFWp%-hzvqO*pzORUeOyGB^maxfqA(+*pHZFqTZV&Q6qs+2; zM2Fyo)SF<^pQYtv#jG9yYOp5~l^)DOvtp$laYSQ4V;F?8vSP_fJsPlqvs@#I(5{)) zBNie~jH9pi*5N#@nePr7QIW7gMY_lAifn2|6{%>Q2hr)MQLIg0VbxJr6@@fjV5?4> zuVqsYZ;q&KoTtgKo@%X5EvWAsc|O<0p3xV*OK+2}&8ai^>;ZrIsng&2*i--MsJ97b zCSg$OG+=Vod-%lT`GrL`hl&N>=4RQvuunXm)u}Nv#eYSGUVgK+9?>os(gK*BD!3tf zl`F;<$|9>%bc{o9IdgPtU7^9fuZZN7E9mYBo=Tmfy`8I5Yp5QF&gvA}R@=et(88@f z$4Z@|lZ{oL^ti;8Iz?k8!X#9ZU7uL+((-$@*Y`G6F@=)U?JJ^o{nDDw>c%xWL468y zic*~-BPVuQt49ybd$7-@24(g(JJo=Ezr$Po4d!ibzU_yf`byZ`Px$mf)KoLj zU7~f((yC(ZD+|V55X5$5;Y9GjA+BIph9K3W3xZXOga(uuIGILV4?%J8ZAf7Zn_Q!d zNwXr=V_8wt<79h*U*8DQ+jK!VZ=ep~(CBR`PGmkusz(=0!zNdqLsLDv;I<5#WV@K= z(4rn)(m-UKBz#0=PuN$ukfI)4(uP{gSxQ5+e3qgfL(<1h!q-)GRlUpN{PBm8|s@xUVzRqMBtWW3r?ShHv z*eyG!_I1I*vU6(RA~;lbPVHL+3&$IJP2)rR3i_Pdw+OBl)~J&`p(RKOL26$Y9IVl% z_AP=}muMs4%(R?6=1d@TM`Et0FPem=zUF?lT}dMSxWhyVu{wU1*VL4%yG|<3YgFJA z;B1PFo=IPQw|sNC_p0!Ht_qKn;W5%2s2)5{Cbvd1R5fNw#%dg%n!>aVuF-X-;(|*< z=aju|8ayLgi)?W^AiBy_C3MoYCGXGYC-AT~utPQ)+2C}-Qc#fgm+iDa&NugKMsoS2 z?6DAHV>!J%&IP}VP2`eKPgmFjds9kp+VXu$?=OA*i*Nb4PT-hZ*U7z5>A4_sQmo-(c4`6txK63?p)G%`i*5Evc3upljL5iv3IHp>kPzzPdID5mxIQ$2l=Kn-n8ZW zG~V~V^IKl?(WAZKhsrXuo0t5-+h$**Z^D`DHwXFL+07f|b60NOAfdZ*^M)DS46@xJ zjNbNrm7e+T3|D$qUU=zl-&g6G-SFW`&+LbnPV~K%p4kx}=BQ^+e3+x2@6jMfT_|^c zJqI}IsPxPX`Qb{>>K;8rr6&!M>ipAtHK|3*nGpNStG3uvJks#D(MxuuWk%ag76ptI zy%W`!$l*mqAlu;)KXflpoB_<9l#GpZtw$bMx2j^JMGYRD3pV`92%;{6F}NyB`QdN}KySB4w?9 z>7Gy_0__10M}ZXk3TjTYoOMOtZ@`Hc(}EJGR#23j3!-_6B2wV9YHl-D6dmV+yNzlt zP^xUOMF@(DGrXznLJA zEi|@aq860_io=DV+8~p}EK?F_S%jEaEvjjj|PhSP`xxP^PE zIJm#23c=gfqtLbcb!*RI|VAbBYp~B6EtOnde2El4%q> z7(jpqT#@M$Csx}$Z82O-(~kO*duLN`0yQBpXE5)kbVNsV;{KTcd5Z+dYpL;>0C^q) z*Q}~3SF?&#G%l((*>bF8@)!^S#xNbLSGScf0cuX%(=C|uGYny`SKu`^#};+yl2JEo9Uh}a#i$)ttJ(Ga#jxp@ zP3^FJlWk+zz_e3e0fQ`8=Ed9AnLU49wLcr}b#eYLTG!0Z|0_5fJzL(}v%IEjMx3KI zfSN7uc`WZweBOsve=sbs26%3HJ!G67gl>*#)xl^L1)R!=ijc8F-3s*8Gu|P;}S%CVQj(=(+B%E)ahQUTir%+*~Y&QkC0ux1mi#$5vq*IgE_9Bny={bm< z2O^4Pdhz)uFJHL-;{E3?@5!`XJ{X(|@#-BA@yHkbw?F&VXmOxy3@1?(&Q&28BB5Uw zHE05>2zq;06m=l~LKd=pWkIY9MtfHfoHdgZf;6Qr7?mk_`P)O&l)7LL40otjYYt6Q zS_D@yI?F2Q;?OjuE*JzuOs?11Gz4i%T`&lS(l3ii{}2>YS|rOT3G_lxdO(uQ@7X7c1jO4}u{&7<44*>9}N!lGr=rJsmyYpF%Li;4Z6)Op^Hq z6@nq4ey~33XG7{T{OCb2+-!_Qk=D$&C`5yHX4Dk$i=EPk= zFa+gANhxujk~Z>Rg!br~hQ;M-27_G7g;Gx`8Z2DpNvU`AY9|=tz2X1fxB%2W6AaHt zFl14sWXMi+yX?82-L5hu`p&aYzWr?%K13QDF+bV{OkY0z_H`E@F*32#91!x2yVF1!AGvvnx{k%d7?<`{<94B6G*i@Aapxd< z2xhZ>*nk{K$`Xh+k`l<~GF$b-2IN%{#B|lxPswf6Q(;0&osNGRb2;g7WTiwJP0sOTX(Y>RDu#d zcD6uwQUEeav5iYvxn27`yCKRi?+zN1l1;yld(dEUbk4h42zep@bTDz!9Y+HS;Y=Md z6-=8B`q8iDPzbW2-okjhCi5yftrqm}mg*?T4|_7mHzm~(2fOs|PM$}V;T(PrXr}Lz z!8HSQcx@rE6b5IwMnJZJYv2f?PjrGyP|0u#+|e0(CBrz=0Y^IV!7`(>a~vP;=13(4 zAD_TiP>(&{jZ1=@fToeK@fe+M42mR4xW*-q=zA`8 zA7Fc+mZxquC4PfT?l+iQCTl{s*1u zwI3Zd+YSG&S)&F)w0%>^HddrZ=7PX!m8A@1z0=Dr>(k?Ep^@F;h;h!1rpo&ChyzLp zcvvEWX#^{MdR&l@1}(W@`AXJ(qZ&;$N;5SqX{Hm&R#Q|>QDPLfjq}WA2$gB^hASE0YRxjup& z`2|A5Q5}tTK;xuVVb(q%Ixm?Ay0N5YI(l_?P?fDe2u7&2D1sgN(T|uzq4mT|jO}U9 zGuxEXqE2h$^Bte8uSIWOHk=++rpD*F3|^1u#jKh`Q6^R2=Y;BE`fFB9bw6+&-d4Jx z*SGGz%iZYmY4CG%D$VA&9-nce^W#QIkEfR#$vr`pT#q-K6ZG!OTdjZWn|}I_epj@! z(D%CQiYB$LxNm5VtT+a>b>)fI!SreCtkwfnfDvl-U{>qk6{6@5s`ZH4 zkraQGk{F)c+I(qe;gUN{tT!`>VHd6WsZ1{#RIBO5Fp_pn z@%nttBc_{5%IFC8YkfB-8>(brnx2Ac92zU7M&;E`m`H($`o`gxD}f^W<NXBQn=NQPB>pa`5uU@(9x+%+@M8M^@C+QA`jv9q)oXzB@jW@hK{d< z8Z5+=c24#@qd`;pcGqsc;tkk0Ex!I;e=*_`ZK~l0%vx+b8q<%MqWthhH$A|%QNgEx2 zxDDr^`DgnI*GCMPOQI0oA_KIfW(1SZQgMcIh4$?2AxD=Q#7Z*Xozi5Nr$N(QNz%XY zqXV@^w8)FOT?i%?k`JN8>6rH_@a*5KK%R$ee>ru0KwgxenixtTJrATQ*=@tmdoxgGn9&yzOg&2>!;+&jJUh%In+_XQ)m z3omH3zfQe@zDWTGNy5@;Ur#;#)+gVAeCD-5BYUYvmhS_)zv#jD{@%}sk}0iU^OC7j zISbDaxCHno2Xwl|TOF0N3Us?*nf?YAius!Ml_}>U2y%=#x;S&0YnE5!?Q%hDp1}e1 zKzo`)^G+4P4d}yC357mHtsrl45j?Jmh@)g`#TJ0|kD+odf+&=NLrTHDDCc~RRL(AV zSZ&m6M+vgnt}H0Z*(E_ZVz*oVn!Q0__SK!!lwMIJmvzs!_ALvXA@KHJDG*~*D2#jZGi3C;wBlV z0}Q17LcwmaCLJDE*6A12Qz>Z$8RHJKro!p=tU+Q>!s3|b95YTASrxebtzgFKBCE1l zn}LjT%oq@wpIQQB1Ez6v=nAJp4?#Dspwz)F~x7zw+(h{kwlW?7I5F-3WP)pmR!utXU&A6o30JIh?W;ys8NvL*B zLQXEZLu*j{a0K#8`bu@gFC{rH3A91`lQBNm%##10Q4c_(+6U1htnmmM#+t^!Ya=P- z#8TNWLgyT+_k5lF4hz%uTz2Q7uMLIkJw5=?xtvDO1)xAQE-$Ctu?cfTAG>v&L}!(iJREn-N>U?ZG(mHgRaO$D z8dNdiG|{v~atQe}#jN|$x0)M(Bxclf*37pD-B>lxincKVD^zRd`vVG-wkY3kkPWWR zbJSHRHeBuW6aq?i0n{Mp{H1sRS%jZe%t3wIvOc1E;6865ypH&!(w4)tjqF=^4pWb@ zw`0WqJe%Ph=1iA7jV&`+W{VtVdhY=o;OZVy4igR%3dm3InRdM#=8P2F9#UWmZ^Y}H zU5cA=Dc=8Ied-szFN(&r$Dktx)^YIBXg}IB%STdmLK1@~QQD98%<>VN<$^veqi>dv zm@F48eM);q^oUDgg3+gR<@8QFeWdY4wU}T5_K_er9%u-POHl-gB+&OjBN7d)Lr`1_ z7c31;H(RTz3qd71`AL?JrW?$Vg#nI%Lz4`!8mlN((Cs>%uC~rbOY24(s_Sl}#yE^& zd}%_)gSvafM9=m*kSA4ls=rce4jr2)UW=jPyF~m zkaVF|9~y!LaybT?v??TBF6Jh*M*y|gkUoqa0Xt-d8WocDVJV{}y}Q;6Pdr}f!_rIu z$*H#ThVGtSBdFp9wHI)d1KW(%?3Jt!j{w0WCxylcGF>@q*sKpRr(U%jm0WvyDt!nw zXsi_I*bX&>kiMVg4!T%NjBSa^2-RAyt0(x9^gsQLJ5@E$h|ujJLf12IGk4@X+>yg4{M$eBJ>!TFs*@Qq zq4Sw>LId)s5FwO6Aj+WN!orad%!m-W!fGT0189~%1T!K8d#@>!7@ih_84)_J)||%% zlF1Q0jKr#iV1)>w(=5Uka4kB+PM>%@BSL7s3e-jfH=Q4o5upPbr%7yuQexw8#-STT zXuTB4s6=g@yj@1aljvFh22M`M>xkKIQNEIlk%pM2Qpw z^_bZi5jsC2bb6Fy59+C=bb_URNYv-;$FKQI-y15dKgt=zXtEz2Js=KC8|-Wr$_m7a z6OY~w&?A6{Zoji6N)gS2Uv!X{9Odjm%>zY`uKv0c6yzx9ES)7MsW4FiMJw<_8!?=q zySRJ)Pj@}F>&{hLDX-io6Mv&*(qYCqOU()p)Ge2Z!lgX)R8gvIfS`bNVhg+^RkH%A z5ltnevYmhrsj&^t7rU@k%?hLkM&6&#P3+$(!F^Q>)tcsOUK_cdwtOE_^ThA^NALeY z=rGl_ZDJ0kn`DWSnTs3OxHy#?RuXf&wM{*8H$y4Bwnb!{1*BLJe^JmN1hX4vHT#%i zzeJ0$=Fr&A`3MW>yPtC-!eEqqRM zuD~O14qdrnz@0Fi2gl}!0IhL~V=LFkQ+Vor!dXOTxD!)&qKp#VR<{Gd)@}3I&~%JS zq-aOiJ)vXdlkRhBqk3P=|7{lS9JHKCpJ0(5PUFqM^%;R{fu`~Doz95S=lJF4w0-2q zzyE9A6{$L)1Wy}(^ryWj-1wc1llg1@_y5~mQrBmYqF`Z!1w5}E_EHT=BRV&>Q?lV z>)N8Mv00r6;ucKs&No4P}r{Q>x z*Cjei@p>C?!~eb2cw0J!rxQN4RB0 zJa(AS$s9Qe@ga}s?v1xFa||-(h!B`{ub`wYz*21ltJM7vca2Y^gOgypvPc!~D^y>d z@~WtKt}Y5ZoJ*DKb8_XIm(K*e9%pwh%{gOf&Rqb*Xdsvv!|CM)4I_PvMzHnf zgj~LGWIyKHd`3WfBFSq99RdXQ6b!SJUV^pyH{f0igh{F7O8NeGwVJ>|U#j?=Oz)v$fRY!#yx zPEk)VTg9ke?7!^^W^;^Mtn6+H%&Hvj!_RAWPF6zgUKOnFq>mkq?s9+ z3jxT=sv_#GsY1I2d+|eZ3tKSEzSIlg- zy#eMWlM6&ociy&B2K!l(dBJj&X5}d|u?wZURG}Qv&QziEQ-uGnX&G(eR(b-W zCV-ti<0IkHY?P;K{ImD!n|6z4qdffj>#7eX{}dsr-!+P3i+)okE{ny&C*>oczUTR%)ObW>zH z#;|Ql>q#wyO^XX;i6canx`7;`ip!Bg)P|^lR<)qJpavYE|9WxfF{Rg4@>PV|62*iD zkoT8|Bkj-a6ydhChZD;TFqsQMWBR?w<(<-6SA4Z}I&yWq-NRj^hA}rRnZo3+Nx)jZ zojzQBnx5^L!U>j^y4k(S5lh>uaW=pC;-~-1Kl+bi3a@e-98@dBAhg34rUB+=7zAct zHLNX^7_eP9rlx^(tgdtjQU#S2!Jx;ANJ*ibQi-x5$hg1-(F=mLp(xPY9_7%P=ftPV z1@}9+=OVa3({98CS&(so3)(s2dB^-(1^)cdlQc_mlbZ&Y@=Z}P`4x{>xyTHBosQ7g z$x_vsGeT|4dQ;|A%b7Gsk4;JpI@!mIJdh4A29U7)noBFx^qMz5IbQRM4b&-DVoaId z!xlJ$8oO2C4Ch;wTS3j8!p35(n0}319M>wZdkjjeJcQ8LP+_iQhLqOd$`xMTh!|2IcapBq|r}&X#ZWG8!ooqjIMnHiI!$-yIe}1CEE__D7%Ls zC#*>ghJ7oohwLjW^L4@PezB3NGER^hvTvo`G7P6MDe|E!`-aZVFs(3zm@hkI-?r#8 zE!Y#Lq6>%@E50o4n>yLM7HEQfGt>4$Dt&k;`{w?#|9j`|Y~Nm;_HC-)Y_IEx`D}GF z{pRQ1^O|4(z?k0*A{S=iP+e>>dQGtEP#3jcndmp~R|j@L!HOH?j++&;-^>!#D;?~t zR|XyI>^BcO*u>La_dSe*t;)bUg%t-@|JqEN>3GuN*LJ=tD>X8vdo;kejobkK=A3NA zl>FZt7jy7_1Ht?3NI!RZPeyU;@a<=wCgdT*N}qZ9xCnjs?2~VQ+r{P6Pd+c+7Pjua z?YXzUsA#8r=cByqub#jB(l@;&_Iv(5wx4|STViK?cXq7$ddhlsq|f6>f6M>!FMRQ@ zMR{L;={4FxaCRA+ysu?wYgFRab7f)x4S3N6jI*F%)m{bc&=Y2|NqfvJC<$Z}i~%%< zmEk{D9}L%=2G6U7w<{k&gZ7{#Ip-B6fov-x1+GQSXg1cA61?2!5;NQ)m1(fuYw45|2&@3d&otM;J6TapGur1?)1Ky8LLA6kmO!Bw{s7~Jj zT~0fbcdEHEEN7G^MOgFL-kqxS8gt(lGE(P&+(UaYmFMIaNvoCk+ZWOyy81=c4FZ5C zbagyL%{-q;0gTx3o^&{!0R7HV;tzT<04k6R5__Eq73)ui%Xbfe+}VeSY#Q%FHdP2S zXzW8s%O5T=gT_AOI4D-)uNtoEZoqc!(UVa;c|-%nTeU@jXyOBhGqM8^!0S})kby5v z76&@dqQEM<0D*dcZU=C-lF@K!f3%ygnixT#-k;lPe`z|D_D31vzB+$-%hUODJNB0z zM`=?oPvCecUMb2%N?DM4@XRnwPrvoacbGZgVJqiE6r4xr3yhlLuExMcd1}RN~2r4P1M*WL2zQzNoGlQ5qOUdn3C9F&%F@FUu8DjUq#9M!|B%$knRs>iIA z(E|(TLM!(T4b^qfP9qeaeBxgDzvgS%)O~N2J={|g-sUu<>@X?QPDi$RY-pv7Mb{_o zo8ES4UtHa2?<_+_ch^w2_E?7^ou+Q*EXtfkx&Q3b5>_uI;w>NH%ol*CdYE2({>jT1 z?!S0H;&Yl=3CfwJ?@Vq z&Aykl3{HBWVR1p^{Atz@3ZPwB@$P`-!A_bFzVba^^6UlQK!Qw&!5n{ z*aDZM#5sTq(CFp#z{b|QLOEU;*vyvp_AKqRThs=JGt7D(nDxD%{`U8M<3~R7$Q=-d z%KO(^S_~DM)*`4Bx%N%1V}R`@XTL<5E92(A&Oh1G4tD;jEbV%U1SMDO>4=^!Er29+ z(?yVrNZbl~TgRaeU{(^%;t`N%Td|uhEuv7Z|{=|cOm+mz1+Z_qY-a?pYp=8{B)2Ho#AT2BP?s;n0B7a zPTMRXz2yzRK%E&OG(1B4r7`d00nRnK7l-Wz(KsTW9evHS~I!) z7e~y;mD0HbO6U~8OOokPzd(_Ls)NI3*O{M*ct3v8ZUb0A&J!hrZFRh0#D0}9NB4kV zg!4pts(sC6rnpODc8jVGoTpVl`gu-!(Jt#34V(Ez!=}Anm-qZd!{73YhE0Fb_%Xd` z*u`Hoe#|c#B=d{L&Ge!{x_Z&LeF9(jS})q0t}a$s0Tj=);`I_W2N|DV^SMp=h4uOZ zb|eA%hYMPPNJv1S-63O0>+J=f`%)gUUR~f>$ouoL$_M0jWB}Iti*@dDxZYf>tAqjs z>iz44!W!qBdByA9#k%5ML7?8B+i`qcu)MihTo$7Xf0sao4?q+lWP8=K9=Cby+r zA1^jlLIwiW@nt)Xzu8@E@a~lBw^?6os)P&#>ixN$_CH)~_Idy9#isiGo96e+cG@53 zoA3W-f3c~`JvO{R>Hf)f+Mmx;Z0h6Xkm6mvT4fX8Zk?FDy~A&}NM}ob0*;s4CR2as zF1Cs=SlrxnVQ$KETvp!7ZSl=2SM${UXPyz5s8BH?6e28CqTC|rxX%@GlUJu7KV|hS zNB-LruetNs$DM6RsL^HVey-N7f=ZK`_aL%quEcLhlKL(bR2@J zmE`~!HqCtYG^iBc5R;qLM@(6xHz^Y76Wn zho3B#IF?D{bq4Ps=M9|~CQ^LCdWWnN;nuCPiDKgVR&)MR0T?ERBjzg;EuLRK|Jp>0 zOCBm-FORF*c}6JNc%kM;5)w2xuL{DTJN5pa-Kl=KTh1BDx|!nk4}QT{e)c~OBugt` z+m17PZTrGzr<=}%DDmp8D=PYoE~T;*@nNS)i@2{QxJ4+cQ8wTgjk3LPye@7h|3QMn z9jbaX%nd44Leb`Th?-l1}`;MC+e7CzZY(-PnVfk+w0r_*+dlLSl*vo0TMaza2MYK z^zqHtJq8d6r>cqoC=l1kh>HE$_x-Vd?K=V$gHwPKKlgcc3kqg) z(N#&kix8x0D}tD4C0QU8n;(_T9D?*1T#!|x@EB0&4kV{tk;={m4@g}~3Kdjwn^q7j z(&u%-?O~xwEgV|t(NI$ItC_Q=zH>p$p593c6Wx~0(+@%FoFb^xW!XFNU~QB`P&_)9 zJfb{AQd+1uHJ>U;(xY=p6w$C>CDw$$rubr#q}6drw5>rRn65ddG_+SpJUW*IcUw#R znVjvcnQsqjdqG;~nrnda;-{sf=lg@CqxX%(1cp{J-ylm7;uj&W*OAP32(x$T_u}YF z4wj&ePDjtT2*YYMNe804<;`7kl0T_Po%N%HL#f;LZ%KT4emN(-O3KRtyvDiI_#>yd zrT}-kml42iBd5i#U)`&}?4UX4v4G`n3(hInmi(TM-ze=C!_gobS2;R2T|v`$c628(T;^gH8@fscH5mcjoWQD9ehm! zHH}`Tx1&T;IhHQL<{dHSpgFXJZnokcWw=7D+MxoiJ;w^M!*3B*7dk(8P#Nkp|J5Lr zS&!)L%6K*&d`&WqV$akBWuT>kSPR!o7D8dB0azMA?|{)xZ8{SW?pv>nitPL~hMnPCWP_VAhXeRtNDwjHo7 zI`u3qhi`(>YAv;mI>`lr{3@>wUALgcsO4fsdh9NU4nJ&>OXkA1!(5y4;dgW>a5{ITva>2rX+pjSbtvN?VR4$0;jxmieY)x;*igo1)`uz$< zj^Q~LsU%50mA>X4u)EO-H`eJhNhXpELQMpvJUYm>HrXvnCXyhKK$!swh!5$LPK8Xq zJ^R&G=g(=wiF|uT)v>C>iVZs+s;I-iHWEThH)x_2SG@jj^K~fR0)%&AaL=Wj0Rs2* zr_l^>ZzMox=b@Qqa2kUCilG@)2w^|7j9#?A&Lc5V>u?k5`la9h)35(vIE(s9cNO!* zLWKi-NpZxG4Cp9{{N|&&9f}*;1SJ4qDd#s|)4Q&q4wwfo3JO}M!}-mMd2sS@R?PdM z$-`MO$9yjky;}`lDdxc$!9@7|*TjIYz8S$;F_#Hn4>2QHG!(Vhzz0`usu?rY%Kq}o zoZ#McFh)_pNN4nRj4^E)M}Dwa0Jm~I6*y9HL9 zGbdT)JLbjt*Qi_${P89y$W0Pty0 zY)m~GD`xn(0Q|c$Z#@L5_52(I;D__pBGUZ;Km5H8a89jPmW1Pq3rR43ZLV+}TgtOs z5`Z;-q{!b{$p2T@7?64{s3V?&{8>a9zC?EiB)}|I6P$jEtVb zR3sbkGpc|?Tc`t>wG|)6q%DxehB{0;K)+Tg zMAhp2WxLUbX;Usws7E1m+!~TSRXy5Ebq%NRBmT-){L;rq{_`rQ(4%#BjA&fA91oUg zoxJll9?VW*1mWoV)UKGL^%8`m5)b}n?LB6vFoJOKwpzjL6qeW;TC@{{XQ%M!p*6bn zNiV02C}gJ)Gj~{u3lA5)_1Z&M(Rx&`V#yZvsH#_Y%MBa)AqHnZ^5%C-dnk7_F=&=h z3M4mc6uj0~sHt9E6C#l++s@sossiBLGvQ51o=wE<97gH6gRUZHR0&nyeD z4=p9Fzs=vdG;pocQ;UnaEI|4@W$$<{3n;C66E!FpM0KR!&%G&BD&y}IIH+RB_wQS{ zRMxVn>^n%Qx|%jMrBBh>`v{w=JJGz&4KB{p;NrJ@`A0qW#=woV^_t^G)`$&N_ufGg z@l4%%PyziSSo%EzepkQWzOo3)1@}nX0XJf3yEUsCf^-pFFnTWTl+vC1Bhp21!DzXN zQ~{liEJzn21WU)ot#u}jL(@fY!P0P%r3w5ovEpfVvIGQb&@z{MPBMlw59p<0)rixQ zgBSXk$$4+la)}Lc@WaO=@6YYDe;W%)&0F4oI2IyQlvy>1h0Z?p?(|s19^MgcN}gxM zs+k&#Ok2JWp#Gdk|K}f0P)$FZ;fRPpGKaf0{a(7Jp4YdA1j?kgD=5{tOxZneR$rH_yDmbw-N4O1ka z?LP-fP!N1h+g5Zu4lc2XuL;TpE(}4P&9S*cll7sx`~lUZIKn~(@52GjsKV>QoG5y< z_R+KFAK%0$)w(yqJB(xS98#53Pi}99YF-XdjXldZr5>j(-=`jb^gsFU{^Gj>$<~D& z$u4i8_U3{{S)*_Ckb2yr+ZElbarL;yfM5|ER*$7mb>B4OtRAB>w1;Pw)#Gu+k;7ML zy{sO=8Y5pDx@@@ykE}KdSv_LbFxHOi$T{(E4wR&8v_Bb|G8JxRj$x1!qLUK(? zQlr)fuhb)E(6OWtbhz!Nqs4RaA)B2WI*!`M*3_uiW6C*-Vh;eU+M{Rl6;-jwuhwf` zTRE%#4Z^snJ8C*t%&J*i`h|X*Q;=oHtg2P4AI#B(y)H4+F+%0Wr@SgZ$2WbSD3MgP z$ISjOXc%n{aV|t`JZULROrA7f>$E8^Z=mYJUO(NFou*5#?a5ACzE4$u^r!u??|4n9 z>Z?%oiBt=y0&?KcTn@URMq{6NJfrG^tsXO~j&vV-n z)YDJhd+Ny-pERi1SZLG`s-2e69uarCjD=xQ8o?ac17Aeo4?z$i1{;fjRzONH{4#Xa z)of&Vh1qijwHSRXDToYN>ugn?E119 z6*SFd>Ud;x#op~z>`l8xts0+`Y3E6%ecZqP2@hTviwp{lrmyHF^KA}bA;KQ@SDxg^ zpv;Htt31h(!2#tq#t~UJ?Ud`t-~jB}_}^?3kSQWMj?F^|6uT=T4O+JuSNC)3Zt+_H z`(lOpZ%cW}d>d;)#WA5dyg7HIY|zRYvY`XZ-WL85P)S@K4$%MD!vT>7e&Ky zsk*&2^W6a|WsR{HsKKl*(Ind*=GJ>X(LkoZ_T%4bvopA2e|Z`6yB%6-{{_?{eC|jA zD)f?ba1HX%;b#L@KgDQ1G(Y=cdq&}WGXaym2dbp!NGk#9il3ksxjYWFU`R}Q+dJdf z@Z~wCvrUT>I$pp8Cxd2bVw}@jFqXjlo)3J*drpDSks7XC@;1Y`fi6AC`wwI@Y&==rM-%4x#99U`O%S7vBr`}L)X83RHJKVaNJ? z>Xxip`>F~?)9F5I*c&E$pymInmN%0&0hcq$F+?1;g=3NE1q6f=o=MXGAs z4Vcmfj4z^4oQc>7QdPU)b|)*MH|b(2eDk~_RkaI3x#++mkSN4i4Z%_6(l~|<;aXhA zBI2r{{2>4s(GT8ko!{FZ9BFnv5ike&xpkI#&n5?Rs&dr`DmE%|t|S0GWeX|>IH;5r8=NF* z*Ijat-dtkW0mjQf^@wo5zNB4uN%%+{)4-#ztw~o}lWvzwqLVK?8C*=X0T%XUwPwCQ zXf&pt3PM5fspu>Cnv;D6HOqCnU5$8`n_gTA54d#aj?3U*`@bsYp_Xl3G5t}$bpnuW zRq)PU+X~885BAzjHyn_@Pdty|EPNZz%&g{NJQSqB?N0oegz~R${H|_sG^J`OSI-IdJieEjXH3*+;$TLGl(BaBo|vSNVz2J zWDQ_L1LvY2WGV=>!;@WaY<71XykfO)IUvU*t$9|G%y$QQZCW3!xjLFwPm=lepvcR9 z(FTutb1^GO-b$#1K-K;2`34;~8xEvmLSShP*wfMT9onPmfnNAd>fmHcp^DcS@_j=Q zRcZIZ{JQJL!MAKUbSHe}M#KNrFWh*DdbdA$_P4Lk>{VvKSLMHbGVwP`z-V|>+5U?t zQ;bC9aW&1FFfeH$o@z1KIiM<@gyyS2yOBmN4?TMajcf7@VRAC7K4GG^^9l123YZNY z*Q)`IunExI`3K9po{R>!V}EHQ7Mpr`3f|AIU)MqH?1?|s4$M*JEk>EDt4D6p9A(~2 zOZDIW`QP)tFARS~sSF-vI;RPZe%PuK5H5&S3?*`FAX+>Z1SCqrFa*(`SF6bEE6Y2% zAo`_o`Y{DDZlzg~{)h`kF~|lTksCppI~PPAUuV$(j<#8IacHV47er%TAmFfzD2=o6 zEg?vqR0Pq=PU?UUY}ei#n*K-;#BdjFUWAsz>Se@=;*YpwbP)yBZIGZ9ZAAB&>)af? zB4|Y)(S5hUlSG+*^r&vZ;t>}`)6_c7R zb~{5ECZAvnW(T|x6HD$qHquH{%QR}A<`k+HU4lH`jxJ9%-cI7ke8PNwl20JL_0sXt zo$eGDMK}bpoL-*dVqo)Hp{70VBlfEkYR)dk?YS7!Zqe*w+>DFyN#Fc=|Jxr9AX^hZ z?qXOPDCM1DY}-_=1l68aU9Fg^MS^+*P72IOPQc~_^$t80by`qzM0=A31@f>Jk;x?o zT+*)sP-H=gsQu7rat%8#32MV$Bb_V(wFz!FU_gth+wP@-WL{CiAQRjn^oI2$G``%o znctkCE@P;X(U##1dBr+Gy;_i^i6wWav1)#{LLPpW0B}_D0ED2G%n543PZ!EuX$kPwD3R9v?|$Ap-}-aG6;$iy3e{{K^L$F!Lrh}a zYWvChGwVz$9y38GN_0&TCJmM|LO6y;ZaP^A;CV|9D7?rQ@M z-Tfs)O9w)khOnqrFex(WbiQkIa`RM!%yE3RO*Qz2FZjAI|B`SaHIMBY&r{=DI9*7$ zkdS~By}bLL@UGd>gEVStJYNxIbey1z6_IdK+$&b3#&bc;_r02LO^xS*TNKd=BaywH zS{ep5o(rP&oKA<)4tIinv#Rfn3#e~uydsDp!veq@0l>7sni|gq_v^J5^B=(?R6TEB zVMWn+E;&fyuDB2b9B!#Zmb&C5EviZ`8tUn` zWu$zbAvNPGTba7M*#0{c9M9`MS_z>)g3|GOhj4WX&}@f`4NPnIY9c6n)Ia%q&-~`?>mG~zcuP=-<|RGNMso^0N&$O@vE~eTdF%VS=}EM1DgD7_qY|x~V6;O)_FY}U z$bU<;Zh{0H1m{O$ztb61qIDP49)duy7o@&XC&!8st(zc*YN7OnP&Yz4)yW~K(Yl`` z`ljI6Oy_SqCs`8|&>&jL1ei(FSyQ5QTNAJd1Y}L{&Z^U#u0S8$D>Zxfd{R38mfAmI z%N^5u-n3a%>VtcMx#Odi?D4OjlfQQvf4wZt^6=MgfL0 z8ePw`$zaCdX2CDmtqmDWtp_64+vH=p!WTarwg=i;yj|B>g3 zYS^Z2()73Bvb+VCQ1l{y_r}c+>RX0dWpnT8qWll383F}KnUPDiv49f?Z3Xj zYZ}L2FD~#h=KbZFN&9mbj;mBCue z>l@2$aK1>k1Ig(>ik6qrXS4h*dG@xZ|dV!Qg(W^$|kb${V>YzF8rBPu+j!nX8DXO!~Pkxl;t6 zdGdLw5D3C*B{z9>LW5IQ&vJwhAAIqD^d~+uf@wvsJeYPYYkE(fp=r>3ILe9}TtAwv z(VjeIz7+g6^>l0v1gg9*zG94*I(m2G5ab`(CSH&>sF!dDS?mP^Q zG!wdRkI-d`Xv7w@%6hI$K2K%x_kHJ=96u2J1D(d}5V3A8A4QE8+gnbUF|M680j2@` zQOjs+z}=_8^A)WHr=V^&W-0_}@LUi{JLM#AK}uH37=ko-F1W+@Fi$MdLNg*Up&>|v zR|Ju_164`{jUy3)3?Pc&VaqaN2rdsshYmpo5H5H)auR{SQ!DGx6oLW~yCh~yDGhyx z2Aj&TPLfo4F1f`lEUEYc4gXw|!^P;9=zt%@E?-XOAgCFi%D>_P@_!LG#Hai{KgT!m zNl$X})bN>pa2gX_IDg6T@-Nn&4|0gSu z2QLK?6dGNw=>o}RJdC)@1%1V9hrVtJ{nf(cKu?u7m3F|(Qo*enxK}$=9&}5qI<<3K zQ}xQuZKpW&EMduTGt2*RegSuDf+@~lwv!^FIZT@ZZbv{M^)G1%Ny5~CTBL8MHyW__ zY(%MCTW3vMzE5ZUssHhNKKNt5xu6ml1`NVNanfW9P8w2p>ZC1zDXIgcUqQoAXX$Rp zAPA)j?h1HL=zc;~WM8?HW-Ee8t}WM4^l#PvAt+9o9~w(a6F1-?Mn`hNYeEBjt<~;( zUiv($V2Zk!BBSS4`y~FZcOlCCMXttX1IY9mNG2oRf>ux9t*eq`N+hV{tJkD;f8;Gp zhf|7w+P_Ycb+pc@{UUc4ImZR85nZ|S;c3EBj!&}T@s`tW#g<%}^2$qz-02X2J&8B< zR!>{L@2&pCpZ^=X4~yK5=Dz){woEuL70XXv9YZm9nA<7*#=hagaR zlKci3veJpr zM?Q&cZ(l}T1srhu@Is28@`B|k&B{|`Vi!s$Vog`gsY{bQ7U?~kUw(-#lJ03;l$rV6 z3B8hwBUeu!Qc299r-*YGC&W$kTl~GbDP?G{<;e1V%J8-CS^wp~8=Y?z6!fsna}|>l zFN66MUPfOL?>cJrNF!OYRUUmI`^v&H7lhq$#x>a7jbN2{0Rd4t+8g?eAWj$i8mo+SVJcg|I-zk2@iOW*XC*zfuK*naZOZ;74p-Ewh9#e9h#DV_}R)qnOM zzU%WpV=St{2pSeTG5v!+val+deb0c@{rf#EnGhpj1g(Q@1Omw)LN_A}LX{PFi_Ro_ zVimsP0bSvdSYe84gCRThp(QxAFkrAafri{yv^IVS(Lf*{YKnM7=OrV1AFK&c{Fo}L z0V&+VAC$f}=O~8UkB#p7tdnDP0{a%#zeb?~|2b%{DBv!J5TTbsBPrmT$3Ji$5OR{i z%`rq-au_Jxq{GF;IGy5d)=fLG-ORU-2Q)|NT3@JX$R^%c>uRr{BssR3G~)PjQg+KPxW7{CxmK3I>}Sdp64 zR|NNsdXE|RWhaMEP3nS3jj=`-bsj~N#)`y;ToA}LCwO8-OrF+gECi`ZT@X#~&^U!F zZWb^k^^Xxv>XJy$p(maE8gv@3BqwV&k^M4Vs0I$gv2^zsTWFE5i8P? zx}fpL`s;3JNsAylc?u;Bv$8s^JgF01@UY%$Q7hPUoz_CMq%OE$pa&W4Bg#8Flo+w3 zE{W@d>qkkHtg0ooukM^?Ni|*=VuwtK9CQ)mtl36}_WCVrwv+taXDg@3#D0`cUB-JN zhuN6E9E__oD`?S(cF9b9pv0vHBD6Hce|72lusd`yoeZq(z3YPyI?U%-0V}2LgSK^i zC=i6NzG|xIT%+4{RG`|)E6q!tIeRUH9+6K|RL{9&;?SnTJRX=;*xi?BJYcWIh>q!~ zusI&My?9{SEo#lc91ol)9=Q1V5B-~I%&wYzCmR$ucD2N)HSyj#9f=me-B{&Qx?p(k z+m#u<5X|ww|IgmL0PB{fWnq&*EV)!fM;s6-50L>vXOsVa34#pM8j>0&l`}0#kz@`% zJ=4r_nBCj%rX7`F6if&@2t}n5Oj041q#)5Ch6xoE3{II5Avob8m=xZ^B?z&oNtuEO znCE#f-}`>wT6?Ya@AL27-DlQR_3VG0|6Si&|GK=_=Y8Jr-Z9=_5CdMi=D{S>&S=Q- zKy=Nc5w%^hiU))WhCaECy3Ic(#{;|4HNRP7q<8(Rt9W3AwtDgMHy9t)2v+exbj<_H zr9MeqAE{CJm5i==%p=ycUXn}*-zQ4fJbrf*jf}<^G-G1a)ZWfr^Q7q|x_cO1-lpCF zG`-W*TRZRoskaJ0KQ{0H=bKTuFx@B3WP0^>&ChoI?(Dj$s}a|0w(GYuo!|q1>@U9m z`3R8}tK)XvacaRByI6E66c{4AU}A6Zk$?7;^+~v3!N`%&x}|wwh+G6$G;44vz(Fej zy@1Fm8%_}{qzsrM&s=222GXtXT^6Z>S^l&C7vIDu|IYu$H}Of&1fy#0!wY3a`G?EBqqFxJogMpg?S!iK;+p?O zk*)3Mm{HY&R!K!RZZ}o6v?`FY(z6wgt=9OQ#WXo+my zT0Qla+W0za(|&2A6hkl@-{>;vVT#Yj7tP^IHNL1V^3c~C-__D+n=pu(L3JgW5&Y4) z0CxHI=TyAz_!ZW=Z~&!!^TT0=;-Ul5L)uzEe=v}Q2E96ZzCDKYgoCo=tfxjYJ4eyI zfaF-`7cuMMw>-KR_A9yW)zPavg#Iygk1*%CeToDtQr1+~fB8kyc3-WT@6mR(zz8b- z_T8>?j|4yBYl7vOM7;Rz7^PYNqDe^#bsz#nsoUKef_fg&9--T<|D$^snp%7Ti?Kz2G775VmoBD@MmNa!<(14!-SO-hsx^nT8q7BNeaYD6~Th zkprmUM;*#rK6c?stkA-~Pw*cC4yzmp1b_=p2jq6_55`(%5es|>W0=8+n@$)4)&8=b z_Q&~V_z?(qPh!xO6iFcq)giWaj%2?Rt^tO3>+)wq4Zr7@_V)}o5%IE&bX;dF= z3YE`~Cns-#>LbBEMyNhK*9ttms_@8*<}`1lTK5OT)rTOTMgK98Xol*0 zZqUgMG-XtW>AP;Mf4VUQ=IE%SPz9Z@s7jizWmAs=4P_tNXbc)kzPa4xXv}q=|Lt2j z{*6+@NDjD|xYXq}*9b1X<}=kt57}SnCA~aFFJTiP%Y*?>g#b4Kr}n|x!+u*jYufT{ zBto6_-jDdFtM497VJ*n6MlV{~RA5w02^;9!JrJ;n0n04z_TC0*hhTQo!ixqah=RUD zFnejcHOFOeMI@ZG>=T07Ni)_V>8!OHRtRPv4FdxRr$ch+z^NFtkRh18XkaLn3J!#& z9Y?nE(11%9sM_(kl3Z6_u}WcKt|;ETxz_`(8WQze0>0L&=_h)G+od4@vIsT23vmwv99b`{te97A% z5Nz_|bC(aF0l$G*Qrb|(Ee1D1k|DW(@FA#aE;3!kPuncgYMX^aq1fgMMc=>gV`0}# zKV4%juWKKeaiizKjlS;Ve%}Y*8^O8W49Aza=_q+Z*!4l&DEg<#SPpZ&S#@%7*{+xI z?*M}szQhh@BvpQBl&h489ClrbLK)>**>&Y}U1%x6#U zwiv%`AyqKP+V(HqnSc6)7gb~>`zIfxX+;&TFW+44I!zy)6QFRI+>x7vPvGnooG%RM3781BrxsZ39UD>lGxTIS zuHo$Q_Ox5nCVXeRd>*^}=5PIuZ~UI%oYI@o+GWt`vw@lY-A>GCcg>RKwndf_ZTvux zOrD3T@gv*iFyPy+DPz*yR~#h&&vv<3deAu?6(||!nB(oiDFHd&-eGjMIvHs6ZbRN| zm$%EkLOMX@ZTv8C=*lkJFm6QV$L(;ujSRT;j2}S((_G0q-d+*Ofs6!)nRTN5q*Q?; zIY5AtLTFRp9Rcb4RUaH@0OpXmKWCq3pvL_sNDVdqH|zK`$3cM>l_#O+D3nt`CAeUDIYA{AkK#Ty0Xa#<&-8M`!ARdu2W;32 za;iTu6|gaF`PLS)`1!Wq`X%4;Wax1vP4O1@Le!*l2tl{EI9lvtUzr|vL4;q7uh8O- zflsPTLy&sh1;Kblz#kD^p6m-j-fR~HS+3>}(GuUGouVEug6lOWCtyV&`c$38ioD-0 zh#SiSExf`#S^`8vh9GZs5tLC2A_();2vU!`pwSA`&)kC%k@_)K6g}>e(9Tfw!t+NC zu||^dRgpwrJrc{L+oBC^Bw74)Nf39jdw`N_89P}WoqF6Qw_6ex$|X89qrygGHdS=j zjgwSmXT1SeW3aeTZJ`!lHnMd+^_qUmRjUPWxY|PZ#E~-62@sk~Rc}_cd?u5s1zi<- z_RAsy8^ z+Sx5WtOx2g`f~(1Nm%k^cs@}OHdhUq+@L*&AC$IbAFMm%kA1dvPM^r{agZk5+t~dfE z`1cwJ*jE-&6~WzFp^cy#Hpof{itFVR;@TraO7tBlyy#SfpoG&Vh^b9%OGdPUj-ysk z+%pqI9}g;86a=}L=CNW$x&VHTaOaHi7yUUJdWE2*9{e1)E6$igsKUwi)j5s^AjPI# zE+luNHgGAQll5D7TWsXp_&?e4WeYdrJotl#@f`Cs`%@w%@eEU>LH92ubtH~TmQlXe z=Mt7NeLFp=V-NYMrf`C#WoY5A*U0jHQOIk5`cuE~H%C%O&$CrQ2vU8_9X?hsqmnK8 z>y27D=VJHks;q+c=qH52Ai*JO+|7!$3PMU*1UDcqZ3MFlD)nfz^Whw7rIuAt8VJ$V zepv-!yaKZPgo>jbwmmeX5dYOj6xESdq4wKbr>T7f4K2@_OJbmg=CXF^ylgL|6wQm| z?f?O<31U|b{L-3?LR=D%clP2^Q?47`JE|#F!C71ZZK>N$8<48ch%t1#Mz)8pl20Ce z_}}Jh+0W*!J}T0VY|XvqdboSvkyXL&?_b!zCh zuO5Hz``+=Pe-!FbBmZvg5~E|NqX0+k;B|5ufSty8RZ$OFELUv-F(+wu$i9lthtUlk z94^(Pry$S_&uS=8N?>nm3y3MAdjbh+LS}i6Z0M;INjXV_hC=3@k+@s1QHczWxfUezv<9@MTVWW2gOeANxw z(9h-37o47v*SxVBS4|y-PEm~1iAe$HMs!ulC~xYHIcsG9;(#b)?B-g!)7E$Dhf%q@ z_P?Q`Mu<*>u}iBrHw+Tb4fdwPne zp$9GrYsi}(JUhNUP(&ra{?8kr77yrWu&9zC(6~8wV0>faPp3p+7TfCb~{o*3% zot|TSDba3ubZ0JBq~iA#i()xqFpip%5TsslL8yCDiNlM9(xT#zhR2#O1vDtymx)=b z(#DH4z-aidg@V8-fjIcIM-s-c)e)A3Bntl<7;&_f?NLb^V7 zg`kgmXwSTu+S?r(7*lz>!xLkwVB}UO#;E#>>_XD5*)^S_h>MKZGIeeDNNwwc@z8Do z{BNHh8vjPAlx%QM)c*nz(#}^C4>fzFt%C*~kQs>(TEN3gJB$rPYdzXiS($~6F?p1y zdbBbCF6|GG_7w0QE?DJ}%H?s7lvqai$0<*nUTiq1>D%df+G!5sjaBos<@;3gXCA-c zOWr!>k0Pf^1RR1ExL&GJ%otdy$i1i_&qdFY2CyN>ci#o~s{sEKKc$)K!-5Q?4w7*$X z&)piQr9jWut?{@uZ+zeKEkAxvwT|wDvlg=U@IzGz_td9urqXum0H9Vt|)Ivoy9 z!)JavyymRd`L8IAN!iC}_(HHMWPuQr&;csJMOR&Bwa!`UsxFsc-)@rtm0HK(MqLvy z>cK+R0WBL?6GS>kjD2j^tkgPYVhO~7jV_&JMJ_ZR7VKBSFG9;qGkNM2pw?A68|#j2 zT=qx(m0GurTVmgFweIo1|DBug-t_V{;XQ6@{mH-bnfJan(gJ(wLv#3+Zm|VO3friz zfH_`ujD2Nk0T)C~h1F{0w1ujK8Oac&-f%&rbO<$NPu|*q3?WFh;euNLNX5eh%*!$o zAxO3Ef`=_h*s&r;+=0roJ~X{`7euuS%%&pfZhfrCw15k47i)r7iHj<&c5-=G#iLp; zf^0{T9|Qb`bt0PJsA{8UC|+i95y4HOdL=y7_|Dqhu5xBmrEk_#%$4(hjq6*^Y)nSZ z;j->*8_-`!P~}21^2*DqP%hy}s;nbG0Mka~0bwgg#@X>1N~P%550*}|lm`{RFCUOk zOxnNgtdpkTyg#3Zyg#>Ne?kXfP4SqZHpy{(=&Zx{sk4nSUD{vrva!D;^V25HdcvDZ zmiF`%f<3>tRYsb&d|$5ewcq*7ul?-UtdU4T;+LzF5-CUqsh$Tstkz&ChEl>1Eg3r* z72l`cl5>@yBE*m_3WBqkMV~B~bCvM(P%;<6qkwXqbKon@$;wx4vT|f90Rx{Z;@7B7l!8#F64f|bP^GL4nR8#0aA;tiU{%Hj=~#%%EhO(Webdvc!` zFpbtl;gSW50gepGu4MZyzLra(+iZnPPTzq!@!BO2FV!W1DYD6vRY0GYNGSIky3oAv->1M1ku(Uoc6EWi;g zHgjzi3AdS}mQd}~;|RR*7}oyga_$_}eZ^kzVg#`^68w_lb{r&1>#G z`Nk*^dJJK8MnF^?c*AaAQ43Lm%+QX~K?r9RQAZ6frlKh;mclE#_}UU66^#oXN<9?~ zA}v&!ipB-^rBDeHxfa(tuEn$ z6E)HU2Lq~tdT>=WLqE8(WSf6`PFUarj`gA^?u+NSAXg7A9dcq{U*c;C6s9=uos64n zDR;>IFQc6VP`W@fJxS|P652QopS;*lcE08J3$4K0gDLE>Hv0qod?xwmKa>J;)bmO4=(t zYO%4xP?Ahf@&%|al}dMyc2f=QU2@uUcUeDo*v!uzHuH0b%`-lCSS>$y+{_j6=DEAX z_M<#^Z@c!vyWjF3D$~YLm8Id9WmyK`j8vB4vy{s++>Q|Hk-23BVjg87mbFi5xI{-K z2pZG=h~Z8dSS$^!@pIbw#p~7B{Nc?7UafR|3@ol1R@Z#P()E+=bimyO%B!*e8Y7CU zhSjyisL^mL+iCy9#X1w5t+yBJ>i4f{1oH8@o%YB1W^Um%1~yj?#=0r%$#&YGiY_*_ znWD58tf|~0z{a;5)Qp7&W_#~GzTM=pr<(-TxpyC1MHr(6xaq>&lxkr)(55<2>(zU6KJR)iGU7=?; z<*xM0l@so}wA@AJD(St~TQu#ia90_Z=)3Y3=~%>a$a*fKT;BMuy1uWJyF#z|qkr-9 zzU}8ex_He>B;o)Vr^wmEH$iz8Y(tOdTtzJ{*|LNtY| zz$pr%YMs+8w#7-4Se_(H+5R*&X3#a!2ma4Hny> zm+}G)nxv~Dv;fQ`&FvO{+3wDTaY0cMLlas_(e$<^@19f~Fb3KhMdOAmg2p9RYeZ&5 zGVPZjabYZ%B-8VIu{|!yng^6721ltMnk3T$d;!1$22Mb7w}Qvqu9=?Ti`{NPiWwXo zMD6u2I`J`rYx!a5)wbBBdE!Fj6@vF9yI~E6pH6b+Bw~O><>akeCr{ko@Ji7L%EW9$ z1@Mb&uaev02Exg$ykdBIck317e3AMnU^9BfRa5~tw?2Pv$NA%e9Cdsv+@Pi?F(}Mc6idsqVMx%9rD+XYQMmy{p;hoqb+7u9G5> z$Jgim4KM!VfBDyswrDQ48!1U4GuCMrq0e9mD)fr)6(i9{s*zG`$kq)EtIC<;!C_TY z_I~KWSyd^&24`wuR23CmS@8fADBT+VZJT6hC|nRuwvr`dh*)RHcFuspm13HZO9D*N zCdhLJ6o7IYo{1S)Mi^>`yEolaYCP{pMUiW=_|iM|(<(qVIg+g(Af?z~B7j&-l2{50$7>Sv(D(TgbHPTwSICyxrNpvNV7TS~?$s zOVp?&I0`|kL>I(tDx~|N8xWJ5x(Pw5L>B}}ukt{mT#xF5w6_QcEem{9i7vQf1wo=M z%zII76Dv|B7QrpTF%z_|^$?^=EQ06()D@S9y>-@b z5)%Vea$%DL<07Z1iG4r9p7vIaS?y!uZKSuYoQ^s+BA# zDJc^St&1TN)BssfGE%W3YAz?;()W$J5g4=e43I$&yIeJv*`Q`J1(IUD-#rc(Rz!zz$< zX)l;T#h^#+!waeDh^jOHry~7Kn)2P%-q||$!)%?`!X>PFjcYA0?>y%3-g$A%@LSWH z4i-0h@Jiqsn z{&!WPqadglj~!LguWErF)xHS(4N%_c20Q^26$)llwadNmW9CC=Rf`@@c%hOwJqndj z7R;*FhUT$u&sNA`Zb(|5DH+;u^t~q{%mWB{r7+N+-=DTBUEBNJ@XkDvXK&kTWF@|Lt4>Zs> z*GRxjb_lR>pnfCgiHc8a;na<@^CY@YemhKpG}u?aJ>Q|-V$Xm9$Pm;dYvXZ#lc0Jz zH>(zh`0|mAgD#9xqJ0R3FaJO^oqfnAMTKu{CfqEvf1$aW7W5h1Bz1}uWXN`uF&c1F z4bFj?NE!fU0>q{WC8baSGXY_gP)_&A?X5c1Ok9f~5g?2LU;sb?c;o5krQa~aQ38xo zCr0`aAO)3UP!NC6>e!)w-vY}qEJ)c`@Emb+ProN&X$G(!&tHD&+ujvF>iLJ*e)^er z#mwR)93nM{Ijd~J_u$9y&0{$a@?&+KgGy!1x~Np z!e@hsi7Z4bKya@E+p|f^_Ao_;7@*axSh*|f1?;*+hMW&mAG&f^I2J){9MT@GbCHeV zRyiLDlcZIwSIq9p7Q_#>;n(<*lb>^jO}c93?U=IGVk(FzIN6@W@=H=uD>5JEB`M~7i&3?25G!ketz z6>}$fH4$jHzdhfeJuH?_?VVzC7VQI$jY?`=OrLq%b|KeGd7=ZE)C zbAJ98LEY+NnHxA*7pWRhG}RjKG$L{kpM?^D5l4C}DFF@Hs~z%N54hGcgW+IYmsQ7v zqP^1od~lKyL5iyyC?O-m((yISiv49f?T_;%w!)Pdj3zo*^8SKwP5akcOI(pyPA^YU zV%WsMwbPZDJ*uaMZBv!lwB_57gG%hjKIz$y`rD&QY>CUIDhDN9_%C?`4IMInNe8Fj zWF-a^iuz8VnL!q-UNI}N!Ra?yi513`zUeoW62rJdaYT{bvtqL=lBeF1l^6)s4yd@m zA|8(&cJ{2qhUe5|CANEnIW>IMi-wR7uDn!V)iq)LF8ga`|Ii+$ymCwD1cF-EO#V04 zi%MQ--t)o^jhG_h?0pfmvv;oJqaM_%+yQj&#(s2NPXcUc6xs0N-*33E%Ej_GLqdL$t z-689^7{`SHxXSAk=a_X|A@>GUr!yxu>$u?dUTivz@Rg1m>X?_5K)F7J+Pl*-KkBGa z5r~4QKDd5Ub=B{msZ0(+%Q~)u_*cao^I}`pEUe2{ojumqbDhr0EBDF7zflqz&i%BW z8tv?-y^8&`IlX>&TvMiJMqKIE*PYYr=Si>sxi9{)-}pqN*A>ClL9r^b2!RqvDb!9G z)XRWZj*~POn{Zb~7&MU~NLyM24;+#SUmVfGx&?mHmb&0^CE!%zVt4EI(6ps42wW`F z>%_%ks&I2?+EN!pJ0&O9v5!_u0QnqgOI;Awn@k{phwe8<0b^g`SJRdjL7{FJ!Igzm zAt<)gC68E2(j$o=ULt&YFOpt&Nu-5YI|sFyOt6xWfACWe-&srs5rxa*Q| z4mfp+l4we9CG+h88i46_LJU`ew9D5>((Aq^yh@foc%CQ(v}@)Y#EOC>n~<J9-qXXlC0PVJic7NO%+r%3_hY{Nu+%}KtAIt(%ONw=4l1+tWRJNQZcim9QPN^hqn zlvMas1VMIN_&MB^_@uwAhUT7YVRhkst!o>bKV3TV9)Z1X}mFpY})dziCFma+b*^cHsJhJ)lh<@j3BOWC!(%3=RaBsJw|{ zh!t}LhH>(2X+RJR_0)!HIReA<((7B+as)QmV3s4W!3MJ&fkDG7fztt<4QjCB$J7y+ zj7DKRd1RTp4m`zb_yw|d6G|@81kp&QBmss`@02706>+I==U`T>hOfCD>Tjzi_6;|< z<@+<-;8xwBM`&VTCylk-7Bki`xh@n=Acy7>R@G5MLa~6W7$8QZvsN- zc?(QkmA8LUKxp#$&|Jff6{`C~HF5@W)!Z)0r;MuNbCy(lV;We7y;IX51&26)nue;m z9lE|YP27(2m-U?X zsaJ~NYDxVELC_5)lu)_38w?zwtAO(a-2U=Ij4YZqGWfA+umKSk|Bsg^unW5i29K_2&bb6MD4b(od}aHsq#aF17n<}qKhG!oGuZ{izX zR@^O>X%&8Thoy`PxCknUj%k=Krx+Em3mO*{(=ZDIsl=h>CfYed3&DsmyMh#t`m`ZP z1?(&Cw;Sz@-k~c)qk|9>t8FWSD*<7K=4*@$HUvch`;r(TXuZU1PXQ%K0Up?z+dY~S zEem77mn2D#$k#*=!$cJ_Caa^dWVqxbd{lr=lCW* zO_8akQ{}ivKMLL@)P?HHq^=bF8E}x+--U_0Tl|WoPSOdVs$X%wyPTPT`&n`PFud!&CJSw z%{RR64S)NiN@uq&)X^&?IfL#ZEz$a`*d}LecHnlYaHkypXT5URuhCdT1++mG4q5;i zuLDL12`xv~D@jvr4aF3KS+4+;%GpMEj#n$m3*{BFUP1GZmS{0T51bP9p>uKuL~}}Y z3|Ls_SEq*rUb^&DaVQ_?Mw%7n@v>7!L7KcBh$8TC8r??xnmRe-6uAgHgcUho$tpR6 z&Unr)XR?VMTRAl}Nl&VZd{A=TWWV))E1z;s#lNh<@&2!#=>`Qd!iQcp>Y@&ihbU^D zq3-N^QV*4nQ40;Z_>`42KgTzHpG=*$fkIKID67*ywmWlyY#)aquOPn`BB$zBq^J4< z17}!k0$O;pw7D+n_NK@B0+Ft^xpO$97IKngdal8h>@9$+LwC*eVBlY< zkkB1ThP_1+0l)NnU;qnhbIsA~d$a&s0AGTwvLD7hn*I`CXowen%1eNMvzGw0&}oFV zJec^h=Ow_u`b)r%LoWfo_m_YlJ1>C_J+Wh5?QY>E7#MV-kz7Qf+M1T!3SmS}W|jr< z?1ymB$SDPJQW&Ff8wGXro>grdI_o0*7}*X>&cPnptwApv?LqiK5U9dl*-raQuU#CU zlS!(o)5xF^353&f?c5QWBa%-?QdaSEKw}K1;&l8i$8)3|l;^nGA$u}9za#B`yx3G# zH<|vCZkTMx32!zRo80?{i65Kl_d}q%ezKkRm%%7;{LT7eQ~8A(s=$2w+AnP8uIS_C zj@fU();I{bBxB0TrHNR3Fc_Qlc37 z-!^3`4~V5VLFK~yFKh=$$kfKgit;3Q9#ole`qR3+%YNu1pdRAmZGnPUh(@d^FO#iE zk}wDowYIUgoe<3VUo;dtylrEyTL@P9UxhOwqr5{+PmF5_Y6xM+MgfdWJ7kDd?zI?$ zaDpjn3R`qp)C@$TR=4{MP%%Gld_h#Yjz1i8!T3*&}AHs2Mbni5O9~ zBSve+7HOv3gDL_&fpd(os0vML;89PHwnQ+OZ_iV{eeE~B{(paOgy4#kcfY|=^QBb*87nJ_*jMH^xL~v@Y*rnz9vUVW z%nj|5=*@_I`VB64DD46Vju2$tE)LBQya?)W3gSO7yRQ+X-{6ALEU@2N^dBqIZ*aka z>qn;om~`ty({Ct(4(o?tr$Iyfh9c>i2PC>XgV@Dya7iSVF@O->07zto=`DWuBzv4J zt*42X0)Z0iXfql_$Zcyx@;ORZar6Xau@F7g0yhS}a{^qsPbU725`!gYxJp$G8Ey`W z!YNs)RKa10I;I*Se}$=UQL3$}YN%<6;;ORCQn*N#Q?|qMbu7P(wN582)MaUZ*-raQ zmAkh5Rd#g*^x78DB~|8@7bq&`rtPr=iV9cPF;*-)!^$(EbVqa?b3A`{@x0yg5!Y$9 z%j#84ah))EZvvU`a>3O2Z~vp;|8M^f5zlLPqeG*u*AcNYkqJzVue)=E_}UghWs8E~ zVl7;xxdoc@cGWf3UA$>1mf;u(Yx>N^jemX_s9vTG`P_(+biqyI^T$-vaTi z$%!~L?Xn9-D?3KiwdY8?Tm(xiJ8)VGwvH9WF1uv3vV%WIorfgJK+7egl^w+hO}8gW z)`*K_X=PunQEs67kR5}_Xk|y8 zyuCqU>dKm>l^s*5)FDd0DBq%JWk)}zR#;qelJ}xsN0b_L+Z>rRXiEP5dT4l$H=%p< z6&&;!rRv?Sc?awx)w^}CelmO>KK~a^OnlP)=T>&oVQf~?bC@f~k5w!C?7iHb_hRa1 z_Fhur=i%jb(~r;Xy}bX;ANy6~<0PG{u8&_Q+ec*1LH|ZKr-wEtn@4aokU5`){Vr`z z_FiD0Shj#52Ef$zsWVOz6#UZPw2_fv*)(VG1r>F20I?BjvuLe-HjQBL14A$c!9LWw z8rge60~pb00u|^m_Eg@>_PBzfwouJ-1BX}JSW|~)d#c~R0<#qpjC#VzYl0%; zcg;tn_+Pq0Q~a;BgtWsvPBKUg9=~ytfB5@8<4b-aXbLngZ&S1&nS!GY9Z4$pHvP%h zwImiDG<;Y%6xfqHPrZf8)CG57qY~UzALn~c(H3wq8gM&|H#tR%a113-Ima$Jd`{7B zSD+B3N;zU8MVooeDOzeVfi$sbsS#fMn4F?50m%XSUW1$vtWvaSl4V($tTQW9XGxNw zpkE-=UcuWVk_)p9Ns`L6NJ^hEB@ZaGHko8ezqzE1OCd)%pxUiuzCD<6Y(AR|C*4fFxugchtNF_t*S2t*b)+YWUa2GXH+M}vmHMql+uMgcs-Iu^j_v)<$ z4`_GYt8a3L?$n=^&ZeWBKty8Y#IjuTb+bvyM4Z}#9uvZY(9|J{|{Nr!_<&XQVkpa}vIa`fOW8hdHUsz^Ef!RfoAhre)d(Xa6vS5 z_+%w#r?Ic_t3~a*q^VP)^hv#0FDX8>O9Ey~ib~+o8D+GRRQoQ8lT#IqVNKl`#x%-B z60_Uwmy_$QIeNZ5W^{0kHrTn6oUEcni>VGBo2-;|;f)k>qcLSOFUrq-GJ1NCJV40- zI`%hv-R&rmnVUx5?x>4403Y!bqUxwc(Nrc(uRL@yu5M~`fbfmrJNAB@s+{LU+ubGF zrrn~}z|HWT^T2oBxBt_B;cElFqcC|d6B=k9&{MR|h)G{y3L|VrG=5yyLDNIEBv!~f zXojekM2)zhM=5<&OQJDM(D1>`@gW9k&QY8MUvY?&Nt^^1Ji_eKN!aaxp=nkWC&2{4 zue6nD6CO{eCr6zGlLUjEkut&fYEdoq+AucsOOETuHIZmT=dFh0E;-putG&HGlG5)r zJ=U?SjZIZ^`ihB|+DOT_0VtW#JEt*{4x)Dip95b}o?nTW(pwBG4fKwGJ5wTQm*nFy zoYN6MpwIvc8n$fX_7+OY5cWUfd zdY!?9AU22Hsu&;92xg-U5(cHqMtE$@<8GX^`sG#(k**SrASJq1q)iBBql_Yvrb5wA zYoy*Gn2j>LMJyzxDhxZW&T-T(mQYcR8JQ5o%K2tBDD#1%LUOR#7{&ZmS-g?)#jTyB zY$gU)u!I2rkx%6kqnTuT$`Rs#lpaba>Yxt0uouTA0nzO30dlIol%Cr#Er9GZ=^QBu z{Bd>BA(`Bk3*)h54-%WMYfn+?gMM_(svrp#9Gtz6j*UAmHmOKDVay)5?Dip2EUusD z8vd1dY%9zfYmc6u;0thiku@80iPoI>i3CV0p7??$WE}sU-G#t&!zNuK4g)K+N$i$VbZN8sDOT1>oy~ z-*z`~9m`T{xevZsl>`b2#<|!sx(mMe{L_~&JbdxtbC(aKMU4(73kjF+U{qN)j*EL` zU+>GhZr9?Sa-C=2fnCwT^%7Qm`gutx)csuVCgLZ)dwBUEp@F!cAFgM&@>R7LPgJsN zvFdY|&%OBE<-=#>_sCDX;(ax-7Dk>Ko97<0W=7PJSS@YC6MQ~`=e1$vM!5T=q3QE2^ zY(C=-28PYUoy)j`{hF0I*7K3sYT*$z?f@V!t^8xuL>*TFJW z_h)nDU~ZxbK)NXIoGo&1)Jao6yNf0ehfBXSzL|EQS$4S_M@{#8)+I&10)Q4m7o;*B&kgn)Mx5K#!x|#J*&!xT_ww${zN&w$tYL3mGP{mlB7f?|k8f zB1Ogi(I4|oU-UCECQ}=*9a-Rn5TM2a>Xcq8FtYmg+E>um%uf`-^?I#>$O5R62|;QO z7u>HvmLV%{z=)maihvbSvqLK;-SjL- zgXceHyWDIQ#SF*3Jx58%`-;nza$fC8Ki;e;*%K247?4dSEEl4@&T zl;!7XDhcNajnryGNe~xFze*T@DP_m%x959=$Ab3=YoZCFUh~8kv#$;$&pFC4o@u!L z#e|T*nEW`-tR62WG&vt zRIa+#1|n3D?KnO;&+%Nv`5#W>JZ~uATMBfgG*8zLoaEKz08uzN%H#OtJg-6>p&3u1 zhHR(v$NA<^2NdTZ7S9J1wHUvD!|m9AySUio&~CH8*y8)(tcO5#!m^$A7moIH{zp`o ztNkVTiQ{j%9s4&F@cMX_P)V%LNB1h;2?z@y#p7FY4A3Ubr_wd(MS^Yr*yE>~6zg9?HVa?G8 zQ~w}IwfND2@6k-!0R@YWWWGOxtax=d;q|n5qsCcX%x?Z)4c^k6))Sazb;n|)z2orm zl{@Xer)8zdUd`Qv>R^Tqk?pV{lJ!rU;yQ-W5U0~$+?RRU{hW-uyJVc*@;Moo&>(lm z4f8#J<3}(5Y&akq^tl6KAs}MJBlB0xv4KFn3H@_24kaSS-thL;YKn8Ln6o{gW>ta& zWX>>_uFfDyD54Q9V6+Ezp<>15UU*mXiYYyTT$_-56R!zl{kv)I%-`wD(v z&L8pOC_)yAzD_H%_AlB!KkhNBP|g#X5ju2nl}25ah_n|e>aNLl)t#}rpKiDZas{6x zmdFl@g!%j`|EGS86CBu9mDtPKgBoC3!%H1ps;84P2%bNPJVW0np4BiBZ^MhLJhv%= z)FfK6-fOnLM99d(do?Ca^Ip{sIb=i%3uLshKX`9Xqp(MpYEC&2b5NxmM%)ef6QJx* z#|MRf6>@8qE1iEGayP|7y*$ZXNg6<4kti0HpccYFXhu+5MXCKL0>-CaFc`6g6wN4t zyDCiaxCJd~5IrwK*Cn)mR9}Hh8b+_|JBXUR9vL}>Ck@!g2`sOWnsAE*=waGzJgz|}^&`wrxy)6SvwMrUgJCE2W8QZVyn1);%K!L*ANZIr2o$6ia@}1iu#qw^ z=Uns60azic8k`Hf;zMAWK-KI?2*eoQ&I;y`?uwt|5p!i^MNC*XmnsD5uDIY1EI}}a z0L!2ds>Uz`sj*yea0WY-l?$S_c>N4^aaUXt4JbNT2d3K!IdN=pS6tGHLp;m9a4H2- z$54=yA6u8&5Bg1F1STdsidEx|La3jrMloAL6pe>2By~Vwce)0%=k&HT*tF$)HP}CQ?_0k( z5Y)O*tHG?IgCCB*SFJnwi>C-`+X9i;3N9Y9BzTo=7*YsU8tk}Yj|f%W0eoS#c3=+6 zR}ADCNQQ?r7^X8QqX|GJR_KdEXAQ@c%nNApvX$7fi+n!}RtODDl zGo%LTFyyS#4~9SmDUt2izdQE|(#6Q&YN1~67#W!tzT)q{;RH7sgKJtXn$=ixle@Mf zYca67D+@!PNytV9NDVsPbd!NgZWK<|Vb;$h8q{X^g}%uu3`ATc%oIWELJYx5gYAIC zRs9L~wmEdAz>qw{Ia1L&_i?OP8JVq2vZ_-(v{0Q;G|HLXWK(G`B+aA8Mci*6 zUMO0sPdlAogTd=VyH6+t?e0l0v?8-ZAt98l`*+}6RiL&`W`NI8Xn;rU-%-RwI$>zU zN&|ID64Xd>d}tu_E2aHsH`((DeQxr-Kl&3Nu^Y>sf}W4w9V{OTd+IPodX5^*vvbyg zKobf4mAv#JNDbzK=q_XsjndI-)y%TiA!aVfmIrYcF`Qfa4dcin2B= zfE*jsyqhJf-05MB`npI0T&~siI7iQT2m)cD!Ep%kd3E)Gc$``cg7CZBL(_D-V3f0VfXr5UM8Ud6Fg1xA4MrTgR-mrr zmunkP6oOfSmUc8KMWde&!CHZC02C3mxII|kNs=Ty-8SI?>|rmcY5`WE|CzOSr9eSs zPuP)^lq{E=*35Tjhl?$}LBJk&CG+js14ShHX$(EFDcfnye1Fi+t{k{9a8e}822Ok} z|3yf0XulE20bx8D*rheIiHoWqCSrBWOuj`?_S+&>Xs=SfN0v0g^;`h&)kum@=I68Sc;$NPt)*ODS>d&4uxcB(!$PC?)Q6$XDFWQ6m@DYI>GKt&Ul~tKLM1iev+5 z|I$rF=og+x7t>__bkkIa?Cw29QgFdCvY zrbtdf)G*_an^=$mIVt0SMiAr_k}*S5k?2{%-qwqIZ`4kau->vA)(c%yRmO!~RFyG= zK%Md6cI+?KE^Ugh5XMkg-~6Gzk1bfgf(U9hacLzCK6O&JEq`hbe#SH39iDmGEouX_ z86b2Xa)H0|Der&NFO3Dc*8B?H(Gx&sF8~>LhNLTWEg_BHSiSz(47qa80WFHNYEy&&^bnSWym5;VvV1%W_;;4ZsnmVqKq_Aug(CES=M6h(@ z_vPDzUxavBj=r`UOIkDEpW}-8M*5N%eZUYqybr@O`_T^zblIuox=97xs-Hr;?*Ew^ z;-NE~mty)|gnw(N#I~%spXEZgCUCMBUwSY~O_;4=b8l3OKeJIlWlG}78&sikB0~I71Rvfdh*eb%jRgnZSx|G>TEUeZvNmh3U zOl?9)d5rjq{ zcvkpnt=Qurm)o00o2@yJHLv|YN_V?XN_8F3El{%MCJx1xCOs*NEbG~(43D(PNzf-P z+b6{;Rqk}a+wNLHO|6P?&&iZYGVU8qZnGzSM45K_N~Y@SY0LNN>No$s-}3WM$HXjM zsH3ZwF#L3`!NOxe@OX8zHbBja?kMOaz|2*@xy>|X#T%i!4l|Hj^_F$P5ynBBJOH;k zBm|YNc050PR=BUV_RqR{hw;CvT>vF&%|zDKNO~$i5Mm3kZPhDQx*F3LBvlE2w5!=z zGPSEk?j&b5W>;lia#XYNRTn)_KB%r+nRi(uPxZT;Oe?#EGEpG|4B1BJ%JD3kd{uaP zxKREt){9U1`Z0MzpWP*FBY#vXY{;1=*E%GeR`{Suy<31KEE zA@N72DWQ&zn^R+Vml~UPi&{G|r^e2c8vAelrR_icp|G{u$mq7#+8S?VgthZ-o-)Fk z)+yS)H8tkvcyQn~8uNwlCkxWnx?u2bqLHIrk+#+acYw@E1rgLd9YNaK5CnZbJq*qP zqfyR>mbj=$BE2W54wMg@CU!(SOpUoD(p_4ME<7{y>Rm}_S^;(+iYw|yT&1+JyueS4=6sB0wVwlwc-%7NvOP|W3 zGsS(l))DZy-~S>cZGrMqu1ZNor7ue5DTJ9h3rHyBNyzrLymcz<^HIxNGKnK)Q4y1$ z(%U4KKRE*8l!qfAolmDb0`>-;>Ih6oAkZ@T3qG=ZYs6S_`eU#Eq08@$$V$(%Rlt)+ z_ymVY&t#rl)dp&v#Sawpm*n_oI?pbVRh(R9?GXJ4yd9}YYj_XAN&#cqnV}w`ftwX8 z1&lrX{b?-j|Z~eRbu-WDso2FKeovFIg+}&NXnGsiAHEnk)9_-`hWc8-}4#&;mn3g zqy$kbIYm0Np^}SrW3PGM@AMki>D9@1`qKA(@TqT()b3V55>9QUTNvYmeovGI z$ynvB`1TcSIPp;~h{-FMw@bm@+Hj&F$jm|rf}B$Xw}5p@9v}pn+AV@UsRT3FZJ2uq zGPB@<1)_>3f9n(sK}iqEPs5J^%2t~>k4PXjhZcv#1VL0~u&1TNo?=C**%rYAilwZD zE{?(iaP+ex?o>!_TynvwX>82eY>h)DmeiW9NrEN7C{H$^25in!Yqll{9Z7n2PBcOW zsFloj2iz$fdC3x)(@>?O=i9SgtcNr@*b-$SO+-O-}~k*SGiN^>3lK& z+bk-Z{+<8rlZk($R9DgD*ko~0)sE&V?(`#~xF)?|skl^=LaCW9kqI^t*eSSldk@f4 zL0;*TjxZ*DyPGlsfO^F(=&34bPNUvk8r9Ux$SrEs_?$*PPa5^_y#Cw1@b^d5q8xv2 z3XSm(@W&4mx46SKU>tHOL9y-0!7&2l~Suzk_6=!NwO1}q?MM_(HXTANg!td za>1G)H`acZBuP)jC4s@^?Gc8`hU9nD3sB=dF&3#j0^P{i6#Ew^n9UK1e8nl0Zp!^{ znD6-H-}&G8CO-Lh{x`mfPkPYFWau*%<&}U%v4?uZz}z?tnYMguzlcTo1K)L6|Lj-^ z>j+kAC;|-hTOB38lVR+VlQ0}Pad^l{KoE%_0z$H&gdsk&ZBtPG)G@W7gdvVhUoSwA z0hP*uel6NvGDa>4{U^D;c9|P3m4thCcxUcH9&G9y9=U zdJL+&quDnZ=qLHAYR!Cm&|*O9aIU!)R=P^Ex<5K_kympqvxh6me1rC&$Jgu5A&$-E zR79LV95K)jEM%=@b&HfDjU5h5+SRv6Ng!-Zjt10T2u%H`k3QL&hz?eBFxKHge<9(nuG=;IjOD^;%O?--{BQGhI?8QyGUyMg1IPict+}i5mC)5_ zPxOe*=me0nS-(58KJ6CGW_>z3X*p>c^K!vN#z*}0cf9NQFzX78ZkuX=grIGeuw;a7 z9gQj?2%2t%l|&y3&AM%|KiTNK4n@01eYLG%2sZ|~5TsdmLC}fWNKCK} zX=)?{Y1Um3`CE41z)=8~oTf%XkY?QlVf=_bVN=c4V)PKCS$9G3B{6@E72%|`eUf6< zT@saEr5gq3x0Gme>!p}=mo%1n4xw0DG1m`1YJzDW-TbOyO>uXzq~(K~Q{5#;*vX>y z@dwG*&Hw4~Zo~e@fq25*+Adt3!X$)w3>A!2jB)NHl&noEcDAS!RD<_|%@DBGzz z+5lbI%2-Va)1*o|AfKPuzfA&=feaumP)z~LM9yt3sK)-Xo%YB1ZZpv(astCi1UiPX zzj%LXQ!YNa<9m0;*L2E=e>5B4+cCcX&X50FfAe=o1y_li-1rtcIc=_o zK3lCi40VjDU9c2pQGc}lQ~SzdY8Tvbd_3*de$COL3>f>fR^i1GGHqkX_Rv?POKd|eXc zf=VTQI360tQR517Nz4-En#j(hjI3^qeMKxQRi{gWyp*P&S4jDX;!;jB)5*fNHd-xd zgvf5D!@9qEO!YvVJa@F7zoXS3)^=9ZnMOz1@*_s06I4$HhfZ6*wMh(S{?_+?)E9jq zf*FM;d5+S+O2oLS_S-Gpvv!zd0}c%o>C)JlLr^>$bbL4U?NFA*_os4S}T5R{Ui38I2Z00}d* zdz-Wrg4CzB#i>>t2t}L=Qjg7wT9vgW_e)?=L=w!|8pFks63qCTXd*xolWT&gpo7k* zK6Odh8;ur&@1LP<1oL^&M7+efQJvdGOM6 zU-qK<*w}jM;@RG>p1=Ikx4rA)()WA*A-11>=3TKfzB_+so@%=hW7k@vx$1bHs^hPH z=l}P~|0G#-DFJ(gn68(gPgfQl74O5%FJ z*4oC*Ij%o07ere#xL%+Tn8RBg3GHz(<~$SiD-pBJSc%v=$MwimGWUQ(Z`Ms{J!%R| zFsru5%%E;GI2|!uZmPW0d66i%M7pnV+c-zaa9=6| zh^@P_jbHjTANq+O7^@+o69=3u3{#Iwbn(;`zg$B!Chz`YBWzV-moAf?L{$$DF_ zm}`h>yyDV$4!k^}gb2Q3;jZZ`U*;MjTBI~Nj;f@k+hfJ5hPVadl}2=r_N%sxmurXz zVSk|D0kzTQ$5b^$fYp?`7u_%bFx5X>a^C)P*d-G@T@? zyK~$qY6{~~EZd(X^X&l-uyPTjtqU!)&3RV$=eQ;+7`09~L-lVTbs*G`FEq8S8;uj8 zmi?qTCb~;ccc^~h#CPFRa13MXf2eGK5G~(b8QmRsw10RurRc-6iBA+w`F9Va{V&*g zTNII?f)a{n9>YjyIJpyit<$DBw&7jK7{-);PwzGo?&(|R->c23`FDGJ!tRP~_UvFldoFl zNy9H7Yt)wVFOEXz29BrOU%$jZ`Q*!^J zbTb`5{?r~_`9p0@ys0czkYAUCQneCLe5pwSqlE+t&J%7%rzc$7QOlavoV*7Fp#4QU z1K1?d;0oVH)`Vz#^n8!d7zRYRcx_7=OP%i#%B-b@`vRR|>^7s@#@_V&i>A3Qm-UN- z&GyB)EH4he>|wu>=NLl%;_zdBaj=)aIQ)2~7Y9rEi^Gqxs-KR(I5?f*7w2t`%yKWr z|H7im{E*a`jOi$c3X?2PY+#btOF)$~2zhq_+mzfN2;k;bJ7n{}USII}fM<<+xxV+N z_2L3gYu;aMTH2r6;XbUl7kC!Z{;Lb*#q$30@TC2@o%YA%v`h)M-dwDA)&BC@r~PYh z1{bVa?r^c*r_b35(7yVzt9`DT5wseb>a`Teq;_Q(0=`@h*; zINRb4>eceiC*Qb9`tsg=Y~kTlUlHCQHgT=XCYIV@YD<}kcOQ#w(Z3P@FnF-a-Fy4P zXP*@}u4HGzO=L=^yg)^;>rVE|l?A&bx|$-o^lTCL7pOz$7}xDu5>1+Q0!m^q!^HGO zIh`i8aXmg`AnU;s6Dmr}g=Wm2CCp>;U7>$H<*xM0 zl@so}wA_VfZ8lL{{P#yxCb(f!0j zQS7dsr}B2_>rhVD-RhM2aMt6K3fyUz zm}>z4i*$`peull&;Y!I~N>^0!SJ>5+4J7h?%gR7%To4AXg$u|)yL3RA|CSHfcvO-v z3IhvwzMgjn4-FPG47~dLaeUcM`{R7GgM_BEle)|#YZ=Sw<#CHF6Xg-w6q{P<=j$xy zY#E!4LfMM~vt(PP~+k*S?f&)? zO4DE5?r^#A+bfome1o9TwWS)&W=1*cYu-q;s{^^T0MbfUrF3;tFLZV?`B|5C^nh)> zJ0jjJuirX)z_v_Qwj4cR8`lTAVSq+mP^dhp+;Gb@+RHk>aYIpwLHHIsKt-QK`hjlX zRo1ysi|BVt2ZTVCFP804vMc1vb2yB8&#Ll6AyDtn?XT$PKPk7vIP%YXxDZ|zQ?j+-&y-x91JK7uis>&jCc%SPm z!Xs;MNj@g+3+-J+3|eXrk6*S!d$+kwx?`nU2aj8Hzdrb=CFAPulgACszrTTQc5p@s zl&0zCYs*Pe6_aAp^|h&}Ow~Ee{&G+$(OF%n|4jS$Ej2nT43&KcOLf za4#lBBGUOL8mMv1Aqb9gpa{mRN;g zpgLB`kzav7AsH?>=N#1J$PYt?SeYd4BPdXs6|2Y(jML2fk@rzaEc23*k?<>NMNCL8 zNEKP1WgYn)H$W7a;RBA{oD4>N>r_T`$^DLiobi~ii*RomcxP540Nr)DR;c}zh?8+M_pg-ll7;g*YXZ4`H^A0XNPWXBQ3Rj4V2 z;UzoH^g*)Ye38?pTpo|2V8_#Y4m*ykyG?c+J`Xw%?<&Tc?f6U#sl9Xb9}IV+AEB4~ zJ&vxc#T^WIqaX9W0%0nUp9cAKcTHU%`)gnSalboaea&3E9rwBlDn77JFwc9SiCZv9 zZ`ldSI!nxYE?nXNz9PWH$V;+N3|f=6NJPcpg8NdR+8xb>h!ttaU9fb2?Jx{b8P7tH zcH9M{kcE!sR*)Ll1$Ur4({n@vTKlVW*=f7PAa+_(=*E3pl@mMeOB#t9B!Nj%n;R!7 zcDzU;yDW5%kOb4QmhhzX>ub`r){5JLBet3~^Zh9$ zw>`LV)P3?_bRI844Y?&~0gTpd`+McXnXVir!~srW#O2czyKj!f%RrKXw7p#H#oX2P zCT1E=$?Hm{BzY9#Ke z_6e#C#;enx_$&YJr~L4f(Il=TH=IDl25=yX1%(Q&|JbPDBc?D)e-P*OY68L5FrsN+ z@vvTLX_SRTHEkhCd*y;j>Y>Dn^e0>pusmRUVg12=Cb^3k zVWcpYBDmQQYD2*l(jqjfu_FBm7ewzhX=6yq0`{{;Q2Ys(L_ZjNogfLvtUXEbCtPy7 z$5=%j2<4nLad7b`ToRPjn3KgdH!CCePLlK|ToTC$4oQ{)z=lS0$+oCcj0~NMm=-2}pK3V(Je31#xnP zAk^YywL^}&S$c(w8<0G}U#iwA?azz-WjkyvORu?g3dM<&k^qeBtJ*V?5~q}c1zi-U zqd4d=`BEr8JxySb?TF2|aVd7%@~uf?DfXw=zx3X}e@b{JBX~2AE(ZVw6*v~24Mttr z{XZUTsBd4?mBTYocW9?4K*^n`D~D%Dn1KQtN(B^+hc=kX;n{I56M%p#0K}yg%;6b` z8#yJN&6{XUZG)NI;Sh}-Sh5rL5Mbj0(jHVB)&kNEbgS?T93q@Q$gYwN!pSC-%6A9z zcNfxRdcbhM4&w*2V}A54GSQ-V4?uXg=uUY}~41}um29T-RJR(EU(<<3o2o?1h zu{6%M`dr0eAM8WU9_zxW9ovU#IcF927TVlE8&;+76VEIS9_kv7wkWJc-efpBdc48l z5VdlO;(cTcj?iX=;UNa6%6_jTdP|0f+o9=KlC4hrqq18WJ_uClcG*t*^F>da(ji<7 z2d)-6iX&E(24a8y?`ywtfpONGbLdFB_*j&b?S_xI0j-^$OUmadDgX7iZa(s7qXR;n zrf%rC#BkmTq2pn@0TUN;)|l^LR?34DO-0)ljOubFVB)?}xjA$^t~Q*&gB1~sYVtN# ztU|}*l5r8k+ojM;=Rhxqj%dx$#PM!>G}^FOk?POSafgshW6T{Jtga6ox0@qlOwRp< zm2L#9&~Xd@2|*?$ml!@+FIk6Y2W?Ac(QDw#v9a63?E#ZpqfW4J%?_OB+G^rS*7tn zkRy&hl4YR&sg+6Ac<`Y#ZbA;MGebOUJPd?mX8m}k(eSS8EQ2&Y-K-4X-e>O)r= zZ&2G-8gEeDR>`tqeOo2V2K8;eJ=-(r+oI6qgR00=(rUU#x0a^={z}xou66zI477a{ zb9H9}+LCh;#kt2w`@Z8p{%ilikCeu=(& z0aKt1&?ba(Zml?`7^Bw7-Q?U_45mavzOKP5*Sp0vOsUyiN@jaRS9*+FcihHUO5icu z1XGn;D^n?vimCw(lYPUaqM8g(`sNl|ZdI4SoT>%(pRf_|0uJs+Bpiwjy8JL)*_&)aj9 z)V21bpt=vt99KN-mQwJ^D@u;q1d&xifeZO0FsBPUSQeDX#g7e6eNHvSl8D&a3n|*M zNFMRabqCH+$2)5^+wYF z9TdPFA;);!x#>@J=g2LZD>ORcc1mC7DbvyZw@?3^7t)MW%_nv$G+Kh-{BIaQn2TKf z$Qdz2j7R~pp0YX`F{jrR>IzM<`iNdp{Ha&W6`C!82}l~^(EGhHRK|)`z7o?-q@Kbt z>22|&%2#UBAHi^hEY^pv@|7qFDH3n9+_Xr%DqjgOiX>lmpagA8IaR(A*p3|}S)o~2 zgI@faDqnfn08lB(QlM-)IZ#@C+Y!#oT5CB-aSGjgzBxj(=#m&o$CgYSeMv5v`j<=H zqDXFdu_c`hhMG!pvVWkKUWxxw)Zf&GRO;1({nzuYt3~c3*xy_&nu!5k#rewF3%EOo zziFP?3wT*(q<-JKzVZ00QMJ@*q3#9vSW)m;Bg0JNzD8nCj6#eRmFUuDqyjV#XdnfF z@K@Uvf)e|?;FkUQ6vX%HSA?L111^Z6s}e24{pgHmmDt||fwCk=Hdfp$4M7?!O6+ff zN3Ai!uRyQ7+QJZ&*xv+qXcbVf=fYVBLQrCVKSvY}6+gOQ{(;st1U2^elSDQ_u%0^( zOKBwq#b%OdZ7_dfqgjG#O^N+oa=#>k6SjThm4$Tle0P8tW?uy*7Y6Z9lKJ)k39P?} zqz9ez%=ZUw-xfC#Yl3=Iov*ZJzCqCl0p5r9mMcw;Ur%6bwF*p1x#}vN?1N~+;6{7~ zx+}`2YWZ{K!v5vD0doDY6Omb6(i~t?3Bo(L8jIs?A;hK zcAc!mgyk6~yV@C9zKy8an{fHtzU8xC3Ok?-B%T9yJ`%+1RA1m>!Sn!y)CXY;HBAxR zFnECA7WjY7afTojjSD7DPjB=NLFyS7w0Q*#M44#iy$nIBnIeeL4N5M;#wu_En&3xfP}sqrNm-8HKmg0urg5aTmdZ~-2g<{ZTixFou<78+$?0yr&3 zK1qoNU2?l$fWnmV7{cK8ETwqnk|1)%LFMX(U3P*z|)rRQ^23_XTJ5feRCAy`T;D1OdEW~9UKCmkX@%F`8b-#?p5@f zyCb)9b!N~|0sD$a42pr9N#<8ThoK5`Um6u~5d;C2=vB0GnMW9hrUG`s7}0~`S=*pR z1zZHnh#qu0s*f2fQUSYQjOalMOogx*GPmKQN|`NuRBXZnKON&|5ACTca?Y9E zUCwOUEo#lo+}m`X-lqTbEC2CV|4PJ4T50xJsem_-l|cvuFdHT~(YgiDLg_MHFz_;< z&(f&DzA{=27eo&f>?v(J+JMy=4?)Sv7#0N(5P;_)hFU0vl$k!^r@AAPQ)ZKvZ%rvvWxj*HKfu1BWq~-y z9I=5_0)~h7V%_Ea$PrtKD#60sVLPcJwxfrY)WdDGSVwF_V^zfr_@x}2t14!oNDdBG zWy;JY&o)?9^;@@cp{gvjv2OR_D5&8=-^r(}wR^r689nfwU@X0l!j-UZ&;4Gv((je} zD$;8W$fb0H-BDk~RK;vB)QH~iQ_Q>fUA^VYPvKeWsL`BCyA7Ttu*y?Aj`A#_POBdO z7|+rWX>C@_gPgTlF~iN&v{x@>ZN;;M;gnkaK7jM6u^yFTHjE&-n-*}DYdcdb<|TRp zBx)IDYN3RU$0?mAy@>_StZHs^I zN8e+>oYeC%WxRQaM!jxTvkmQjQoyF+(-^b#WlsI+Lc?`xm3g^ZedWaE|IF!jJ>R4E z=W%AI)wxlZuiEP*$Szb!B!)WVe!BFIL+__=clRbJX4XaA(-~3Bof68NADr`pA09OP z@Bg{)f9-0tl;_i*5ioQrP?i6&Bw;<%extqU8xjdZaI6sm22>J&#+MVbfDSgD;FYG}vJ0R`f?`MSo>Yf1Zu!Y&<_ajOUZzzx%7d zG;TZv6-S#$s`xsVtBt2+RUz21H#s^S9;UHip8_z*_OG>|h@)&3oF?BugmTWu+ z;Vs#C4x?Lg@Q%iN5(mP{*-TT(L^QbstnFLfH6Z#$M;l*v2gp&+B6N8!aew@eHz4lW-@(+Ji z0cq*g1)>K`(tPnePv{3fRMrU$jNPVk?<%Q0bZ2 zZvbqnByZ$^Xtcjf95|=@Anv00UtQB%%52L(y5@BBcp%}W#3z-;{DR^lUG1~K;)oeN z2EK}2t-xNLyM23qc%+;@TByOI?S>mE@=`6;pR*=rr0N zwS9GH8~^D>YOAgx^zEpn}@FccebQ&dSzHrdxS?qo=#Lc zAq6iH)wJc?h>9BQ@4o$WUw=xQ{<1T1Ax8uIKm`UHFG95Tbp@$AQe|#Yt7NX0S$VB7Q#GJ8>tp6=4b#^UN&=di@IO4 zV%7A4Dcqz9V|lV?;wkyE>ar1lbh6>4m0 z5To<8>8t9U$ZeAKYB{?R{o8!qY;u*9mVOs>G z8nq=k&BSbkAWd}<++k8ESKNXnt>u@LGL_LBV*Z2n^MjPxrl`k?Ql&CM0B)2KdW)qM zh8u!XMl``ArlU$@5qe<&!J(-RL8&E};2xt)AqaMm!v@%+T2RV}F6hS6OPzT|ZPPbN z8-Rf&#b)OvN6o5QJOk?jwA*K0)s3&mOXz;Ry)ZpSEt|^bYuU`-^Ycw*Vn42uOsPj1 z{&$WxULnzjy(A-gx)W{8pxnCyb5Y|Qf;6Qrh%;kH3qd5DvOp^pf;6Q?P=T>n5u>*?cNT&)r7j3+g@d$}9+#xF zu2-ZfbwSX`>9pMAVQJm3v7(q#mjn$1h;Cq=`!1v)a$IuDejAZQQ>$hd)0&KWT@vn% zM)5}w-e}e^Nirwll7?G`|FT#Z=S-5!w+D01)r$a`wav6hlKK9itiwBwHPP0iD4!&m zZx9w@%QGbx=U^OFw;_UbcO%qwFxG_2I(?}!9dK~#AE;PA%cjw|xJzWV?DwqJ{=UT?BM1z^?1~&wy#v4pE7HSi+@;zT zVHp&D!xlt>%6}ItW>;j`Z6F-SPjc98U;^GHk;(^pSPo9Y+}f!VxP?m|FooKF&q~U+ z+5HnFMv+_#v;vtn+VY`xVM>V=Rx6#84&|$`(kk={CMxG8g&(-Izp(4F zy+BT)g$9Wik&L+V4kjaxz!=nG(RU21dlHtX^*Vs%xl^x0uuF0ND|j8JOsWv5R5&4e zdE9HbYohWwCvkT<2~$%ezS`^v+>Rsg;8TC`o&Re%0$OWvN1&92S8I+t?kCU4mG}c{ z!IEk~;v5~Z4C8GL>k=v}g5W|5274^6sfG}wBjAFE4Z|K7L?HHUSV{;oZYhGL|95}1 zA(A0TN5BO+uu3LFVixBh{UUeJrW#Ozv;}rL0>0uNl?P+bT1l**e|1%f2kXBPcVNZ3 zY7U;QT!}~doogb+g6z&9%NGW<;GlAaw!(ZIN1tT0lQ}kmk79|{{+<-x7n5E5fh%y~ zmleadr^DU}N#cO1o-6TZti&V0XF9^)z5Cb#MmqX!>P@oibL!can3(0vo;72H@5uF{ zKk+zs|9<{G81O239ALAuN~RIVb#c zG8+|h4%$NCu|9MJbATTs%MiD#CA71Gr;tVwZ=PsRSk8d8aov(pTCAUiRy)PMw0n_?6c` z|K>M*bn%Ro6U03u-NI|RIkMM@bB8d5L5zic<$y+Je&33IBArYD!I8N`L3h$KPKcJ* z4_%EDQePqtO~dPgrEzP&LwMDkBkisW2Hgn?5n9oR6-7tdkAZ_lcmsSbq%_(?)9U() z@P`zg2^Nv=>>W{?z9hKP&3!w_RE&y2MHHk-ZVxN9&j)yX?ZL$}@}nbnv=MXzeZ%(V zXcMZhxuEmH+{gy}Hq|8>wfSmQA9(kcZ>AiH{ZruaFmzNp?u4k%xp>^8zSYVN#cz7)7rEH%I8FjmFrqnEs{3gqkKNsGyS7NwCU*M5^3SCY6tUb?U-ku zeTvcr`kX8*#55^3oUepr0cF8G*3a77l3;^y?(8+>Ds6r20 z23Gcd27O=B93miJbsXN$bVE;HwepSsfbmJbqn$_|g(ZeMyWj?=GDt8JSXemuhEjKS zOjebE*0ur`Ox&H>)W|(;LO*Q)6y&V;u%{w^4fm(6cC#Zrpoji>j127l8r~mu8~W6N z@zn>|%A&du)hBdue4G^-?Kt0BY;o~G=>V@mZ^sbKL{)T;8O)*L7Hcap58XDe zInl@(U;C3ool|vH!P>(Ckn^2;c=o^Mp^~juMmno(1p?tcl$lJpv~^h0e;*&5csSPA zXnFsywB}{hEV-+lSF5V=w6}kjLzPc#=a4;n`obVF{eP7?DmcOX&Vc#nA%IVbtv>wl z$uDZ zVI<2N(x^?c<$4{Go=%Y+H$+<w>+#g;aA?~Ubt1lSWVKoH+$h_9)Cs#13-dV#uT~y`d!2{jId0Zb)wy=oKP|ELhTBglgA za2c*2KD;2FW=e4kddxyycFPTb68l7M)GFUPOL{X>X_9HP=(}H7AgT+sPnI{C1pXg} zhlH4__o2g-*B1_>-c*cd5W#E^A)75ZR0wQ_@0(gF@9%Ems+MI$fB+10#fw9XSs8yB z0-vqX*O*PHzcyhQbB`)AAbx+{LueJjYKc#q;3de9f|RV#+<62{F6%E3)TMu&({luX zWgskKqopS4@}=e5AF8anImH`B7VKRK#Qra4s@m%RI>|!K|Hd6y&5mC&U zC}SqWzt!5E5`QUY(}jWbXpbx-BYN4!k*0`2P6?3ThEBhSK7B7%MP{@+wl#nG6HzuX zmL^hkq+s)N+uN3|E@2>ja51Ce%T8QB9fW1>*~8c6qGlm_Vwa(1e;tH&?)f`%>3z6h zyU|Za9E4=H_nf1>OC?r3*u-T5Tgj^^35WLj{IB*<(j;k zQAb28JSxAwcTQ6TH0O`&qBEfrzR0!q)BJ|q=YYfR8Wx!$%BKbznMoQ|EO{Oa1o~Gu z6^;#8So^>_5v?X;c0B=if#XV z+I?SY{c=NcvLHS*7eI8ECsUH=fl&x7r1CE^MNSOFPz9-*P(Yo|u65&H6Tae^D1b?R z#J?zSo!e^H^Se-}RRqNfSke=z^Sg-EdqeKT2;guTLSBv#P|3$o0sS$*0c^E~@fuSy z!|3JJR)SZq)%#u8BV6ZS{&x|J4_c9e)0{W_JHlNkT5?(|HLp=!l`WAntgywt+{_)n`^wPeLHwLBvQ-8VjBL+BNL>m~AoP3WCV z&Vti61qvZ&$bdX1ztHn5n9MnI$3-vJ-q}@R8^orr)4!vc>|5EH3zF5b^)7T&eP8!e z^JgIUYa03%U*Y80oqH-;DG{r@mNHgtjDH@!D8>`&gc}d!kITU?gjoMzgCZgN(|d%x zD|w7P2q9-IfqDEKXDSNeCxX`Yk*v|i?_cb;mAL8xms*B1haxq|NZ~JY3B~n7f22&1 zhODk53|_8Vfgujc`wIop9CMVIv|CPWAUCTdgpCEtiNSC8#B~46y9_xIwe2u}KS*(` zr&UIGg`5cW!m<0?)KYnFsLQj}f49DCuo|cDghP1vbut{tuqrGDa^R978U`U{fBks~ zRf&WFqufff3SCyJsi*vLZ7sr2xQ#kYjHnubck%Fe^BH}Y@?fzB=roCZa;JN}kZ>tk z39R%TYz-VL6MeJlx(pzmR50&BJ_-tEKNfp$YJ12Ycs~r9FBr(J`0>8_FRt;q5zirNIq3iYSv58deuthq z$Z6vk$5akx^hOcBrW5xk60tLU5v18A={e+DE3_x{S{=8;S>a+8q6};@6Np7}4u-_c z+WA<`QiZYr!gL_hm<;f9X#JpRiP)^Pb$|Nblwn@h81lhrje4?EsEis;Xi^fauqQ)N z|1g$*Vq_I2fxn|)d#-ryoHg3$n5sPHx^Xufyc~3zPy2XI z=T!tTwV0{$9jWpcy|Xz;?B8D?_h@C{^O+LQ1@E4i55PfHUjVpRy^5P%rKuhx(ye4M=uhpq1TixChr z0zCIDuqV^tcYL}{)TqGcr`DiM0$sOkq8?Ds(MXYMSll9~CEo}91uSIDYJ}ld(Zd#> zFPXG-@w zVO=ylRImKzmb~lT!^&R#RU6b4#4}6e9w@6}P=hzM9Eccu(Vyyj2yk}J7G3x^?YUcB zWQUzei*ZFV3s9!3+?b?3r8(aew@8YtnFvV4rt2B(^Z}vPr@GMhArbSK1n7+x=!>w; zT39E4A|*YgLF3gGCB41sF`8k*6?y0dlxgaPkXdnl1}-U&MkL@p3RR}^r!e6rCHO`u zBWfXe^Ch5yNr|S)6<(xh+0u8Q0yBp#QZK|9T0Zx!Foy>4FDBcYkw}!|z1^DjORKAS`*5eNy6q6nZcAt4{?-}LrPn7-GS$` z`T+FgXxTow=liJ|0|-pA0nPsH!(_7aneyWcJ{^Et^C;E$2OTa-BYKv}*5IYfa8T*< z{UGOks!obji4A&WKc7!j)IuZM!Nl)iV+gA{k>2NL23*0M1PuoN!D3AN8?d;PPEX<0kz>AiDG0~d#(vmH{S z_}R25YAILxSS&%g&TGr4SSJ1(LR@;)s&EShF0OOAr$@4owS35h3A9M1T*D(X9r$7V z*_n}__nuwdB?6?!8C$cg+cUpnn^^lu1!?5vcl27S&K&2mmyD**nAg8#-{a?>-A%E; zVCluujj8L-lV>Z~RQSSck_sSaoJ7lYdmd!!s_G0>suMkZ&SsdY%#W#6(Z$j>3%3^x zwKWK44)r?o0lTYyf+-K*?`0Sk#!=T0o9X^kT4TF~5C|R(lRocTv6WD;_=C z(+h0a^8Q*qNjwV{>H@P%)Bp8%QSu(0EBL!zkS|c$Dl~_)iZpe>+E&jx$3LC#XafOo zx{LWqb52G?sG>ATFkJfAM3@X`WlC^YUe+uF{su8i$!z#pY3ZyPrkaoCnXpiN8G*US zbySpR(`l$1ezFC3(zj-XS!fGQ5&=cLn_bwnbDwGmBa@lD5M##m@p~wEk^o+`@ed*>jc4w&6hnP?@)(D$dcq$v=FeNh&$<|Lw? zaCp|vwX#qaSyg9VJ}XZpNpfEvQ@oP6(@?ESVav*2Sab@Rc7c zSB7SYm}!kLSCEheC5&8w_v2qg&@-+rBS}te+8_{;7Jv`e0R%HkPF0t}25{5MD6nZI z-mdH-7rq5m(&@XA%J4fHaMM&9#tyHkX+A9Phz`D-@a{2V^>i!-VOPRaT>&=HKwVtN>SZ~E71i+qML4f~b9MEl0MZ-D znY{J*+jS(Bo1?XR%Rc0IWhf4A&ztX4>gP#Us+`mPbfX2`O^@>)h5jnOrjKP&)Xr#R ziAX~>=oJqw8TmOZAhycQ`$EC2uSS6fkAX*E&UY*gOYlC(V`vHNE?=GpkEIV@#Uz>3 zcBa3N8B8cC$ipfVhehRd;_slHtie-8(w~3J`FK+5&bFzE7HocruFYq@rq6Cf7Yc?r z=BpApF-{*uTGYsKqOm<3`IPr&uD9Gjk-GOpopVJRJonUD%Zhlg8fZt;%QQ{3SQ^O{ zcr|$DP$vacALGOaUG^7NW_UMY0N)j- zm$AF3G{)i)5wm(OpDC9NN@Jt;zYppkSs_K&a{Nt{ya>ap{?4P_qxY}x$i$q>dXR%K zS^4)T+I*^p2XX>~DeWDre~LAF{ZGFO786%pEu+u+N++`+vjE>>?~|Il(K~_Klbp{G zWba<57*}2Eka|RDx#3I$q>9KzUt`%}n9tdY|3rL;`LB`XJyBbbd81xDsp^f<5TAYs z2e&>LnW_r}*&M;7Euu=UI{z9Y_w=YH@eQ`n6rA=?w>~6+Ma867nsD#9}K~S_?!nvn1JDlF;$87$|eJ`YSM-_jU<8k(G& zNv6zNIyU6Ufd=wZH7EchS~EY{ zhzp@C(cv(}9?2$HHQ@htlQ#uAMqwe(54;*JM+G|2^6^OzS4L~S3AF02u+9%vw1~Pj zj8S$CBx}`v*&J%Z?LW&zwac_%J6baotOj$OsFJ>T=b#o4x}-v|=)hYoxLbjlc7V21~N3pfzu4njkN9!h9C66xsKxj#)6cyJl!0q=J| zV>QT%N_L)l{Ky3OWD|@u8ylD`)C0H!pi8|GXUvQu)Piz5zLqdRDdcj{K}PD5u3}?4|jpb4B186tB@DE$S9=?e5I6|my%1@&HCgN?T z8f6p8CHJ23&L~yUx@?M|BAdRFfM;Q17pX-Tnh~Fe1ngwHL~aZnMxl1&6`lP&a`k+N zDy|l+jBllAEW?3+Jqt`oj+u+IaHMXY-?r)L6K&S8cDMie&&fB1gav#aUVyOZ5IPSK z_SppDgh1iVhY&za_XJ>;(m3~nLCed=dBp(wn*H5@LUz>7X<-H<;Sv-u$&f?8i$BwM z5e5rpps58&`ck(BSmHiV9=Z>bloQaf(@*XAok_JOl8tEhZeOLacLUCvhB3vlWjHvw zG3wvTI$6Sk6r^fi8qYP9FE1tHm!t}G(~N63B%HFvd}t$HBjJ)hPiuxRZ#A08l;;!? z?P(>^+Lx3fct{Iy9pD)bRGLU5^Cvrbzxh6cYoWW6Hh9%qO`wtQ-{V|{x~;$Xoi787 z#Xo_KWGZm3smMohI;@i6!1E_v42@0*(uB~NEgJt~^&>4=d!FHR^!-9w3P+RrW>uZa zmx}TpomIr}hEmO!3cXWS-LeYqI8r_fFaj6-BybV{nb&KoH$dyaVOyvQcf(!WU>Qs! zF1N8pA;1-+h7NtvW=fc4%%=@^BKVaDmlelqMMSO8O6$Jmn*H$CpH|dbixc2TfU7z} z2mUbzf=KPc8?O3Tb6i2TPiJLim6)lf^w$vPQ6ePLhWeU)A>fDeIK$4%eVFq95!psC zZp^wA{Qc^MS;JNha#lduUc|8}4q(|5r^>SlWz!@mMY&fTWw4GG|GVtKeBg1W!s|E| zFZDPQ>Qn8~VNH;e;pDYasKF-u>#9IiP&8x6QLX5aaK_3yUxsl`HtzJ{=&p%Um}8rf z$AM||{>fUv;d#FnbS%QKxgJf;SCsbCm-`tq`(478Tq^dX!R ze2Ls6f4)(>RR1BfcaZa72Vq)yIs)_R@d>BA|C33j(O`$@m^c*Tr7y4EXBIWoSo6-R zWvDa)tNB3+%4FEeDQKwxf85eXdWHg*(_E8Z@u3YuTjgIR)vy;3O>LRxol5@Oy12=3 zlmg*~i63*4JjkgOEeYihPv0?R0D5?@&Pui7l%6U_MZ@SuwM2r8gRWz39~Zgj9N`Lt zOMwCHaH?nVn?o(C?w#!o_pZRbh_a1BUxmbN&}&p|MecRxLR_@*MT%AV*xUD}D7p~V zJEJb>u1?8$sd}Ktu%kO8#_CfN-P{qRRCp;4n^K!bMQ1kNBlt;Io~14>+Sb$wv?Rh9 zh>Bz2PhNWwSvua+uuZFKV01X!%EB+(Am-!E6A)ZFuK>3k>B1E;bfWzP@{j@qikktL zNVbG?30*%cO`@aqwo-PXU zj(a?V-@!_qKC|+5)PK)Tcorv0yClBWx=gE_I7`WRdE;KMw2m9|;rX-eimv}8*G2_s z6eg&t^vF+CQtCF~?toROc?rWtzyuG+D-z^3H2w6{bk#qptLP}eQ~`80fFpa!#e0Q{ zuA+yvInt)!F7pR-m5FQw4#op_>97cc<^xK(kua;+-XiI{jZR0g**{1<9R{x2~ zz#67tJa6SVqrSN_3Z}XkNl&U8vj30YhEg;t2!3@nybJ`6TPur+xY8w1=?aW$4y7Vo zLVYkiXtY)f+nxAAVb~LdhC(}Wjod6H?8lTZ0#xI6CHLA>=Nm_IX@ouI1UgVxoYDAW zaTT}9+dK{;3=0UD4z`$b&IPgz5@q`qw2XYSiL)<%7L__Ld;f^*X!y2_FyaEroGqbC;O=tZrwUhUuF)aYi;y{M%=hQYFd9;)Gx4$f0l(yAi2j6 zZ8@U1Y7Q{*a3jk+K^wLJQ0BU#`#rs^=bD%USU2jB)~_6?fnJUhov)AC?_}M-vHg`% zeK9_R4W<^2f%Ty36Aubp`!|yz6kHfk^5&^^2R<~9D2;lodcoXgP<6=%a>UOZB9dGF z&HeV<3(E))70blz0lRfV<(>AB4S~RSXjwoTha{pZECK8NYx-s_Pfo9Nvt{wc>B`tH z1AU~G?cqi9(3clKSH9SXnJW$F(Z_dejHnYZ6;z**UhF@&G*U*l@hKuu@&_X9f@cE~ zB@)2r`dH00WY<91+WHD4%}G}2R-rUOG!>mLb7R`zX&H!ekX4{lpEn!*?y(D5JI&hzH())r;FdBPk^Z2{QzH7AdG;OZQ^Zp>;Rjheg~{fKd#B@vyuGQf~R* z<)2T)URszh$-pyb;!`J{n=uqqqCzuK#>Z--<)|6f`o3C^pa$j~<6#8-Khb0j+lo`; zR1s3PRH{UUY3(xs2c4OE5@JMAsc?e7lcqAE@$^ykxfRkks*7d#U?Y5O1FUuv&TtB8 zNYbVQ5~Lm+mn0FK4tK;_*KUn86Uappx43qJ&%!?ki-|7{6ZB3t)(X+)g}*0 z+fCkNC8lyrzY72{71LH>1xX(cJuDa`snc{@xqMED@cjJ)Er%uCxw|$2o$z(ql#LDN zC3B2Hh03K$eY>Jhm~pW*s;^b`eX*|W9k(~AwvNvuqP*|kUO_)=xtC&Km*f;4(4Gtc zzH1k%=Ocvg0kDvG4Yg4fI`3GYBK7&saCE?2dxCWd^q-bL_hGNYEE_xRD`~0%id?Gf zKkg$X%=3pxOcE4G6LdkJ)_LiZ^G=DXgATO;?gGFfhn0u0T-G zON6btiB-f~V65`r7)yA~A6DswOb)O?1am2A>n>5hNR~gw+j`eUdmb_$a&u)sTQmNu zo$%;j9>4KwshbAcNAPg?++QDPu&tLazv4r>YOY_+Vn72}-KP=YE0i}_y+H8Zz4klG zSB0#7_zN@8DD+*n>^&S&DL<(dW3WO{P3z`DjceNgo02amq;&4~sf}bEwxoW>hJhhD z%5@uHYOTr4WO>ahm2a10_bI>rxuuOc}Xwmbn{ z9P)uESygctnO%Nd>DuvZ5`SM2K_O|4#F;;A3Dd)pUE3${_#TlW{^`hdj+$jlOUgi? zs`3W@m^7Q4!@rT6uf9=LaW!>HknQa5J%YD`EHhU*D?Mkpg!gR|1(-IN?L6Z0y$(_| zsYM@0jPN9l-xz`;TjM5O@uq-%#O0=Mqd3t8;s^M@kqam3(c9aP;ui?|(;z9NA15k3 zj1T2S!kW3^WgyKr2EWFvnK+9ZU&!jzQ+62&$S;HuhqY0e!bX9&c-VQ!~sp*i@aWhd;R ztHCCx!ZrchI)U2E+!Itp|p2#h8N=NoeWCIOnCTRNz6<_yT zeSWcqT!YbJI>6zRyLg>OqSdcz00v$uI?wGpr!;Cm^WVBP$F+xxOXiw4pA%*eG&RrR zrdJHdHP#^8{OpF5=}8lnB5@J55PsJ%xJ8OGS@{=El*q{~#EXXC_xqmn2AX%Dfnc0% z%7O(T-hkkzz5@eX+r#-`tW*7w6{1rRpx9lxCHCP?olXfOyNbC`pZ{}^D5+V$%*v>r zAa>vZl7@iasngn$-KkDz*=%7s`Y_{BpPX%~R#2RiGI@2rh#C2`S0}z`qhOhM;&Abt zq~tqU`t_$$4zcc0r;kv!xm?q%&k3S*+rdyP;RVfMp$Ug}%p6&fM1*lHgd0Fb=zuK% z&IH%E)3*5xXu70r;|VwmNU@8|A&|ivjaWjag*pQNOzx9e2QG#ybbhL}ive^Rt1nN8 z5shB^C^i(?a7O30L3$gD0AgyTTBt!60OMKEp4v)jIUbJq<=9R<28DJ7S7@!QE|I{H zDzzbR{eW&m&s*@#VXzuWoKbi0Nq*iv4WL}Ro&oi#^^t&_hKdeJFz)7DLs*_?P!Sc& zB`p8zFOGKr53$1N0$|p>!85juc1!cJma|UhroM|)=~sQ(a`WHtUgL7rI(>iIKPm76 z@h*{QAq1IgTUvbm=Q0vsR>NF;a3nk>mK&;_1G~CN@9E^y)2hO?p^_%Arb>u-L#LuY@U zSEE<|Wjh&Hwf<<4`OLuO(3~!c|Lp+faKPZ^ME;anC4$LEQ2?7|8C(n}4>WB>H_P zQaw4R#>MG5kLUxlcV{O{{@jxp`V`;O#IENLNqB!x1>(LmIo$_L%a% zKd@5>j9y$%qD}GqE*r6$aXFEDF#h5wjLN)RP^^=MfwH(S53}|gtP2(VLiu>D> z0URY8h5^m|@%&W!@TvEP4$|Nwqzk$PU;>B}?P)Mh;6}p2%7N;_l|O(*n$mTAS@H#H z$S!vP1 z2&Rdcy>pz~`H%0L?#H%FDVWafd_10g5mQ+$&0m@Z`gZ;nU9rmqN<%(UmG5eg<{oDFc- z$*g1b$tEamu98;ei2IS{2nOl`hhVyzbZwI>Ep*2pI~2xsD5U~p3BFk>3diL@V5T{1 zp@M)^?XB%BQAK~j&_nl{&RR(@3(y=v$8GmJrPwRPySECy`;zhRq7hUxIz?b*v-74SD*Fbg2LjOcH}bbw;6Z{!Y^Voh#inz=EPejV z=HGvy25+5#k$m=~uwFAA8k+bz148nDfTMTW*-tE?UI=oH!Qo*+)?x%iyr(N?0`UF7 zr)q{fRIqNF zg>Co^SgGD;oa-PGvn;5Rd3n|-a=TY&QODX@_G|UI*@43;DHEl%vP3h%9C*kh?E)C+ z54XN1dDtwdH^x_U!lm*-gCo=p!&C4uAZNU5G$vPm2bPX%9EOlUtbZ5q+5IZZ7+o@> zGuE$X&gBp1I1F34U_em9siu6aPyoG5jd}>pgh~xWI?!95F+`a_Ncy7y0A!13p}3o1 zSdj64=oEGP?hDh^Go7HK(1cRG8JBsr#_gl#ugg7*}sepe+tVZyEsO zx`Gg276~@F_>|BAouLEDEmN=(91x7x=fq>p6(-*NVmFjIYcb+X(*J7YyD_QzK6E`6 zXT4U$P+yd@8!k(hOm28XDChA+!9#2(6^P&<3=e9%$~Z^RvsJlb=u0R_Jo0`QQP5D` z#2FSVpG^#g#Nc zajyTH$-sQ5O|gpoXJLt|mmHP!`3z`P(}laMb@5UwKi9^x!mMSa)r-Uxh-(b0WEHc* zq#YrciPCgeOSEOg@>=9X?(>X~3)%MX@n{i6=y=lhAJ#JBg~bvS0bZf^`CYf*MnyoRmlU7}NgU_lT+%i+vxx&KT7?K?59%emdT ze|GA08_E7*-;++1gY!=XvNNhy_LOeZ{#!<>Ko&GMw=sTy_xz(d=^srwFPYBa2N?K) zjNGdY`-cFkFQyou3CCrqv+A|CU1W9qP zdH4BAS`tVW9BRL(_v5NB3z@19RE$?x2s$y5ZKkqYH9@jE6T7Q>w@t_6_lu+WU+H`*och2_8$V&NWyi1T3)*cJ`gnjvqy9UP#)n9c} zojAg|%Y*{Fiip}8Hv^3+yLs4s`!6x}7XQPZ`K|-7XGlNy+OE9Uxt@-De9TH4E8pt( zD0kR%jXb2mTAz`BA@vf>EH$0FyNtk6eijdZIbI_!1|@-G7Dd8OwB(G&VW9I5Q^z#p z!XXf{2y-}LHUjC1P>ItV1*GtU6&#IGh&M&o6Vl|vjtGPG9ec2_a5IZAk`m&ycCV+Y zT+@*f>Vb%Aid0+r@*)E}Mr25sC3;cVH!Y9LO%I*xg5swBb8!yi_A?W8;|bUvs!@hW z@P$W2*w7bCOT)ecA3&u4N7hN#%`ko&cU_kWvjQasnk|8@K=xXPlZs%2T9U)gJIbl- zvpR+WL4h(lt59Ik+S{jCgs#0e+LkfwayZ!)?p?d&VO6V>2C&=O%rvCGC8X*iluy8A z>zik_a9fM(2cIN3(*u=($XZ&T5@@2tFY>2iYJLz|SiuogQNp7!u@$>V~OY4s< z-|K8@Qv>0+mN{k(oJ(Syjv2y{pLIvEx3Od;l#B3hfw*&1PJhf_lEudXeNdp~lW6m+ zdFjRK@sg9^uGo5_&vR*D6gleugMF2OuhYvQ-Ssc&0h(z2EQ;n02R_Dwj6!jM&iOLo zW+CpvuiYn)g8#K)E8#rR++yC<)bRDDrVp01T()bYs7O;#$?B@Yxzlm7-i0H#-Q&=(ek!*F+}>JCFV8Na^kMVG5CY&>$*4!HuXO+5 zZh|g0L45j@0;T{*dmoCOb0m7cxR#hI&qd^)V>)aAgr6qaDVVUdT)zbO$wR)O3r;x}M^hI*Boy&Rdv`7nY@ z9}R+ms;bkAP4uM=?>))|-P0ub1ua{H;{lbokQ4>oI_QCKKfXtg5VoJ2%&-t1Q~zHO zA--)YaV_4pST#zRkTG-l-k9r}PVa)97o{;-h>!6~nfpaumM)g-skJ_Q^^PUT_4`)$ zXWaLM4s7Qy6{0m78UtVF64W2gw3o+U!7WG|s&(OGO@<#LgGF4KZAlhtOyNZwch z%B3Q9Vwu7s1Ww0ZErM|yfbYbU8VQw!ikP8hf5cgmjnu${m8bi!>M#%v zQ8t;{IT4kM(2#RdY~0E+%}b+j$Eu35P?8Bt%J!C>OJWI?3roRyAu3C>WjFT3qAn1Z z3=^E99Sis<2}YHSuvCNW$iDKz=Xqj4p%D;XtL_r;#;%rhwEe%cOSBOi2N}B*iypFS zKtAil%siGIVkDC`Du&krM|snOhWY?+xk(>i_}}kmxTlnxvuJw6 z6-HqowA_*)&1NS9uk%fRmm#!AoMergVW?>@NeHxLJY0z=ex;gmhQ4$?ILWlKau`aU z!NFBt3Z3$`;(x_`$ya^iiLlq|#$tMJwDpDqX<4$N<&*r*`xf)yU2V6+Id5YF(v!{<#R9Vs*LE9^_q>~~-NF7dL*5AXop2WVmr9SV015#fFWP7l^9Fd$;BB|k`apAGnhnu(7U zyS@?yHwY#2PG1Y=f5+{sUv`@#|N=_Gc`8afrY z5Pra4&Un9}KaKiA{OF0VSZ(Uv-ak(Pkj;ZG|xwEXg%&Mw6n!j4vCx>+7d^lMy{U=x#Lp9HX)H}u=4fyr2!|&PyyPwa>~wf*8Ts*Y$Eqavsg{yR!^DTVlj8YB zBty@OmP_)U=6bTCSkJ3`YfRz;3g~^e3)RsRlce*M-x8q{u8kb+dN_Qi=ej3!i3nn& zoH%{7LyH?zRVx=)+f?gLQ%h^F>v0ugnSn83**Ts$t>0Oz2$$|~cmL^nf2Q`-=edLk z1vKXPxNy#|ik`ZGm!cGRkHmX72ZN=+gORb6VWIZy<2C&f@*Fa<`h_~g$I{yY1C4N| zzL-?ZAfR&7nZz(X&o@EN6C@bgtw^nspg6)jE||@OVRF#=p7dEqcxgM9uqzLeD8Ad0WymT5EAj11UFDdoH(RkZ|>EJA_apa))RG zBIMie$A=}698U29;MMkgxDkczx~M`3&*Wr)??9~LRhx7ND_Ucw8i*bCoNpLmBn|)Y zYe>V9N4Jfw!7b<^&CmfpA~NkTfO@1`IH>p`OsE$R)@w%QoRwtcxq~J5GQqopxmCEd z_38Yr9hanyQ9SSP4y%+usKP268zxx2%(e7ks5hr0{m`5&O{6oag?@R8gjVon zcxM>BdLY~%`!;wm>@vCM93k5s1jusdd(zm$06yKcSoF&@SLYJrmTNt5Sr`v44r-pg zWW9XCG1EzlV}g9hrU!W=F0WrrJT@*ARQ@qbG9rfM+KK!7V=fzi#_Fz+8%&!m*0;w3 zlU{1Q30ta6%(fu1las|b78)X8Q?#pWy3ZO0S0uzNI(*D1s%arL*yateR%2-@(csHo zTt?m}UA}y-!DK!Qk6Rv)nq!%-bv?s2j|(Qnd7ffyDt8h6FM^D@VtSK*Z#sPueY`z_ zg8F;>?0`?M%KfQLFq*GC&XnWsk(n8&-dr>AQQ;Riix@V6EI!Rtw}4%E5146-9&W*G zas9mNr!3+>52yZ@l(Vy{B^E9hkvBSF=wRGWKo9PH1~NPs-ERF-JnTr<^K?Zu+k={2 zaZEU}Y~n?KTmuKd5QpBtxTbHKC^0b^*7ChfT+jYgD96ON)&<8A%8UGfK3%g1C1q#2 zwF7e;b63OMQS`(RAfgz~R)of(P96qy&eWY)L7AyeK@AH*_6j5LfDjCeLkB4Ohwb{S zmHk!lQs837@{Q%!5`6a4D+Mo(aAp)J93Tj4YBaxq#-Z?7BaRKl_0NyHn%kSl(VS%P zxr5KEA#5*}%cwmnyDY-{VUJSWM#-LCd<+}-jFam)Aqq{v=#ykkCz1gtk)oB!<#=9* zSrn=%doUVt;1N4<8AxDURdQ!k)ES4Y;=u%WEcr)A(<*L5^~b&|5Az^rRtC(mtePVlC842}6U z=M^66pD#I`->5JHkKb*RVwJ?eu;xMUB9*y!U;*o1H9=muDe{1shPH4?Q6BYRGbxNd z%?82Qj9z9i3Q8SF-N*ctwxsqihbr^dD3s?|-#7kEUbBbdZ*RgX9M=uBzz;THhRyPr zvp@C@&jW-72vp4|zeRkrtzdr$mn%~-qQpSeKlv4IBp7;XEK#z}SME%4|K`A0okStk z%HT+VmaAnnqgiN$8o8XNOsm00au_>_mP2lb7rZcub)*>J@_7{DskZnvB$Mgx1lyFtq+`NMVqJq z_3~H1)2{a28UeW6BBG8wlMsP8%U-~lx-vbHG``1&`G-*4?`|lM@E~LOXHLPm-`n5{ zICb)_mFyE;gft$=OE!uO$*egfszoBM0`g>e&+;9V0P@T!`R{EK1yGjA9U5y!4Qh(t z-QtwqAP6yvBAz6}1)anfuQM018XomtcCs5?U`Jpk8g`5#+fQNT&+KwVa_LVBJSTO*ri9g#K(1QTGe+xMn z*Kt{U5sea)3VD-fV+db++rP==F2j5p+a!E#>tjtL` zL25cOR;%1R5`{GUnzj#&QXx+@5R`)`PJ}#6kZ0xh5Uzp3FTj6)gp#6Vy=8YLe@*8l zZ|<8HR-v&Dby1q1xiDHu-0@`bPFl8>e*C=p!`i~1p{Pd+h6GGR{cd{F?N|EOcnSLV zrTXYjf{JbNFQB2fi`L6PmGA}-QVP2u@e~F~)q^a;GlXO4_VLQa*~|uy6e5^CA>UWq zuUgxfryw1s+wD54Fw5b6h9)YaKu5$(Su`#y5jkiwSp1ogqs7;&_A;ltML7~szqHS( zr;V%8tZbdkCB6=>SK;u*wFvhAa1#*asmBi-j>Giisdi zsKZWAd~F2r-Z0GVvv$>%AeU!cSxwyFpKo=PppR~yV)|X#=0Wl-jyih^ld#gAB7h5% z2awKH-w!2Sz@{PWY|c$9BlFwuS-)d28>`{_Mm>&=#fAfpI-F~BWbRP^LLO&5+x){SE=n-^CAE;?oH~$d$pRH$V@l?OO{C!jHfbY{JL9^ zdAbS~gro%OfoAN0r5B58VX9*D=T zI!`ef)>7dcn4+BUjywmC)>Bd0twv;StlI*bu|!~x(Ni-rQAE)SP=}7l0~r7 zETk@pR;~7u565~a`AM;^`xl8A%mT)Ro?v@pox6xO=#&E5#zHIB9T0`rQ{&l*jK|+I zz4v?xSu2KMTe069>MUIOx@U!wQc7~?>SXl3Vn#3bn&bOy+WWAoq(y++o>S^b>33)| z_zO27({k$105-06D+Jt%s1EUyi?SRNvA#PIxqUD+=>zjcxrazNm9!nCj!M^?II_VS zHj2ml+%L5>d^ZrF$6&=Bq4gGkqj3Py`-+_vFmw z0Sup5dP+1}e*@_R1+0aZegcMCS7Hhwsz`C1s)R{N5Thz4Sm_kHT5l(*9Oyhx-w1$x zd$37rYs_;0xYXkO;KX%%*~XM%s~t{30SryR_~7PDSxI_ktZz^t59aQ63pW+!1LU2 zwL$doyB0_UoSOEZyyRV)BYtGfes=w1B0^KQMfiAtLa@tCO4Y90= z70+C`-)RmEA4XXC2!5u1qKIE#5;rDHQ@?&5w18Yust%-tL_q{oVe-Ey` z;W{N^qVy{b*z{l&=nCkekva9OPFqB~Z$0&d$MD1T{YBiNEY|no<@S z6n?r;%}nAr`u_tMLFc}gM7t5YvXOPgkMI2=W?x)#cU%&3&EHNglx9t)UtDs(14vho z&OtYgB=avWX@tEv`ciSf)p;@jnC(&v)k0wgD$+#}UmGRmxHI&U<=IeTN`WB_U4HwpNy@S{pT82C@w4*cjE+$I@6%5LKdKMDb03ex`E zj{RG}EXK%PHlGyd3&>y0wF4gYJm9uwJ!_~_^zsx)C^n&QFHbkyGkvLNG$EfN>E>z6 zw^p6$=70QqKIxZ9|EUUZi zeyI^0Np#y4v$jKX1Cz)+^hSU&dBw^ifl}0}W|&c;#Km!vl|{ltR~x5|fU{>kvPC*9 zH-zQDaH6?J%YbRke0MOSV+l#3$({VeB}bFUR5U5Jtom>ITDGS(8?~kC4zZNB7ZFsbBoVzw(#A`wc~vZ8zs(n07`{ZFQm7&;qh5B z9;xr4Rya-au&6MZ-0hQ=sgD+AfF;5aGxKr3wZdsf2V%6- zCj-r*E$Nfe^qC}#EorO;&C(x!Efr{A6EBRCL?E(JkcuCD`a>jbeZ1y!r?J&OM3egY zZ0GV2VW-q%;Y<5Ngrih!(^DRhDKfo>=v+TvONA)ZhAAFs$5!;X3lSew{xk$?f4=dj zn|?q9>3{+K#9NN{Mip!ac7ROfJ?X+KSv+0h^ORgl}&t$W<$Wo z!4$`SJj8C`l<`frCZc^Az4l9f+uy{6FH32gp>I}!RO!jPuI9b|f_(}jbcdnxJyS*} za^iaKO#dQAt0W^=cf^BRy5CYcELU#x&|Tp!Gi7wTE6fV~;Jg0RU;Ewwp{c9g%L-sF zhJa~J`&CKP9Cw!V0XjgzRdqTm5P9m&p(mKL0+DCfZ9zd*ub8s}00v`z1%qScZQF!I z&I$nTimU-O>tSs)8Xg<#lyBQ&eV5})7(@eH*Bm-$1xV_wf^e&PnPN7J`jUXuF&4m* zM-J1he@&GYh?GR9l2xXm$_kX61h~2COv43EQomtF9b@C$2>)peGbS!d>&vKrRJ^0g zH`3!EjcOmxX9(Jr!y_8{MCp_?9VjQy?39-|Y2wKzBQNf{+g^;}PN_dwbzI$TwB;k6 zvWC}Ry6`JgeWrEB-kz`vbBF4q)}cD>7PV>V8AEnG7_uLD&&Ry){bQ+p)aQ^DU_^2_ z7);6T8nQ-}5np;$`T)>$W2DmiP1G|fF0o>_$6SZnn$<=e`En6F`uu}9bT;CpLWv_A zi%wwogzm~b{mT?n<>=04aPga3|)R%YEfs>YM@GiS*9Hoxs&a&Onlb%o)_1 z*q6`kgYqsWI4?AJ?)r~7FRf){X!iXdeEUC0{XvT7^6G-2yHKz_GVO|2cZ_Bj-T%m? zGLMXstte|-!yrVyV(AYWr5Og_4RkOL4bV-Cx}{e4714^Rfz&w7FhVWrHsEQ@^29k( ztNV(WS;&S+3a&b}JRwM}?t*AIqS1rkhUsaVs1Ovb?vhAa+lT@5f%YV+)m;*V&CE)3 z&5f}prZqD%c#pY2Fp!X36Zg{`om$=3L`I&vT5{q>ikH@;RxgqrFE-YEh=jzdKf7MD zWvhdSbg1ro6U{-Y=!b#Co>KC^sO`@EL61UzkUiET>T&K5nvPPPS&2_PO#PfNt?r{f zY~UY1`5iy_krCTz{nNbwtA-L}XEXga_$Y?P3#IM&Ag3wXf!D7Qq?z#*gQf@#KyBGs zl0I6a1?8TP>O*gDTT9LugBTxF+=V#Dj4=qBqV>+$4ni^y(Tr>f1GxOzyw=Q{c zbfwmF1e2wPuujxArubq@ZDu=D(+=ZXr>q<&V-b~`<@PVk^qio*#sn=9ZNSW49wgZV zdqT73^4*ub{BlT54U(oUKNuvv`5%4N`=frP?u4sH_nDF1I34?BH#`IZ#nNh%tZ9`IfhAB+_H33Zyk^pvN%8!z3 zLAB-!B&jd|Jv&g(>zYd?uBasQ-9dHn%ApSxjq1gbN|!1+Bly;h+&f_ZVhl7@%1W0< zR5V2eUYCp>bU5f4$WP6H)<*%*YL9;C$+@8Qs1>xP-J;ea%>}LNDQI<{`0RK7p3&|} z^kN|O$$}QZsbY+xzEPD-Fz6G>H8!%+lf&Zv`FHE z9O-yLOS6;@ z-U#s3m-I`@==p%&)blb>D@!lG0BZAq418H_VqOMNX|J7H*$dzDRnLA^gn=4QdKhTY zWy+GRR;In|D?>oI;J(bx9%$Ja&XR!#`&^c6zk`|+)fi z-QlQJC^TLhupxd-&XQpUm^EgA$4|?2A(*pd+YN_jFr$Syto<=nmh6b>Ar^vedIP?z zEExuO6Kshv5U7PZpEjyAMFrgpWPxrQs$c+JK#fyW4>!s`glf_?hIm6&;Z4(*v0i-g z1Nz_iX6O^8l6D-3)MoO~>n9IY=)m@t;S~KfAWjjTDh@1|khD^2JL^*Nq~)zSihI__xC-4-cZ+c3Y+t@%n*oR-qg=?!=6;ZGnZB{M?AS z01`A)5`r|!E(mx(Sy>^7w66v!A;>7f1&;^PpfET)FkE1S6oNF$MR41Z371hEng$v{ z8f6zO?UERlWxc+!B8_qpgjH68ThLduE7B;t;0`?qB8XEopCwilqwJDc5M2s#lAGSZ zQ8CIci7*QG9fp37LE`NNlH9CImKgG|B-3raLZjxpSYLin>l%nav59JoPo`R9zaC9X zWyWnIm!{l|>tVMSOibiK^M6CeJN}K5slUK2f&ZP$S5LC36g@e3((rDxiLu8*!%R&? zHD~(^u3Wyd=Xt~q%qWxTcHr7kCev=wY^tZ_{47;Lzx^wRReno2c88tFvN}!M3N;(4Y1n9*L3{shSuU|Tsi*VTb3}qbNu`ojh`ok=d=?33XRzO z)}Ro0|MZ96vsjPDEvSV^PxSt{>^bK2X^IcM-Y~#HI6|hc%7@nKlM5m}$GJfe1XNCu zboLb@p&Yk>?3%E01~??y$zJ)>Pvy8}j~t?uL4a$KSrR$Gsp6Ic!2TLLV4Q8UVvbwD z?ZOoi2`!gKK^Etj;}-CbvG4_Dh-25W)u`eM$3L(dDPXXcWL0k#J)Cjb^H=;F-g|t~ zwJxP{oU(EpgVHJG=c>y8LS^Au#A8$V3{{0C*IAi)fG)Fei2Ws~O`8I`Oufi7r2cf?Mj*1n8{^GGxRz_W9X=6!f>x2FpRG5dRpv-yx|=#j z5+@#}S0`Tm#ZP$0$NyMF68gS4p@_XbcnxJ(72n6bHKX~9s(5u5G#ZRG?9E2VEwTm6 zNk2}pm!u!4qRsh^6|?b-G$P25)twK)Y&-#mP~vNJ1|Mq+_ViPg@htg9Wc}I#YX0E@oA=4vRoH z{O+)&8o?HHIJ(y~hKe5~nVcetE2EMi>ef17lC16z#+*ra9g?$Fu5`&!$Kh1OQ#lVJ zgfUoC{Rfr1bUKFa^*}rd_aFv}h^>L2Dc~em5FSZGY28r3!6AYF;U2|p`X_sHA*6_y zUTz>R(zonRt~PJjy_m4P#65FJq`YSdd+>xXWsNvxsZDXVobF=*HF;jojL z0@?JWI}i2?!X>B$0TF9|b*0v|Rf;hYvsrcAR;hKM1vTe{?g9|xHIm7%Wj;(>n-IZ) z=%SINM{M`}v7L@egj&Zp^WB?&QUb@YkK`VIgdNj=}e z#P({__4J*hu?yv^s@OX*x*Z?yO7X_NDd>D~x&kU!t^4XBgOwo8UtF}#>8QWf$5Q!G2N{U&66b4gT6pZ9B(&X9T~3NJVNP7hh&FY!H@*McrW@Fcv?A6 zoSoQv(70HCOdMUpIG4m!Www9tvFwiRV=0WIE?LHmq7*8;@A-ukGJluE^Ghx`tcfYn zt)nFcsE{O{1G}&5MSe{6*u(pmvitlq|UpWqkw)bBU2Wq;v%NSF3ac7+|Y(#70I$ME(LMAMZxW zUqGPUA?H6xu!?82*-;5Bpl2$cO4B70+$(qk! z{GafautPq+AWq|SI)F;?SeBpDtruOzAKXc0BbMvBMVIqGAad=%PkZZYfGHlKKWn@s(!+t@0?@I|@l!rYYSxU9UD z+v1y*D|wEol{qdCJ)kKjeg17AY+mXEM}A;=m`@HMPIra1qL;tzXa4YyMy*K49eZ44!;jHA0&+Q- z&5l8(bo}$|aj_9LCfuk35bkUaMgwPSz{wD0Xo97!7}I40CZ1OmtKloIcZ`d$;(7^R zqdv5#M-#+Qbhse&?RIMLx(LNS`?_8S(Nsc;Utp2FelO|f&C<6U=k8}nqS}IVSERSW{`jd)H!@jAk zxBS?a;pAlN-jzp`wZ-^iB<6WKoY7W!I1*yy3Kw7U@GvCyOPlh-x}_$C)s^^k?RgIC zJprhHFNuM z8DNSYXhY|?XBpLywe?D$XbcmL_z1B;?JL&^u?xw}93FL<&SbC?i=6%u6?LFv@4)&}Z;pw9eY*BGF~?5DRK zkPuwmo#pJ2hG+P`y8;B&-uw}pLKAu=F2-rgx1lFDje!1#_;HYk05KS~*mF{{S#JmA6xLuR0ky>=QH_BG~3h@=y zZ4un8wKoXbwpZ&-rE_RHfcv@P0TXoFQZLnQSrOH3={7n5o!G)tsBT@bG&*5ua33hg z!cCF%@non!^}se2^l#rmH_BNqHx1-*R5cbQSU#wt@*?p%02k1V`dto!>|c6pLHh4Q z%2B>yVL0@b{nIpccO{kRs?h$z1eHi=ZX~+KMk1)h^o~O%;_3<>3iT1HL=bUYg`U>l ziJMZ1)0Q7niSK#llYe9zDp7T-t3=bZq>|?QiFD4`WTs4Hr-c$*!^%Ux;`L8vNOkyifK(QIIkZlxXnAUCbURfNdn(qhEQHp^23bNR?QAHhPkvj!EW* z|8JJ8Jkay=ma+%BJw0z}RC%hBd-$0oJr9gPa^0v36)vTHcsVIsm+`-$hKD{;>U>wz zoJo4kW|Atbh@^B%?4Gg2{(g?A=OO+1%6I+Lzx>UiKUERC{w$u*Va+g_XyO&TtkW(f zTD=(^vzuhqG$m?z-B;Xs&n6Uek4q)HlxMx!>{6cfX0uBf_~gT?=cK+GTuR_jsXzTv z?$;|$wu6gIPMqfERIp>Pv$`{l-uzZFd;FN{#PLrk*<-+7d&4tc7HDwHo>JGhz2lR$ zx9Z3ft*H9tnc97$G)11ENLR1+4<6#y3NsC^b8~8KA`YJwwoE zy04|ufEGu1xVTx+&A(X-qgOLCGr;M33ZtL#F@Nhj|JGPowRMJ@q2Bv!s>z%$DSh-m z+N1%yy_S~pSRe&K{g(P{s>7y(X}S#>vZ+4c$kG=Di`DDBtW33zfTC$zNg**BsGfc* zn`(Tm)@}JTH{w&-JF`CUkLnNV=9#EF<1xwkJ+8xP8#-lGW{;xXvXs|@nsMfm)l;Vps zK~mrD+rPXztEls6+3O!I8?8>cF%lJoJ%cj+78Qhgb3)L=I;kfsMuMIzmsCwJj4VHt z|N6xbe(jHcV<1tL7Vz|3gXO_AQP2re6*!w9vR@paM&6?}k}^z`g&;LS5d?Y@I)hA) z9;JmK6}1b-^v~6{$9YIa?Se7=6AD{3daOuA?SjU5h;HQN(yF;3NJZ^}G5r&nCDp$n z$e5}Kmg%3EMb{o$+e?(mJx%{aPjtJaC~99brhlRYtm-!ouI(kZW=#LY5cGP@QKhPJ zPoKe2ViWx`>ae;!j8bWW8IN}SZ}W9p{$apSVdhHlbuJ!UWAWh1^vU*UkLa(SdOX=f zC(urUI@O;qu~KfxlL$%L@#@0D98WnsvMRAmyG5;und7PJiKo8pTi@^lpE+ixfjMG* zW7zuEX4>hK2dr;prafH144|G-&1QPL1|=~gkRhX*&GcELn$0u-oCIBgm>83fT2~^Q zX`~d%w|aY~;I)b33_s?0Qq+vuGTC<30L%8eN{sS%D$h*LBO83TMK zb2*fv95SwMc>V8HWnw?BbROEdUaT_N1E4k_-%Ml++UgqY41gzsO*GgI;Qt`imv@i{ zr`jQ>2EmpEHqo>{(2o_n0tDcsrTw`b&5mRT&2$pr=DLU-l!TuMWeYn4_-WP^9fgp~ zq~ptW9ACV+v?*_B^j>bR#veLOPtT_GA@YY#yG64poqmfhnMCl@zxOLX@;AbiDzwWH z9}e`wSUHxoI4u}EMTF6mx?pOlJoe_5(v-SjbR>W^i_v68 zqfsLk!Gdoi%YP$CQ|f{k=YCMs8h=(JNS)|{$oX-mI)HE(a=2Py+W%pu`w z=~G(+mq$#gFS!GNLXwz+LtFX4vNs_9&NbXR_^&EkEX-SfffJ^SyB$|~Z0VqbByCaT(OM(!d zB@7NkT3d=ft(or+Mmvz4oagBSo|0s~L14V%(Q!G;km4j+-Ju;ota_gAcP7bvi%Mr0 zCQBM|y-QxnVNtgt5LLR}lvLHNc$K*>`b!Q09!qHquLSsC)c2aK02!6eNA)t>H@pX? z0WR_$gk&l9r}t2$L4-m)doO&n!d+Mk4|v)i-cywZfk2f8k?pjajGZt`|m@Z!Ir-Q2)hG{SW@FZ+=7JDOaj1 z4OZd zx^$Je8b`-K+xqv^e0&&*>%ZK>$Fyd?JqHZ3kfS5TEM@Arn4{WQm1<3&e6P?aGd45{ zCr-wfr#SpbL~c!2%1Q3Kb`EqA!elO*7QVObwa=E2)kXO?!qfQVXK!qNdDVS6=G}d- z+ZLyX?_(YAcnvOm=2)QM`&?a zk)j)GqKsk*m9%Dh(ieCKm6{7fPbB{lw;Sh~9`yyBJaYFVPmJ@_tVXOUJmt0t0^QY8 zp;;Iz-M(g;O1ASfrf@#$OR4zhqid||k{Fw!k0plJ^wyMwvq_fgc|hW;cb@4{U)U5m z=#9e~X_)%;5k7+Qi`FFO;w!mYTVG}R?fD*A^C!V`Pz~*xNf|A`XMTVi$u%`k6Tf}h ziw2tT+%Jhu<0&ss?hr#czx4m)iSKcemw)v}oBoSNhVuN#*AKrh&%g0ae6j;LZ6?La zKb2z+yl6Pnp%?9~-jVLEkN<@W1dkx+GiILhP2)nzcJg^@k7(v8zd@dIFp<;YbVWk0 zaX6R-o`+3v!k0~wny(TCiqM+FXq2&hx6hKISMKDsbCpkCoqlL}JESkntR z`l?U=&;RVFzHbbL4FEJP6s#U%0L@1P%$O#^@yL@=*xPRF)X2^e6)Vz+`-&jAB*K|} zwOgx+gdpvM3!cSw(>%D~SzI??Vi(+PH(Ep3BX6Vdf;co^XBPw%02T=b98*|(gGR(x zEs`4`61;JH#+Wf?gWw(*G>IHyMe1=E1dp-mab&V=@<9kzCF>(t za&*bn+5)j8S(U8O&LVkT5E2UXtST=v=io;V3gSH#I{oXkCiS>W1_d$54%@p^m8=T| zF@BK+p}yv5C=}5{gHlsHo9Kr{%bND}x-wD>1G-j)jCsH|UWhb9Vce3h?ry7D01p%f z?n>JK3R7MUMVm|3l5BZ(l&mM{cWPCC#jc=$zxpHI`8U7kbtUPmn%otz`&G$F?ehs{ zSM7jNh5{}^m?GJZ?EYm>Z3jLXq^^)^0S311!OM=?p(ECTnb+gGH>5E;(&%K_m|(wC zFgw!VM8r&DI*Dj0q+ZSESUJ+`qjCSOV1XLJ>`3od2V}UpBF;hkRP4&ZNK}sW0R=6n zRpfY%hpV&+7RRuBP?gj7Gqd-oGM+sx^Bl8CXiaBPnX1d=Oq%~`S8l#;HYuI*qvl}3 z#)vn+gbthWw_}w$+V05O+zkG9nwQtZ-%izG)0Q7{B7g0({_NkrY>-r&R;LcLybBP5 z=0x7iAgT7yDOn7yAdW5zSJyR2YJ+UD=vjD^Z9R%Q%zw3^L3n8Vlelr7h%z1Kl9(XM zS{Nmdy;@jRxGES$)Vf4>M@AcK=E7CUSpa?6t18xoE2QS3YoS5Ay>TS^d0ylihQeDV zM=tcJ=%}p6E>)tYKhMzvs>m>vpnS)SxP1t`W1~Hr&2eQq^Hg7kVweX>VXVM$dBV3G z?kRjt44^Q*a^i=3(xf#=b6?=~^aXyxKX}vU|KwO;5=>{uYhy$&+4J*(Nufl85$utj z8Y=Y+_D(TJ}%qR1aY;PO46`b&TG-;M0I{_ZyU zVn8}pF-b;P=PPpZ1^C`h%AG@`Q&sY11}0uueo2c_e;`FT`!v?*>9Hw@=8f3 z5xOBsmd|rk7pvm5%=g{MfXlyQX0B`Iq6_7N{%Z?<)_r0Swd!Wq#qHlY z_5I{cbZeb# z&Fm|lZ+oTp>5}K$UUOZtq?7>e?6Cs+n5%U4Rgf*8az_gO- z*$*7pThUFG90He`sEMx)(+wjq*I&uG+e{z@PmWfnSCRQ;ydI z>K;eW6>@<;yQFz=ShFKyx{*vz_X0h8>Y;3LJ$sV*9s#~jBpSMQ;Y`$5ewsHi1S^(j z67XAdC?JyR>i(s@2l&!G3x2%6_W&#Sd%%y874?Jqdw`R>|M%b=k{G>6^bgqwx!Bx# zQppo@XEYzxy0@r;9x!Eo7Os3Pp&)HYY@dZxHwb0|ucF|$| zIDZJVJLKbcYr<#K0gsEWYNdxjy+60(gu8ChLDiJ&*R2*^_4^@E?_dA^ZoAlI=y|tU zbX6-o1nT{{9mmH7%bVIdS6b;eayi_SmU^#p3P_%as!zy0qLyN(XxH^%nJyacWl?UG2N_7Tr2KzWP;g zSBPByp4}54{K&}pC}WcM<{N(!^`63GcALHQR%NzX(bGneiY9j87opgJ7DO)?O^U4n z4@?x23l>`1-Ll8eDw@Xx%>%-U9a_4Z6~(5R;0_Z$rK<~0jm;d2LyI~xLEzEZriRSj z+Gznokj|I?>N1-Mo}DFVLXf^!5tPUS<_F+I3u;18ay~A(2S<-Ua{%~WYn+rM#bWx; z0d24R9Qb_}%q2;&4JJvd0eF+-&|4ctkV{VUsDK?;cO~*ODGd{8a}h#AqzczudIOGo z4kntf)AA1lERF}5j?v2g;_AsZu{<*^?W>rUwz4Y2cylU!e^JA*4C2ixn(^jTkDvSG zKY8;@4}rrB0hMHYj|foPNS5l+LNv73uZL@BtUIwGSE>Vgnx$ByL9N6XAZw)u z1?Z?^nBT0kji%kA)^I$iTa*GC{Rm%k_+jeDG~B@=8vU5}mDsSvQrOBN<>|O_3borM z1fqvO{;psCgJFENAn8>PFHc5SEee&IptY|MaxgY=!O~TW9F4^$A;{Ro1*2JZcQn^K z1ZjL-5EviwwV)KfT^rAJ2-5hv;11k0$_@b>ZHthh@hyU|_Ar&mxwdf_g?hiJ@Oz*P}7STVj(v($aP1dU70*9D5^sP&;SoSlyTuL6|kY zXC>lfR^=P^IN66w%L{Iv{qK;Oj(?-nSsJUk8vrC0RpwVIR8mJ19k*mX>qMs5)?`et z$i{8Y`w9J*^G35VUEZ3asjr=cbJ{JUHF_AgNG&LU#dLfuefwH6rvKjm`MxiD_lFe- zf!>V1G4=WDXn|=%aY$VDW z!d9Mtc12NPgk}JY?SV#X4lZ3Oekl)N_7-AK^eXn4G%9cx26&O84L=B%zx_cKxXWnY zP)XW@ovuB4zCYjzWdAqL6N%Oy2(7w79a5Ged0Yz*Sp4?Mj)dCwNJ#4TbikO%-*Yau zLe<7*LtVH$8S{pz)|-rI8WiQ+-fd z*tD6N?ex&wGu|WC)NP&rJ!R?q`*I$QVE+D;Ozc956rOv|yEyK*;cKms4JkMo_(`kE z6l&vdm_nfc>S0sR?-ejc*-@(}5Ol zr*n{jK`XFUWBmXoDHm!SU$$d^aZb~wl$yhKif0j6oEx@}6PBmKo@jns-Od8vJ3i@y zU;Lq%O`r*14~x7mwMBC`%5ivZ04&vz!@jZ{qYLgf8zsv{uw%2yLy&sO1r1us0YDhS z1HU_iFsYYZ5JS^-;yCnLnLMP{1x4RN0nD2{tQM1-@NJvRL9jksGW8r)v?_ z5kon?^3LWLIbK-#l)ozSjf@__(UpB{E zw4ag)xP8+tytJT!0@w_6zH4-ai%GDr%)Yr`Oi|bZ)zJtFzE4@s+1WrZ8L+*5Uy%0A zSKOi&r!}+{IwqSHY2S*V(hAbGA<&N&GtQCr%>@D0Q_6UBOLofZ7lNX|>>Lj`Nom*s zy^ZvA<`pGnV1hPt7pX58_4Ze*nlnjsKPjW(AaRV~`&<&mL+wE6w2d|&ydtB)ui%Va z{O~Pi>oh-HEsCvaO{##^176sZ%(sV`Q`{b`iNQWh=2b_}_h+{Rut+5}nO8|xHwZUc z2;(uMr#I#z)BlqW6Q{>iB3e)DTRG~1s9TVU<@>bqqwx%V4q2#542lDy>kqa1H~vYI z9&%DnOAnFg=*eJqgMG*~%~*-2oq`>ATl_DOC@`woVL>Hs#P^qKTR1EX7AlVgJ)4!q zm+i3eOw2LAPIRTTogh4@JQf{O5FSs{cA;%5X@BYJNFLTmqHm4!%^nLn1Mn#4{Tq)3 zJ5)YO?XqZS6fTPnaS+M=5kX%UNHhsH^Xv{5r_1?jT5AUptM3t$j|>M?lwo` z=}3~y6S<^O2Vr0zxQH}gk|gup!B_$mdzp*?K3QwDE;-p1P@B0F+-j6md3p@s(^%PJP_1=jgS$iDdNKtbrsTlMR%qw>eAEcnR!*xG2LY zUPTyfIt`ZqfXD-pTR&k)FLOF1qZ-FYG4rB1fH%XSXOHcrW_@agbK3H)Nn(cc$3FFw zUiXVpdC`oCM}VF^#2j*?gjj7X7V=b0%^eX;4~RBYr-fk7a29%XC=CfQPH{)<*BI6* z$yJPWQ&kl!RvAuYUnO4K9@fUS8G<>(8I%x=S0%a$L8?>RddymXHazIgk)eG^qUBUnA#I%kyL+`@1fq@ztWOE$nG9k#(e$QFjFO3z-E5uG}u^uFTp zfAWRj7|?NTh;$#)+9UCFd0Q(I68Z*u=cFn<7c@FTj~E14ot&!N3Ep2Oc1OdXbe8Bl>HuPEF4vTstUz+AV5L%v_zip6XP0{HAYt zTcmn4@8+?IW#Lduy8ap8UY#15@eQa1N2vh44iW~Mt6cra#g8;90X6MLFjuEQkcA8n z>^X-J(4OZN#Y~q15y$SrOaqiI>8LCyX1WN1a7+Yu>;9B&wpaUwJb>|8q8LF_ z$FY@xGispkztp44Un3snOxQE$dag0o1Jck`>O_GDS9g~%DMC|q1*@+w=A~ADYEX2w z;si56&-~Jd{~KQvnIJWNr-Pym;-kv%Zh|6FiJH1K#(6WQ)&gW(U`_;qjszS>cv#Jf zIVd_JD$+Fg7D?$wFb72kjC_#-GIG|!D3N2G92B8r2IR&#M<_h&XNq&oK@o_JEP}yM z!unTVrkqMCC>HkQ?M z!kQCiFG-k7tej3qu1*9Ol1{&Zn$bY{#?;KDsI_>>R?DSfAPoszCZQN5pL_N>EX7&s6nWL zYu>)n`Q5*M&3snjX3dPj(aNXX^=Lz=P2KAn zL;1#s&dif-pO~OBvL2WCB*_6u zr4Yp|S3ORv%8SN2aAhI5URw+v%AnE(n5Zo)g0=#UXIU3K{Zy^1IgA171)8fjlc>_w z0O-NzJ5vbqJhz2&|D!& zjr!5iNUYvIm`W`B5Es4H)xh52r&Cw2U;*o^E>$aE6I9HsFhl?2jnb@(uQ}`Lx4q54 zdBwma0uIY}%({Bk)h|4|aAx~+e)aqR=}-ShUl2`J`kwXu>W9Xybe1w{P*($zX31Yy z8a2045HM^BAFlOK*41aJh_bFe&qP%F)#sRqDqVe=iKx}pYYYGsZ3!}lHlV0=^@?-- zs8_L|YX*2uL;62}^LaO-+t#ic*jd8c{T@ zokiq?KJb6`zo8o9lP>%~rcrsU(#9||uI7=z)!4&3lCtlC_9w(T^p5@A7+Jn`q=~Ef zmREl8b?=KTlXiqUe9!trAB%SPH8hAMX6!3dG(~W?0o^nd4F>QTk7Wo_(G)@OcM+Xk75vtucxMD}qhPdV69;DjF9=`zH9SsTKg7(bRMZQqj1eC6Cam1V$On zGKC-&jSE`WC1>DyswD*J1Qx*^c&>TqT?c=#xja%4a7i%vDBCt#xh&HjOICgH=n186 zXSX{V{@XQkU%XY!DY+I^oG+zhnf&OOEkt@Cku;!CI(oi67^KLm2_=ulww)yN{n_$z z+j;hXd%i)qMnGuuDy@&kbDq|$?hp`7FjqYKs{h;bEs9S69my1#G4wS@Z2=XxdWom8 zKl=SunqF1)KRQKZ{UyWg|9$7Rh4(02C)JUAWnt;{jNCr)JQW%f?B6x#54XeHBgalg zW3sM%NgWpyJk{i8psp|C>;WlHO&(#4&gx33K2vq5nlJIJbIT=9frCHO#!S z9(hvgHa6k4T%pV2QEle>tuO!IKmR#nX(zCYqr-tA85$JYOwE_P$m*STEyiypJh6H$AA64kNOwN zoH%Wl^emINbOL-%_X5cy@YiTCAAObL2^fnDhKf-OP;iMs<&4xqQ2aC(M3*}f38b$% z?lmzJg48R1=$+6%GkFC6t7VX(UU5MHd61FdifiPfnnR19W{1X&ICwUiz3IB<`iy!?R6we1?i7xjJ77ch ze_M$b^DWPe+_|^On($6k^YHO*wToBu?%gRp^I7?wQh?Vb6MB^^o^zG}=8`C0FaIK# zJU6)Y&7D`5)+JX$GDI#U5E-=kf5g522hF?N z+eVl@)BXo0*U}G$K=p%VJA8OaUB>2Sy}*ouSZ>oT@S5f2_IpU2H19Vk0k9Iv}@W{|*%4x%G0hUv$;)hd_0F*-rc8d^5fJ=D6sp zmY+`4UL0SxV}D$*d^$Syv4lh$xmslt-*(b_0n@ge`Yo(=TWUL!Yob>{h|te)jqh5OLk z-~PUjkAYE|aB1^c9Qq3X2m>`>)oZRBBhm02kq2n>sOY3brsV0TsyvpKqu@xP^Rdl3 ziGSoPmVp|ZrL;!o73FbvLHHhGr;gw_(n!v-M^^6lToM%0UMNkODryfcFMzFh1i&3u zifJEais~Pe^H|#@+#WG!$PcxLR`=VMM6)=iUU5luQ_?`^UsL6=P*hUl)jgVmG>nX& zQ{}N>%viHxZfpnVt65W?RX@5;2w`rlPu8SH-!JA47;ZVw!%El+tMknF=WxJ$61_-3 zeYZ!?Hwe>4Z1Bfn;J4>Y<8i+vi#D(z=nSscyud&!gSPmKm05PrvGN<;AlK%&7~@l> zSXUoLeyOK=Y1&Qq!cYxbYunIdU6|&fPv%p)3TXc#0oTxEtMyLS29>u;dAALgL_=5R z2?Fg7m3qG421^vecF9_Cif=V}a2}?C*MqI|w<;?!Y=5ZtJ)ItgLS$PL)Rv5BCSLx( z-tNB~9b7QqhIQ3cnM8O(>S!5Uqs&5j6F&}>>?$O^2nUw24b^57+NVTXg& z6EopQM zuu;8Vly49c2s9{ibl`8A-=6OfkUj<#*(`Ayjby$>WzhW=*0e_GTx3vGA6rTSMn$(h z%9~_Z8r`ic0IU(}egddi_qx=yOo{(w>QsaC^Y=_^=` z|Mc-KQG37{T&#PZZgyW&kz6%$c1o+@qD<>UaM60%*?EP616B}L12r9i zkmVJ#?+V&bj(B4zz@ZJbk6pR002iu*zhjE47R9?;a^{2qb$c~}KHccjjF zi9oYcJMFMU`SujvR)8Rz34o*8ST*1EmD5${@nfi){l}Qb zCI2CkO3kkpkG@DQLAgz@7TFq^xmq>PS55v7Rz|MK=w;*JqMOT_&REN?77aX-WOa8| zSWJ#C*&2GvNiyFani7d84w%!fetMGR>*YtsOjb2uQ0F$}%_S#man-&7f)*{SD*l91 zoqgCrojjz$^)Q~LiGw`gCV%&v+rM*@|C7w^RFw?rxyz*^dSg)ukQ!my6wj(8=$WZ{ zO_684=a;|#KYZoGM?nl6PRJAp(lDxmy{y?VU3ciQ)9j0;t51R$bo>uXzeh_1#EN2S zwMuK_1fbn8)yI-5At-*d3EJps!Xgm7i^2>+@uN+!U=w!8YPVHqx!Xk$BvX z;8-zLeR0GU;hvKJFy5{Z)Fi#1`>Ctb$yt~1skgTsDKz;nYCqNrk^ShgR-XM>0Gm1K@;Q`)e=>~)(MbZ>J4<# zkV9|OqJ%M9;B(u1UxOGlA|x;Z!y?+Fr$>3Q#p#Ku1P@UEF-gzzVs}LLOP@T{RoXSv z!@K~rhx6!>(mL(|A8LMkdYTuQ0&Dmgq_ouEN>?d8&I@EYF~mw9=cO^=x#aYR6d(g{ znJ*71{w+PE*m6r;KcufN5W~r*bOtf3XAr|94`M)5;^8d-A{dClH-Sq4rh(r7lHc|> zQJyPK(St-S6m-MgXPHh5l~kt7=|%wFp@@{F#8HFAUzfr z#EG#02|*T0#S03-ru@0mWC;K*!rYOMU6nu4vS!F+RCRl661L?>M_fW85;*niO~0s_ z%bx)I!11Hx3Jq-Sc`{kzYhv<-;(o4S+Ph{w`lZFlR@6Y}5+Rg;z?0Y|L?D1vQy=&$ zCrT~Q!J&7mGMMTSz z$WX-|o>0O?TM?L-gVqMwp4J>%qD2=3r#UVU3x0hRr6xc8B%vLlrKL33Te2!59=Dwq zPmxo$W;`#Rctme&8czsIgUaocuTWJ)+=GBgOep$+joiuC9F1yKgI-^0FlpW)GL0bx zs;7XmpnZ6`s9jZwH!z98^{D@yJ8hpNWymEAAZI&mf3po${I>u7i$Cz&!_(E0j^n{g z?FTqNs1pE*2WFF1g{KawV`>x5CTk01x$bm4oGIevvJ>i3L}JJ!vEI}#YFj@?^PMTU z>WwR)wsk>#4F{Ji9^k@?zh_^;fu*)}!Tq@!9<^-|JX^v;codRnOL(~~xIJCM8`X@( z-&3^|PA0!l&7?yedtRV^=`QA3hf+l^EwT-1(J5|d>__QDhv|CI|3V*Pyds{|GObaW z2omsFGsS@#fCq(njA;kVgRFqZ+M!nMP!*>dq%|Gfs?7*c>I&Zs@i0v zk0=+%_@JcyalW}t2q?XaCY*^yj^*_7cpeG*FumaD>B80JtHTabzqea0J zQ^GZhNRs*ffFBhFCuU}V(copTetUI;U?U~t0?t2~a8pUJN}+R+={}20b*JYOk8f!3{Dr%XE!ekWf|^b23pIMVMY82D zwMZxP{E_89T{M`7I9$YlH|-X+R()o`yB-F-*MHNW`1Rk2NKx}N?ipFuh5_Q-Ib5d% z#rgNl*xWfRJr#53@RvPz=dRC;DBeqn&+mbiuWZ~MChRNTOA)-cze4A5zsqax90pHF z#ghSdrV8L?J4MWV#bZ+&t37~b(;UM-&}<`cSnZ}X?-Cp_v3d6)bP{~_H~gdDSiioY zQ#IChL*O~FGF=;iuf!}Ff}S!8WZu@?A_R?#%ho{nnNx<*AOL2dHg8v@3^$uC0xP(P z+ckh92+aFYg&RT2qVV2Txr?!Jx5Wmy!ftn zKl6@f7lwx_kg^I6^%8i)-x&zD0funFlc|_5F(7qO6gBM@%~6!zy(zZ{ptlLPXtgA= z?D!Y|yMOcVhw;>q){UnHxYP5?F-2)0cUvVewVZ^`wH2AQ>uhk&U!Kj#R_V)g3E7;z z#C&zOpGc-ra^N{9Stl<+8bO=L1a6x;&AH3-*;v-w{6e13#-^+i2%64iW2^k~>1^z% z=~nw`m<3uqv47=*e!VecdKopi?z;`s9HuossnDf)(rm=bxyGW+Crb1UIj*3z8ZM<~ zRNFNHgeAMyv&+)xoD3l>f90RUGS-%#+hGV{7g3N)`vWp{i6JGxAQSf?K)R^F#+q3! zFtXrx3A2(^EKUi2}iLm%8gkZHGQHsm*B z$ba(te)wCzFbM1w@AwEo!~@Sbfc&N*H-sia(lwa}+02RTq__t56#{S?au=Ko5x)tX zv=|vRUshD~Mm5JtXDc;elFocB()oLSZkCuVBj?yKDz(9EvW3eE+N zrl7K&fSy#)HwAB0^4Svhu-9UlvgzfMkz;6XC)3kfVodqC#1b>LSYdM>iIIu+fL|K4 zR^2;iSoo$b-|A@w3%~mNk3atlp<^_1^I*YKNoS#SIn#DH$A^(KZM!YFSLw0uH|Vr& z5@=PXZ3gF&4K-&NM?-+3TdEJLHB7TXqv`fo1fWs_-5&bILkH)X-pjtfB<+$bE@OhS z0^)<170?XMs}!(Y9{2qPeuw_Ei@p14IiQ|*KUL#RTYgC6ea7G1eB#qFBz9Qi88IBv zmAjSos@W0Yv1E-`6(P>SkvZo}O9p5wIj8Y3jG9cHJoM2f8N{J!D{TvaHl#hMLovY2 z=6r-;t}OrnSE?1pJ`sYswtxl+Wh%g|2bo5l%Nn`1aDdj<<`3v^ZD@-X1%BrzxyS5W z$+)og(qsQg`C;_NaU3t4#KZD{)6E*snm@{#YgRre*&U1?H61>aXldP$yyqgeC20p@M0f{*aS zCF9cY#esyV$`joLOOaMsIFUe#$o_EN#uhk?O8uqYoS=89t6lkA>d4MXn+KP)u^T>e zi(1n-W7w_-!}i7B^}F8ifvCO=W7v+Rw{(5%4Yi;FbFfmac^gDIc2dg|8UBrDzvu4=wuJR*c?KjI2Uj>krPZn|7S-dIG+Xg2_=UHP_E|qbh#&cl^m;{hske zsfFYiM<{&hIe^ZAX@unaHoaFv5)q6d5}%SN)d46BOE=Q%l@FcM28TVOcSg@Jt>|~F z+F?v})8VDDT$r;-PWSIBVEuD=%5<)3%U(15F?kl{?_Q=%r^) zE&4D;P_u;)Ty9J&#)^zhToCxE6*@*)Cq96*rCyOwo(t}dM;4SxsI|6P$gyHBDBGwD z5$y4PF*flPK|Kx+9*5p-R=sIL)L||NE6h1|SP_)S3UiA?OKcL7YqBTv*X#|S?vkTA zOf|=eL}Aes{GjS*k{;7Vr$z@{JpXq}BaO-E3D(qIuCm&{KyJ+{>`_o*_MndVn!W1H z91c9VaA4Xkn$vtYgU$M^cf9eBzIQAfD8)gHC+&?e`TyB_7ogkHv^;2tfdCT(i_b@aDV~iFPFTp5xXM}8i%qVaE(=zI7X z+<^Ca=}>xob|}p#!BLyfEWx#kpJ1;~`q%#O=l^i{|C&&8u$L#_tCB;TrI!H7OAgyD z@ChWy1iZ5q%(aQCt|a8zM4E{Qj+;i1O3SYi!$WK)9*A{Y!KyY9Gx4^)N!C?u zqRhktvY{=DP-*$8V+216?rOCLZu~YL$d!? ziQ1OpM8qshG;({!Ga6NgmjB<;?$x-eMM(49UA*3hTQ~5$9{=n zH^H+`iDEkQXuT(KYBB7-;(80VLnihPYniiKjgw+=qWq8`{S*A*0WAHB`HUsiu-lr4 zZV&J_vx=A(-W%v7hTSg{^bnFPJnWVEFwQ<{uGLCklPuJoR`*B^Eozo);~jxWvGF;6 zX?Dw|F8^w@d*-@F)3TyHDA`QQ!=|?FBt+y*VR> z5g4JpFB9fs$uG`NUSTNE42A$m&A3GpX3o1sZJ4=O^qaBhzvq)a{O|n2M;8Vpy&0`V z$CO-7R$v8gFF|VZ}46f=LYsH?Xh^0p3sY z7!?0Pu(IeIq%_3@0u!OI{t(O-9W#7D8zHuG11NsIVr9{R)W^4mQQhnK`Z)EZsVpft zwObrfn9SJy2NknX8BaK31oN)4cw;F2T!spuSotR(6Mw%@u;uHyI?Nlh6DQ>2$RJu< zRI!m>Xmg;YdL0;yxzj!l05W^eg#s;I^1N|LxMk#J9OKiHZZTeFEy*qiz%XxQl(|ogXwZ?`o($Os{x(GV3F@`S=%av(|LlYEv$TAXh z8HrgQk{Tu}qcr_Ndld(jvOM*kFMh-Nm8hw!HSYPmCFg`W6|Aa+_gDM8=-kyNlEY?g z{iJT+6=G{!cWt5p`e?TYYf%ls?DHPaCypnBa~~SpO)BgfQwosm2QgjkWKMbvU^KQfLVG~qlVLP?MR-I@e^(=cY zARaa!yxg+EYC$jkQ`yrIIuv_{!lVu^-=;38g1+VWKmEX01_Qm~R=h%PC>8`ZJMsy0 z1le{J)^h~F9)f7)2SZ`o(oXNnS1kE){LD5=HXt)pK`sbNUk!xNHrNxS3MzuY?n)eV zIv+9lmMSP#qzWp6h{7aXJDm?U%O(V=f?N=d@lbkHY6uluj1t|RA_#21ocaiSj|PCT zqC9Iw@_Ys`mP?+HN^dSw9z&Nz)nKn*2l%KKQzSv9OBS_{@s&OFkyOZwq|-~nCGK%E zOHf%P_rOH+I)US|`9-$t&MfUKs;el>tBonn93^^;s4CUR>^|^0X%D=9K0J!|3De9Y zQDfV1Ac^=CgtUXudL07DJ|hg6%~1Tv#EO_cmZK&{u~pPG(XEH{IOwY)Y64N?MT7y; zfRS{wcn_hQA96CUJ-rhO@}c$byyb`V?_d7szW*z}ZK{xoeiS%M(7%Vx#%M~yYf5RO zyHTI)Hoas}PJ50fQnL#;eMv#LSiyGJE;aT*&0u zwrf+fIjMK|IF_5uNj(l&zdkvelX`bCgzllUv#Q2k-hV)jW}gUV)l)Sl-|&8R)kW*Xhqd#iVDtf?WKlWe9jz8X;nf(j&^ zdd1tyOrMWvwG>GNo^55cGSeMOtUx9qO|;(`cbuPmGElkB_{zVhm_@g*neH4(nn(f- zLVk6XTh17HTw;H7U&TTic^TpS=tSp-CXHMS@73GxH(B^+Ur7`ful{V8dADdWyz`M; zm&|s#`-9K^^2<~C$sjL1DScMX{pGg9(f-7opB(K^tn!n4SX@nw?hI|~nX+e|&iTpF zM#h|Vf8RcK8@{=P3EH`HMOAa#`_ME1S^rq)5udq7_UrJEwak&4LrJDcq zeg3!E-E2}S)r|(cfF(P*WGA0{$FpC(Vr;)zefi5TmlP?hL!b4tfAHx~xH0PJqEuN> zX*EICzM(4z7@@QF9c6^h+BfK@P*`q>mwVZ4S zUZZ_cplM53*J$4X-s)?#?+|hIp!OXkuFkh7v~Q2NdbaizO{Ec7h7tlu=;4;`v?9H3 zye`ylfvBQvzo_4vSHG!npI&Sf?xl33d*>JK?Nu#W@y=jneaVc@_x^9ZZ)4sqYR%h{ z8NHdz=)dv)Z~Kbh76F=)^f-piD0?`KKye`lpfoh0XS*7Tweudd#@4rCldD6JHmIyP zHerh{f(v$gjJ{+p5$0Uz3o>aNT?7{dB!2@zq!PC~LtMnEsYzV$aFlLH5$rLi(M52< zuEQ)1S#jsEnOKo7f(xFz9SN9`8QqcQjkVJd6c@oIJFv9@lR{YB!GIY_5~jru#4rt@ zc4W;1@PO@_L{67AF;qgJCTQ+FX~5}gPMUK@7}KVlQfk)g_DlV@Uijp}jsI0KPo3J< zW22wc^=tYz&!akg%l|HeH=iOtoW|*t0Sl* zbQqFIP=CFctcsw@q_Y9UUUIa+lG!PzCE|#+Dzk`pas+ik(MmKkU~@JlfQzEku|~QQ%8D}Dp&LqM(RwfU6mYwIjf4BuXj}*bC4sykWP4+GDMKOZ@aWz;*NDr`rvu=IUvC-6qVyke>eaul=3> z_3w`Yzbi$hv&30kfV)aY6e=Pe6aSxwEXN&qu*5>qxQo=czJX z$1QN(^7{a;P@nrU{YMocs$=*=t(|%bPZiT#eqfcpRpt-(GyU&E7yQ&~n(=%A%-tSf z?%`~~k`}s|w9vouBmd#=`9Rpy!->@SZclZKz1v$l_R`dCt&O(J(n3XW&n7?$qPa&a zMVh~8Gbs?2(Hl7RmK zSw|Cn@A)EQN9edIz zqJ^cl^D5SM=G~$;pj(Xi%^2}-{cG?1rk@Ocf@^}}4Z415Nr!rVb5MLfjiefLP)wFd zX?Ht<<*Usg=AhX6;?SPB+4iOgPxN1!c6e2rwISkmF3xI3dOSb}0)YztAzK`{>( z>j*2^6U;#|f^3WclJw!ahdil*V$2e?rrQl1qv|4O8QeM!)@U{06xq`1z7|ap=1o4S z&=Uh<8!5po6LfBHf(~pgi-Z1p+`|?+^c*&E4+(FSdoH)Nw%(<-_VFkF{?j|(_`wfG zprVzYwtkJA8>0obqJrr}UQI8NDhG>g-93ZURtON_GaF+{2vWznAh2L3$>*VY6wLmN z7DA9Z&IOGK0H+4^Ze5*KfN>Elg>FzEs``i(bNw3g5}kv^X#hfyI?h)-9*)}53yR)d zJDrR=&IK84Nh%Svj8;sE73=zSw?iwh6xngP&DWMd#n&{bHmmGg(}royT))O0A@7Yy z%7}pcQ%ZrRtXV4EsNvVQaZ`Tqp6E-} z>5_lEz5L_6TeO5O^P|g4`iB1bU;nfppEm0URR7Ja_b^fnUEFXKSQ^awNt1xK3;DIm ztQT0?q*-4x^(kf@kdE5^Q%Crz1HU$D);FZ{2Z*e5`nR~o%B+K#U-FMI>wt*Wr@qFl zgEOfStjzjxeNs-P1Dc@PZ&RD~f{DX4(e{^={G)&I0L39L>aCgY4jAGL!1iRCby{9C z?e7$mUCes;5LcZ6+UA(-IWl8r-Sd?GS5j#8T;XNz4`1ud!@=!j$LXV|&Bd(0@XqJT zWcndq*3Ihq=cqKK)#&->&g*bqgp)J)I;)=F5x&kj6Yp;vZEor*E`G2fU@_~D$E^Re zpYu&WzYRF0riZUDD*|HJR^n(lyu`&}H2^3CfSlcKQD3a9g;iM*r2jHe2Sq^R)rwPBWySRtl!CDT$g}po zbyZd*gh&L>C-Zt@MKSAsk)Rv1@#O1`DY$G8YR`fMm4pYo6B7 zn&S8X!p;~x)|`yNiy^(brLv+K>Kt~fAs<2kHF5Rq06dQ4_e+4sf#`do%eq0d`IA*v zoSW*j7wJL+L@fFreZ%?AADZswbu2nYr?f!BT+Zo$=rD-+wuhjmvt%h>B=9z5k7a>q zYs%BJ%k>E7BS@s76Crpw_wdtHp1xwKBpuEh%d*Cb8RHl<*&0Pne(D3X1adi#)E$LkpFNeCxXXAw_u>Ngy^Q7YxbXPhMlueOer@ zwpYihvFPAV6ti){&##6kzEFil=WttT|G@!$PTE{<16G}NIesG~dc|h>nv<$q)#imv zhdmV7Y*)Vl>LLVdfy=Np$AxP@d^RgGEHQ)t)^*gC4`D;7_yxrEWX!i zlW%Xyqe7FX*Is&kK|))KR@j+0F)M>!$HjONd)Oy5?}8VZ@A}_(bT;o6Ez#NhTXad% z_0N4=_sc&V(V5;1kIwAY;H`8A10>O{U9269wPHCzLT>XcYz<4g`ijNDIBn2LR@tTN z91J8E+f&yL23U<4q+o;_&>M`-;?zmg;9q%ZbXoAVS~Hs+jOgFMMb_YsXP(XuM)Ys6 zA-Oqq<6!JGGCZv@^u3j2FR=eW;9IoDG-QT*Yo>Dl-DnCq?hO_jU%YlON>>Qjw`ykq z5nuNIqAdi@S_|iq@|a&|X$wJIiZQbJXMdF)4D&SRRc4EWVISI~hP&k48}Yx5uhVQ= zsOSzx`*(3Lo&repL&U+D8#&r*HsQat#*vZZ-GBWD*8kXa%04?D^(Sv$W}H8tBFN#y#5yYx>3~wS-ph@YN3I8Ng&$<=)CL2s~6siDwzy1yh5Q& zIj2f}Y`C~qMjmqdVJ@y!^{L@wUl)bBhqG==tH8~)3jElQyyH9ngC{uj!)#^-OB`Xg z>(Mn<9zsb%55$PsLjX2_>`u~r06a|&R-Sn}hkj@9<0BDFo?c97Yy@-Y7pwtipG6*C zOcnYCZ2(DvnmcZ#=Ta@V}0cEk_Q4?Qiw#H40)VO&gXwoq#s)Kh`|AGG7 z1yNY&zxbqz80d;}#s`NSvw3Y!PljD`D24NXvEI-pN{*u}mL7*2>~Vm$O)opNEq>iY z(zfV;I6Q>5wO3;znbj-C&DFN^mLJNM{n#J=?pN+d)2zZZT-!Pd>abgDt_(_drzH#9 zW!lySLCplr1hffMfbjDLT&jVn?J;s!1qtt#3a5~6tg#|*Kv@y9l|(;**FwfB<`t=J zU9hBgFtpanDshd}wnY#a1NDSYCqrt7AhoRv0x^OwOPBeyvpJS7IH{cY)|QuDKB%s+ znX+ZK{%dvs?O(jSpEZ=K5saG6+M_JhD36siF?Sv~o~43e(D2`G=a$(1U3W#@xutqkVQp z%#G;`@P}%_;h1}XsqdPYdw{C%nwWcltM8hadw{I3Dz}!Hd+6>|S@{xkV{p2S0`x4x zq>@!tc`>(WU*}S@nR4~!RjA~owueaf^nfMkW_Y&R-4Uug;l^T^wOilO&iouSvvePr zC{{e5^wHh(*hOb}Y4q$I;BcvGUfN)9uMKud%X7lv3s?8w{o*^`rLZe(r3r`Ct~o7D zmmso!;#=SHiN9;Aa6gLVekd1V6ri15gi(NYO48ju0Yff1XE5p>TZ~tB5kOMQ2??a$ zMRvNe{8d_h19}S)Ja%0Ripnm+C^o!u5zu!t0uIlJEI`A_SwWWG0U&oqWPwb51jwE5 z&Nz@edvK2epb%yqEfaln>r_Vodkh2N6tCmnyj zY>4ga$3wVX{_(|OSR4lSH`D1fe1(660VoP#0&2lyrSVyh71TvJR-A%@@p#o7hWEZ@ z_q+Z=WG~fw^X#Sha-^an)h!AaYY^`>Ha43ij8bAXV$QshtqzD9A`u*R7zW0WWQPH> zI8Yg1dg>JO3cmU70(B7TTdjmYjin-)+ zKwqaY?top?hRTLuF1Z|cJLeGhPS6mf((+T6+20%pr={Z%%q5otDE>rgbx_%DV?LFZ zuh;<^E$ymZr>x|;EF@8mOJZyj2Zx{}bwIGy{66wr7D>>x<0psYTE`q!Yx0qG$qp=k zqOH62-mn6;>(0ZgOlrNN2FnN6g_>=AZH6MINbw8ue_y)BJH0Ri+#oZ6P>PdD>y{|R z=c-71C$8~0-!?MI`xiH#`gh+KG^e`I^(qpb=u{SyDiSaFP8+rSb*-z?p(0Nt{;XFV zR*`_Zw1UGb^60G|aq3|e2`_-|T2?;8DiUBJ){3&=u!;mksx=JPs7MTj=t`s7M@PB`yEri;8^fm86%_OorCiTvX)E&Sbc46}hBfZZ8F6dgVeh zv$Rs&Oe@8EKKD!h^Iv%58{Tl|&2Ne*&P}zaV4{m2bDrCN@|=Q6t&!-C7YiKeja(GS zH5;)+87PudFb5#k;Hv|Li|kI@cb-!)m^-Z@#i=`^VW0+KGata14qdI_bXk21dvxz~l7(&}3MJiZF83cQ-EZlK7P9_bZ_Vo6Ag2XWi>@_?heP${fX;j>|wTl}v*dwQr>27gDAXO9bMCDT*A zG9M66^`_4@fkacB{iw56h%G<%o`0zw#qYV}cgLDY0JN_Z@$kM- zWklkf$%}+{No4}>yanPl)%f^~rpzSRiGYAk2OvFHr6Psfs6L=73Ze*@Q;}$ALEx58 z$VVt2&+W(^?lu4y<@29bXah;dL!dfdw$t&r-dqLUtyj#l#TVX5ax!wavK`0c2dge; zzrxEMKgaH{!o!)D&^Fnzgg|kESS#EA1Gb_zW0OW+amcRuM+tn42!l&H6<9>O@sW<83cI|Gd=3N`1>y z70L!&UY;WO{Il8*JtbEb9BOQ4nXR-%>js*sd*`_B(9#vnLs_@GGs;s;P*1s>rhfPA zD+%yZ(;Hup1>%KD)Dx?w?(p0nUL^fz<6+)LzE<94`2w@=3bX8U?#i%Sx!|FnmWN1( zy54)eMf2_o548d+?};)>zvk}>308jex4(S%15;&J>%3zn=h#?kZPD}KfJkp)r8;dm z7qoV!l81CR=upleByI$ggH-Wc5!_M{VkMmc_YJB>y|X?-JGn=94{)>u&~*aUR(p-f zJHn|kbcrjXv90HzC+7%UT?dLlbmie z6kPD$6L_VOd#Z&6b^=y_IF3f?MHw@sJc(?lnDoywd%{)|e{QUy@M& z6{^JzGDX`m>_>d=4}JY#j~atA<+r2M44;Ym^Y+{u07A#c=YNFY`d|#{whQ%IDzPGn z@x)q>Jfh`6bW;dYiMe2DNFJKvLM7&c-Fc0e2`Xo3<{Fil3zodA)HL6xDw zTy*0}ZtTcX!AvBuKQUL|HFx1YnGIx#EmUb26Z zhAo*q)TR*3+!{n_slPAv_YaL0i0}UO&;I7G3pBAZ!MMS5MkZw_7rHD|1jR2dZRPnagDOtKV{N!Hc=L_(`j z&b~deV-sme#N`U4_UpVT;H81qKrt2mQ6Ih5*sJg$PPsMwD(bw(VfU}PKEsX5I-gdO z^%lwpN6ko<3x05XzV$o?9Feh_KgV6y3BjddQmSSt=H+&>`Fx+#ro6T80vL~>KZZBO zV`%G5gj+1Y4BleD#tsc5+9rNFqCcWOH5Ic9@~`Md z#7aHEx=6CYKoe+i1Wmmrwh9=9{H$goPn)hOr%Wn5Rc2#6GNEwLCa${ZwNxZwXo#qD zV}FrDm2Ea+Y*$N>q=JtK+9*XivYm8VjfPP3>?-aaHeX-8YCruOf99t?_G3yqMPFd| zF8y_$kM4{ijNq+q6hyA{f(IIIswgqchi?RFbNtk!cu`s-7d&qEM8ZMafy|=SO_dT` zcSyHT$&p@L}<<86)3$26-v(!bS^I(2n=6%i>>1td*ag^nOb_x^~7_L zp7IH}X?o08M!ZI&e&`SUOwal10PjE|1Uz#6iCF1DpMbC?a}5Y9F`4ib4tU~gaON{l zSAfrMOLPR^GBhW(UP=Y{1SDFawx=0G0?Y!>S>Ycc&>oP3B48NBxX>XZZ64sIcogypn;1(Vk>P*< z?^)q5cL1PP_*)3n=jV1hUhuMk5+Pu;TXCI?Qo)A6U(KBII11l?EPf8Tt6*-pm` zUN)T{_}eN?zL(5Wy8hk|mVZutyov*|7s@tR# z`#mhyW#)u?qbI8(;sQG@;7EtkXr;D95Jk|zoKtdT!6CFI!}J9MyI^3;L-J%IlZk=- z%HRFe(;xd$B{I@Vsz*kpgM*6uf3qSKTZATH@B0iBXgMg;(x2Mu9 zf@jcTa79pVSVB8aO>I~N0W(v^je};Ea*bOeBbPjMOlHAwqISL4F1}b&A|sas{6gb5 zbgE0{JF^1RE$SW6ZL;QhE2A$eNm_AV^8i*7J^OioXurAS-CeRf$`oLh_f@j`M*04f zngcS7xb<~?Uy!E0W-9Q5ce$0!cc?>&U#=66lT6O7&Yo{khq1mA>!IUc;EI)GzDH*S z;D}yvGxw)s4KJAIgBA~T9gyJ?-&rN0tten?U1l`=BCbynq>r&BWRZcr(M_gI~dSdn(uS1e4*XOyX0 zL7LqnScsI732z&dXmwpMc$6`urWLGm##-88N&y&#HeX%mjL&GpwyYQCjpg#(Y)dXy zj%ID-gWF@Z!`WQhzu0UWZz7_+8denkhs>z%G&EpHd+DFO(s7uc1N;0k{BMX1eWFy~ zR3mp$DrLg)7?xK7@yT*2{vzUjwC|vOC>?-OTV-lny5*bZY;1gs4LBPwsdT|UR_F)h zZ(&W-7w-8c1EsOB-1_slsU`y)9D&QNue~4>s{NtM{qvS@EeGSl&-q_I{Aa%{+KGrb z>b}(5iF6CUKD=ou&ZA?+G>YwV9F`o$v4&?j+v1;iY!ZUhdcGp4AXrL=BeUBG%h%a= znbvbb8_fklaFJ-%IaZ|BbHU>l5h2w?cQ_bZS_o3>xuC@mxQhTDtBwjmNut@8Iq!fB zkPrgEE>({qNUi59B5jY6vp6+s5*A^GplCgp1oMRg1HcDitxQQ$QjT`=17OyIdIs~1 z^)e+%KC-?h>M=z!c%N&ASiw#uZ6O7%6v;px)!mZNuT>rv0=PFxpK?3&$s>15J}Nmqt~aZ9 zhH_OMK!_sJ^*6*3q7UQi=+6`CQD`_WSC96HZ*@vkPwrSvs|qo>XYlw$jWC!2y=$;j}HdINJiFQhO$Z?GGXIO zLV+1WG}6`W*RIpHo1K!X0Yhjd`8au#wnh80_$O-n$9MtF`J39KZjc{?$*t6BbDU z=UzxO_&Mr_XYk=m1CPdoxkE(&C(HwVDZv2;hC7^huOU81 zf*nRWi$yx_z>q0=ECeNRbU~D##3F%@0>hoD@Ip`mM?W=ET1Z3zMT3#@TF?qX@%l}W zljdbbv;+x(U|vxIM?bae#Usds+H2In(IjoS0jr(bsGFA*i)5Dq8)g7GAn6cm)?Y^~ zl1rX;dzeA3pbF@&S~K4r8-zgo(ALUs@y#_uV%JHOs@NG+P;G2MlB7lQvme%ALX@*( zGMrkZBw5{{(^}zq$XE88m+~Fz@a#yc@CZ&yM(pv8W?PS>xGfG2I}$N)lA2lWQ$_9w zvsrA5g=;Yv{6gQyr(!YeLlpYtAFhs$TsvmmS{&b44$mI?U*PSVK`d%XQkdN1_-XhV z;SO9BX7&n(LVuV~D9=)a*#c^exb%?=hLUxREGX$7t1urGz$(m_?Kr1KK?78iusrtNwzu0Bb-^m zoM7;Y^m^uupeQ5DjDbDpq{+zr9xMl}2Uu(h3y^b+U{F3m8z9lQJ@2$u6N2*ic%tus zb4vydg^+G!ewe6|L38spZM>Q^TZ4))EO;@uB0(OlmB? zwMh>VA6so!Bx(1D21SMjkWXAN|JUrU7VqWlKnLpu5^%rvN*m-ke#qj6w*k32^1ry< zDGR$gZy2jFbRguqc`kAmc7+(#BMN2MpEQO zx~NFim`AAm+cl3eNG+K+l(i}}vLOF+7MKoM*t4eQ2jd4gPAmsB4776k{nXxtwI+Hz zlN`caGk28mG*aQH4#?*xdOYKC2!F58*bu19vuwxpE8TIrU||Q&=NAVwoxlG6M6+iA z6w&cjk#qvh-g*mS65KtYKY)ubn|SyDbw?K~oL?{kV=tJL%O;7L(JPC>{F;0Fn|Ud) zCa29RNGyox0%DzlL{C7cXRl6|q3HB-8U59>93#-x7yjz+`}Uxa&>E;mppHnuT*`T! z%4I%xf1aee6~5x=xJ@c$7c?GuK)D85n)y7rU>OQ=L~2lNhwXxiqg&yEqrK03hFoy8 zrJ2uw3-YhTYeOx~6<`C`Hqz5fqv>mo^fV(c$QRoskDC)Fo8rwyG8kFm4DEm)9AKv*h{J&O`FS|>k2asz*aCR)IiIdlx7xA zdrnqk8FkT1IcoJNGo-dLon)M2q~r1^of`iJ8nRo|$YMbo3nCUZEKp-X)xpRr9|raE z5YV3VP^W{mvJV{s&Rc#c1pLO|{q1T=&j1=qrm6XBJ%9r%i9A|QkVf&)RN+)0n@w2*?L$MJyrRDJ3k0tU=v zAo{FBz`d1b&M06tE2aR4FP()Lr?bsEiJvD2IDiVSS!4qwgsqs(lA0~_uY-1R4KV;1 zLFc|O@TDi2VJ1+kjL#9^pf*;ASC2Kz+T7SMbbijt*Q!T%X8bSm zXA8{avH)ie%tSi~IZ#8AfBr7q)7)X{FRV8!uXMODLiOk=rN^a7?P4dEAJU``KKI9e z-Ec#VNa8F`ssoOjEjqS2znhTEhWzp+(L3FcU-$g}DS_H?s zsHjO@5Z*IEh0@9+36orrrY3bkj4RX5D0WC`fyQ=Os;CH#wop|_fYBBzdc9@M(H1Id zQkNWWp~`n>yoHKhudg}MLN%$Ksq0kPUgO6Wzg0e{9&M{0p$wDIP_;wPbdzmP52dSJ zHxIb73w_#U%~{g**Lb!mdm)3jE_Y?^$)3=VJy&*t1l}GbaNaFyjo1PSyctN~@t3~$ zKlzbqjw?@pVW4Q8=O0&RGc=B5jiME1>D4eII6|%IU9?)dIOrZ`5f{f1UQ= zu96i2yVJ-bPMyLZW@(@lOIH0#n3{@IEG3cmYk$cq{e{sY%uQ0VKgOv_f1&k(<2donai4k& zTh;AB94l2Y^ehO>F!Lx@>95jpju9t4J|EHP_65<^fhwk4Coqon*(aR~^%lzTO5e;i zCa_v<4WP#vmM&t2Cf_U$hYcWfztmI++#i$K3`3xq#qFWG&&nTW|j5cnYD5c-PzdJZFmD_EQZ!10bD>MnBe$KQnX6E$POnPZ$m^7Rn z0N3_St{AVtnm|gfI%t+;m}X z%5z*!)X8n}%_`|uD!eyUXznk#aCBib?aC|Y%bePGJ^N+PtuR^mH84Gxz=l8n+y2Vc zWKVV!%O-oWF;qcHTiq6nS#`xq-dd{#1p*U2*)6bA-e(guX5cwJ*=#m~0|k%I+zrpt zE3m<~?&8XH>ck~I*}YEmTr5Lq1%3{k8ihSjM%CZCDwd(xXvH%4x-F=)E|v)|7}rGH zI9@E%c_b*7?Vb0_zxX^qE3r>$ERx-<;$(TSv@<8^W_z;r#E)x$l_y}^ze};~DWVH2 zo4@pAzce&=u~2T>taE>q?o_CUA!k5`ny-`;REC|GV_!=%8y~KUxFle2CIR~upY#`h z;720?tNjsPiM4Kt)Yt|)!~|@#BpId!!8<$PFb4!#Qg*=Yx?Xpgm}wW>gM?h{IT9W1 ziejcsFh*Ey*J6G1sRh$wf@y>mK&H(#3Z}(RT}D`eo3lN&U|RgtF~Vxw8;T`n+D{!L ztTuuw$=9f6+9k^fD`MQ6i>x}}kQ~;2F(3s21+0Jassj!U)+AI%iUk?ix?jxjhkhGl zgcasm()3r?nQsr0)Ruq+HmP@=)%^j4NY0K1IGd?yrz29ax^l%uo+Li=46OE1Hqo&%d%!+e)a?U*%;0#D0$aj2LLV!2>-~f#wS~`KQik+hi3Wt z(CgMaC#dmlj@Yz@19qG|$U&*_e zBP^NI7p~GS=9vXvR1(*9W>vz`tLM(^J4LcAgnaC~Uc7qYtrBuR{~SD9MxFII$9^6= zILaWg8ix=`Rwz5^U-BY zf(F`cze02u?DpcHev zg(^4LYs|31YRUZAbOEqC-Ad8?_;Ly%T6ZXdi=j`H9*-?jk{T`R(o+Fsv+(5PpAta` zamaS}(XuY-Y)bYC1#*&_E$cQS0g*+U?r&SxkwRxj2cSUhqapC4a(3^jI|4GHz^iyH z>z4K7nv+A2BHx~bQzjk`e53RP!?{OMRL;Ie!{DPlMsOSRV}#Ae-LhU>-sUlagX)hF zKR)tfG~(GJM6Gx{m7yionU|Jzs=@G#n}$0Cg-gr&CBPDiqSMbQCcz8*ualPbz6Uns z^$>GjN)NhWK40aOU3aK>e{`65sCa>|b%$wjV{@1wce6PDuU>FLB*9PppFi|nKOZwK zG!503D5CPPKlC!|;>K#8>u$xp*JcA#D9frh2LdC#x$QHyrCcD>iaI3~TyVWQK}rRJ zR(7w`zK}fZW$IHV5aXWKIxT{XIPEp2WbIur4|sABX~rfEnR2x#%vgUevyiP|U82~6 zQ9!bLheI!8Sd}P@oDg}y6DZ@Wlh5~|>1!r;+4FzfG_R@#1gP6m5`P6-3Fu|(<*H~u zcORMimbvG;WM8}Tkk=glX8yD1;#-U~zyZrVizi{8g(tNAM%5+voR9eOmtT$^t||*P z+rRd9E6r7S5q`B zHOcO@)fs=dV6ZpjpJZ*V==H3}`ifLESQd$OphQiZj>Us2QliHy zc9earme_}gIzw>|ed0BYB_r-3Am5;3SHRvHROTR3p2z?zw>+TaHK@?#!h4y}(Jc?= zqYn3ZRNgdPZzd{3S#(?RhRGE5bp5iOq8>UgX;Xfl5(JS2E~n^cXgkIL{*Fv&JBn7y zbZK?Hy;j$G_O3NZ3)1RlkXGOEm%i@pmvN1^wkR9*ifg_D-)Qtk&UhIqIZ|VretxRb zM5H~UslYIx9;7WRBI5>tAp7*2Q)g2FUTmb!xFSeVTbokFf02~~Jhxe~ivJ=l*MaxC zmCU9h<>k=$+)7raq9o=3^zEtOe0L%<2TJXpWHuED6fHB?aXLn0sH*#;V?+2O?fOG8 z@(toFOzF=CcDRW?YXqsZkE0h!Fo#0immfE+)0v^NA(l8ho}->Y&G+c+CJh5Q8@%PD z`3WO4Gxk*rk&Tc2i=*`(V@^@+2m<3UA@)y>`E6l@1QCYt(5J=*^|OpEzc)a!6GLQW z@L@#KB=qib+pkj8MIPsW=O=Z3&yTGDuBZiiD9I7_Amw4{Uqs>dW5pcGNAOed+p`3}s9e^YYb8 zFW{(W-}?4I!42TnZaj~>gw5CABH-(0+@j5zzR;ig+h6m>mm(LgbX{KkE6jmPu|kdl z&ZVH*uw7_`ptUW6K&(r518kKr!DJdn8e11c@ry(-$g2V6P9v6Aq^)(qBluU~kT3z) zKQ&FQ3!*l7l2{8WM6g2n)bxd15b%1o)S%&b4=+OOyzRo5p)XVf&zS6?g1Fp9kgCxI zF?&k0(Ewh_#O8cz@r8<{XSnhEn3a!nOZCqsJMND4zEjh@OSFS6Zggbn*dnzfo#DXT1~vr+kr`QAA26 zVd)jF7dh*P9B6M3Y?!6N3f+>kR_2LU#rV#5 z2H7GaLwLWFBpzpSAk$6OL7Ev?E0M0DvoR2u13e%J5|0|4T{GSz4Xk~t=sh*cvcU98 zoNFtdU`nnM1-GudsGBD`Q6%6OCrJr&p^G<_#V(($y`AGG7{vPU{l{YkV|Z4YJcw5*@P4>E|UgKH8~9NX@asoFH*N^UF~ma z#8V)Sm^Qb4{2R7TJ{1$}GWHK1S`TAzT-g6Mi}p@BaN_0=O2F`XKGtGlm=gZ)OVJ|w4(0i^V8ta`*NybDq5oCDGsMiJ0Td?%fo?_$-TJ~u!LXd{n1y8%J(nv8zZ3P+i zx*#&p2>KYKVvZ?e)Vv}MuL~Z|XWBGaDzpi;f;7BE@VKYV7eN`ioL8jbbwQkwG{O)B zE|+QWEJ(xag6PPhUqZpHMX0um>nRQA6xYaBvhS%4GU8WyFNoQ|PYf^QF zq_Hw%EUURDE;(tUi=k69nj3=}!>mSo3L!gaN%hcSV3(eEC`fJ$?%>KpGJ3XCMEB!n zdKoqIB(P`M1G|{%C)Z5RyG4tcemrLSlfUube$Sg@BAnig)=a}-p*;eXQ<6BgMzu22 z`;Pt42zxQ1TVqc*8H(w$Ef{{7HnqM0L^bxRGSkTX>ZvhHO}k@b#cZa#J*ElCibzto zg4s-i9R|^^M6(z;-kds{X^Y_*_U>((bDTPx>9YdV7)UF*ShnYtnLe(;wJzsA?7=`& zTmHsO9|$Oenci(}erB9pFkSvrp1a*%qw^gdm1fO+ce*`UdFb*WkzYFrlLlSwsbQrW z>mDsHDiu7Kx}7W5<l0Ah;+_ntL#D(&Iv0i-2`v*=eg1TyL*1Zxv5PdID z?I!`MZO{ItW_?CUn|8)M;ECm1lf+uzKl&yA@W=ckQ|U%qMOr7?U zgE`%phjY=g(o;Sz{#{o!p5XJp zNc62&nE@Xi|N!n7+ICl&5fSot0f!T8dVtOON=UNWiXIG=#gkyZ;VnO1E@_BVZOpSzJ4<&{XaMCJ~XC6|2x}cH&4$rQn{&YbbuEO5vLvNxQ z^`{G-_h_czsWFlg1tlsb+l4Pf{po^e;sALxG#Fe#%jtxm=+7dF6p2b==$w{)k|f_qwR_L2s)vW><4BbxMS_OO)P4sAefGtVKqq*XeUI~c@!&KUoAK)&gH#v7pnbc%*T5e7OLgte(8qfTrB5onoOJxL$Pe(5oim|KVnva`umYB%=yPr3f`Q5MCK1odRidr zQSee@_%$AIW~pib#RztpI#HSPkE2|@IsaJN6w&-IbUHcpNgu&ajmClNNqxmfaLG|t zU(L_iFF8uPx_ppK|w)9RGfl3Dsw6LwO(? zheg2jD}Cp2&1Iq4?_Mh%NVG1&{0#>4_ZY6{88ol+9Dr+4uKo?Jg*-Yr`EfXCwp z{F870r~c^Q`iPR!KZ5D4izW%#+OQ@F!fW^e@Y#f=8t_8h1@kWWJ`+S-OC%t0MeB{( zq!47dZd<5?%Is1pH+r`~S&@D~5yZev7-O_uz!6YCAXcOw;DP`a@)`jx#7J%fA(j>C z2e=?o4s5&NskM#z-sV9x0+OmmSqgu6w-z~?Z5qHX`ysKE- z$0VcIR)Z27YX`SQI%U`rxFCgY8Yy&n%xe$lV(XqHP_%K-!cy&g|{vUhXBDU)7;4X zwwd7yv9`yHFNt%EY^n38O1={-$}|+B!M0Z4j#K9}H_&AU1_S(7QOO~g)7%G;4oLR; z)RBd!&R%E4nlT?Rf4q}n^*Jk?)7%J?N!gCXIlA}SDnOm)#uyfy8%rKeGIJ|0S*5wp zCkE-1L{h#@?@Kk>e<6Sak*$}M^rlIjPe$P-NLDM^66EQ>Mz3JDyJ~ZD4qD8(9x~J^ z*u>#UdWcY6mv=H-+qwXH7H8u{_jv$vY`#>aZ?Gb5kY9iITFCDWA%Jc9#jZcOc75J0 zTI~AcvFm^AlVACXcTaWlkRw+6Za|H$6;i{#n_c&=5|G3iqb)jlXs&aY4DGrLq7jv} zvgqVFv)7--HCCj9?}EoO$5=|nd~2NWAxOJk1h;Ea;0^cP(5|~+P~W0UsO`ICt={vFk2rDRbo3*BfE}%fC<6$PZyc^Rx;n7;M2udQn$+%xiiaGP&*tb^kl?sl6d1$8#sy94c*C4tw1DCtAmy*uma)+(EuVa@UgYANX8ExK^TfE-!LL62UbuN zn1Kled^m58ZR1W3tVW?rIj};d@_GnQ6<8g1&}R&Sh7dd?SM%Qo+-f5To{S$FLGS=+ zRN;rVTk^hYSl0%M!WHdjhecUyND0Qa(N-X)w}grT2gr2hDgey)2UB8fJo6bG6xAJ{ zRMn~=F9aEjR?ZP#sOhBUEwZDW>1>B1d7DrOkB>lB4et?NI_l6LvfNxsv&oC;M|ilE zrTPk@fOZXZeL$U;IS8u!j&KIs?jLRKty?f;1dkhX7$L+u!$`$YB16 zK!^r9eng{`4w((<$l)`r`(#J-8T`~hLnHkQ8w)=UgG=bw#HmH=_^A&--l`x{%Z(s? zhO#0E9e~g!E8D(D$Rt6VOAYw{|30tV_$<@QiIusL}~|niBztV z|7&cXZ07y^{ID`{99K#0I|E^la2$nYQA(p^S#<7rSQaHvNC&`Ku1t|MsU}m}Hm$b& z3KxXc!tILA+y)8TD&>RYz&)pv17QO($!jkE&Lf$GgM>mo1 z!y?=_+Sm9xRcPKJ*(+^0@ET+&S+!=qJ7*BXO7`M}E)6P6>||h?HMSEp!8Z~LEb?Z1hR$| zIH7AZ<6*mSja1A<5c8i@--2|nuG}d8)CKWfePp6fZHg7EqSbi^S|qth%%f=QV0F=| zw6`D#gc-}HcUb5@z$!nu@H9HWGEhy9*M4{e;GJbtA(*5L}&-{wunyP+Rsb&aB zT1Z3%-&Ss`v&~T?CXyDk=fTpifRMzP#UUj#!vWs+1Cwx-+8lXJ=0_q@f~(L zRF^&Ht@oULT_Edpybx+JmMMx;XI~ey=12U}T!tF)OXn-KqTTpGHBuy!bo(_hi>H@U zau?BcnQx<>u;i3(KBshfdz?M|6MoyP;1>S5U;dZ>$bTDYarHG^hq>ngN(xTSfVbBH zTOVoQ_Cz##BU?m1przJ$rZlTqQ5ejd1J&!cI zg@);c{|Hv9F)R~$u%P4UXdd=GkPvm4e;G_4(-9=-Kknm>sKZ$9!p;Yr@2}sfi`;elvOZ4}0(b`0Y zBWQt%v{=M;;TmbjT@YiyHT#TlUPe|Gf{aC65Oh-L9Kwo+ZoO9*A_Qs2iy#Mli{SpW zQ#P*g`i!Zi*`lFYliB<78>*NF2*F`=#b-jd!alIlfJa%)XiY0Z3hfE6Nm zP9!bspCt3`!RS(-pz18%NiyG`jz70uXaBqB8&p7kpx$;q7D-UkYZP!(`9^2_I=Uty z3-#kB^KXh|8O?^d4O&U?>zwpq!ZQi%v_^8l0MAqh!i=54aa)1E zrQ-qCt0q1`0NzGAp4;J!Y-K`2Ivz>-rr=h_% z^NRxZEKiumUi7zkFSqBt%)3R4_wsl;s-Ah{7yQ`I1QD3Jl5G~ETOT=-kI4nHZSlo6 zpVPb-kDXH$i%j2j>^z)>*q^N^9xK*ah|+qsu`#S6n6nUo9{@oIe+Vg6&~w(;SY;u$ zXbY1sbKKYv^jMKPt$Z0|45X4o0`InuEN3Aw`GnX-*2PZJt6b$4>MX>Gz(7iF#38CA zsq*~frxQlDs^nHWr7OwYQH8c<8Ft` z4Lg-Z-AC%MBKRiDO_6(np zSCx7q+}-lOaB3Pa3Qo^Ni$Ux5z-_w3?b)FRqUuRF(oso%9t0}4N4CSy*=<)Kol0)g zc_p=dP7A_Hcisen`gm@qo(beQ^ygu zH-b4D1nLeWYN^o<&SnuS*1_NwoyZh~vTVcu919)@p0TLWm^5O=9IPK`lTFaF^C3uG zYFliCW99*|Ek>woV^|1MmAYWJXGE)5bQ`x7f>p5Il`s^=%QpTOEJm!k;eaZf9A>;t zQdGhEX}i~+I8-J2L2wS%f!g40AdBKlxg=F|HYqp zZzxl(w0i7dbyqMPIpX-d>GALLb#=i5c1w_f@vA*zA}UiCgzHSVi_O4F)tF(jRHiOi zdVB$N=n*_onYv)~_zn!`qcROaN#hdNu@xUSpPI_F2*NWJ-GiE^X6P71u?xq zp>pJRQiUIa72?=tA_2*}W{Ozc4vh6vuEv^W>9C`&|yQT-TdJ9KYTG$e;6*U+_CWBhYf{J-ePR!L0UipiG1oh&Gg_o_4{&g%sul+XdB@ zb36ggK-5c>k;7x&J6|!d8DK`B^Ops43L&5w8!En9Fz0xXm2hMRs$P}gqze?sSG*p?q=guB}+yxp!XWVVp1|n<{=488I8eO4acDBWk};T-`uknAuyc6$D0b9>xp zJ=qiw|BWWKt9y7FiC&-4EIKqP%G~Zi)v9ac6lM}0BJ0!xJlM9a3+OkqKIdmS-L?Nm ziIl7~&5QJ%)a*GvV{!3r(8XIOKW|o7&z;xTSdn+V^z79_@AC4?@$=kLk)L)|?h8={ z{0N<2bbv7JI1Nkx7V&`Rc~AA&=c{9d!lktM*BmalNbmCXpZl|)`tws2QKEfG^ z@V20sql@TTM1GfoITpy##cA(di$KWNF22&cl<1;#Ep});Z&u9FMRYBqpsPNDt%1NM zM;Dk2WnGI1NLo;SRh}$ei@@ZokKij#8p>i{$_KGm52hI@RqnD9-;fdGiSvICD-*|Y zwZy~XZ-M`XMO+3-RhTI$Vdr3GApA(tNR63-$GAn1eOuAUEvZv#LeZhB6hAaA-or(7 z3*!4`5Z~YRng8V{{GmX6>!=UUr|A|F{Bs9Np*Ts?h{;pPoNM;W*06ko z-2qM_hAadb6}#YhFC&jpmOf&LLnBCw=Yrk#KoV4}h-!wK##oUS&jn9sq0~kzEx^?6 zinMqx*loyh!eAHG*LFoJFBi0^m@9&6rMX5;tLV@ijGsXBKLc$ro!|pK0$T*Xk3_{~ zO^l4z*c~jS>N_M!!BNUc&U}AxaYR?)8-c8rjz%R}-5@}a^ydU}OMB7$8_jYcG{o&k*)+tuFM4prOlNzq z0=@7$i^Mtzs#U&wIfcdYPY;F?oZ%G2CzS>&$Ud})@1vjNn9mW%^J2QvvF(vZbI}sjr{g z$)n)Aoi^nSj&vs=-*C?_b};M_z9tHK+jH-D_N$F@bfI#4wW!=wQBK^VHf6jx7&qf! zeDVH&c=_ihL+ay}@MNeXaJ7wrvPWa@98w3h(Ee;=oIPBvLuxdDk&P6{D&X4M7U>*P zWALeQuy%c9bPlPH$Uegf0x%jd2sN{D>KsyIXav&oI5jcM7lzboRt#N0V3YIDK3=KTm*^}3`MLPU2+aN#x=n=r7_9vN|nJY zJwu0s^-zb^VZ>%zh>yKzXzx7TA(#zbsS3l#mElS`eVq;70S(a-)e^8$pSvU9u~EE8QL;Yn@}4h}tEQ>9)R=1J2$`GS+cPbb^Da z1qS?l(l|N)=2B;NNo3)5vg7%<0gf}@omnw$GTIF`N9^kuHgM2D56%8)1k)*p%=z`w zLme)9m562IF#D(6{X&0Xym;IKX#^OPLQ}!RrO*_yEs!n|3nF=Ok!eKhQx!{cUdAPq z;g38$M36pl{fx~oiKQP8INP4;i9m@ac8O(QrC4^}Em~sP$J1c^@BOW>{%arhQH82e zb3fN7%lC?c&l%C2j!il6bCVEN@TujN?xq|#8>Q+TYn6=M$?#(2z+sKrjCGZZ74BDN zf)V|;d1y(aS#r71qk!<+T21Y$kg%?jSG7=eY0ehEQjg0NnG6)14HVQ3xv#n$`kSo2)QKzFVvIO+4J48emF|9rqQfP z&nwnk0}{+1v)f4%cC}`8e=zV(C67BvN>q~h1|8NXbyiT7?L+7@EnEkHLa%3gacy1wQ z?RDiF>pj!uhLab16+>Q6I6Fh1CcT~3`A}yfc{{yCd^>9leYzFS7{kcw%s00q)vX-l z$=g6<@g*sAG*P1`nS+=v?fSLnees2!-0{`(ZqeckJsw}^*FN*7UjE_fhDoB& zSd>S@B>jxsV@PXjSZlO3cPve#h`rwQNTz9QUGT8oF*Jr{%v#HMndy5Ctz zQ|p4Got3n-E_m`*dMFp+*w0^vhSmi^+OO;&FrP+CYrEhy(ayRc{{p6tL<5GfY5E`p z#TRl(bbWFX0Gk^%7n9E|zK~0TPfdHuPl5}mfAR`Wk9r1vYOZ3MF)#u$ zBASFslKJ+WyDis*w%=?FPM9R~{ej=2t(C{KCs|x_(#KHKY*2tS-%ZT1?wrwG*%)s< z?oz0|9%Y#n+jwVkcHFm+T~~(-0Qzxx=23WI#QwqxYJmiWk+zX&nVu@nbiy)lJ6s59 ztV)~WCWl8MNCJNv*+Ge9Q+O2hCR0Zb101mBFLf~H(u&MmzBP_A7W>0~>j&;nby!9n z7C2;8?3S8Ixx@xaFOOQXPc=w%8CU84ZKsE8|H0x!N5SD zp=ZVT#Hp+Nb-_RnOm5+$;VX_zZkg0se2L|iE+14|ypAx?1Bz+EKv#iMi^-Dhq=(3_ zlr~>g4D?)0V=u>qA{){)zvb_J>gT*aDrGtq(+P}x1}tbDz?BC-?tp5Q(!bg+(=@RbAV zdfMm_f|^eAi^Mb)IK-eO+G)-&pIg&uE~#ZYku)BUw5CMgCJD3-$I?J@d$ulEmz<># z)hoIH)2TZ1QIkdCTE!=-<@{gliBEZdQRA_@`9z8Fvez;*;09*~km3nNH1*TEJ7}Ry z?=liWY43c_U3;1*l-p7go1bGid*#eK1z&pM>dW5p>|5U+1Kp)faLzDg8WmTd6St_f zKMUIBX3#D_{o)t?Oyb= z_)gkCNGiLAn_A1W;7?&@v#-}&q-VT)k82RrRiyV7T5MXw(5i#*WTAD&|WJNU%Tq068hH%AmXBi+X^*I)OEaH|&)&sbifIeVohmT#ju)-AsGJ3i^fUrO0T zL9n&pJk%P|lEnt~?YW2ll1RjWZ_&x#ouFB2Sd~N~CYZr_Jwb^?Oz^PZl4MQ5c}Fd9 zIH>BGrzH|GK@3CFiJ@pv?;+155-~v}Ji*isRfZg)l#er@OCk|JwGPW5LapEUoq=CS zOKNHqH5M7|mRGF6d6)`)6wE(nRTz{%zD)(rvo-=$?1AFbdQFm)Y@&Z3om~dV9R^GE zB&)lFK0zrnqt{M?wYbhlP`IH%uMe*-*07=d;*;uQ1X}i?Z4O7#SkH6F&Eb!vYdz5N zzX-S%(ESa9?mgY8kFum2H-{)1Lr-IZ*#bj*@#?TaDe&8%=RWhS84OBqpl|| zAk$lZp~YJAk2jNl{FUeb{`;mUkI!u{FO$b{PYpmHkbw%VaNHqyfH6net2d#GR^K55 z!7x2Q7Dub^g59P=4>)q9XK=`9oik2NtM7t9fT6#S<;%ml*YQTH?}C6wklKxcefl|C zeHTO*I`9$jBZgYw#p=5xW<%*kqSJ5Nf;7bHyJXN?qa>>R0JaNdTM5Wq67<%{;Nt9{ zp0&vaF8Oe_Tuo<;?G(EkwtZ-l6!(UCE(c_b+w7LjV#mws4}kD08&{73{N?uB|H6(h zRm2;tBEpWR_gsc>fz!KyzgT1BV4q9jQogwD8c~-t5kKx2TOg7dw74cKn-P zdG}Ax=$bdyICRY$UfNAXjXJ!bYo3$0VIxr{yrHi7!_j7$@B70UvmIyo(Cj!+G?HP6 zFO%)Kjo+qu1_x^+nC&?H0Ya)_@R8+cv6ht`FPQ@5z`N#U*A;d(i}13?E2c{Te}e)1Lyt7GhkP;ZPj08!yj!%G_W3BT&8)KaX3fO> zw}1L?`k8+rC}uUo?}>RYp~YxDjO-k%nU+p5v^^W-)Yd>?N!Q(1EX7p_qL;dEgvvG3 z`GZ&1;5d~GK4N(q;@pH))l4@>G>E~#vHsikb>*5VdMDWC4MFsnw-Z`&%@i4Pt6IWM z>2+WcHtt^=1H;*0FC$uzp|3Bps+l5lkJN=ou6xaSRn7Fg0sF2EYw2xd&<;24`dTk1|XMVb)9j>dX*U>>(R40JS$Fd)%C}%HvWQaW?!ecN<)H0 zI=L9$V3u+V3(4$dt@7vwt2#KOxaN&z_t5Tfo4sO7_Tcui2d081yuii9cswq~fA*^% zc>m8w&!Ub2b`-J=1x6YiP00*piQCl-yX~@0A{RXEWil>0iT1GgVnRcZE=CbNU?8xz z9Br-dCj@E2T<{F4Js2jehvpUt*#nnE%jaGoRG7_comNSbO=~U* ze~CmgNL?J!V_toohc?J!xzvJQNOW77UE9bJxtm=x!WZ;v&oR5YYCW&KwYbUhHlr!E zTAxT_#F@&Jcx?|jhEY}|FH^%LTS`4Zu`U55x2!hoi@u0bPljhEk{FQ2TtZJTZfNSA zI&_&1J06K83DmwvzsAE zjpr+ZR71(Lh<0k}z^L(D5ZVc~TSft>e=-UPaFA*|7sQN6BknqEdP7Jmw3D@r0>gxH zTJ4e*+6mMks=z^%Aw(wmEW@7EK{??12%D>a;|%==&^&0D*wZPH~NgZkk9Rf&%9(Kx*x z+<(xEe(+D3G&F)1Tau$UT+fz&D(jV6Ycdb_uMYE~rZ^W*fQaZ?y^P;GJ>{$82_dQ4 zbq%58_l9J8%vZ)fix+3R-}bO=={aAWP-4g322KzVyY_{U_`+`f%4+PC>`v_)p{?eI zP3b^LBNqFFAg}4+52Y~cXFsnGM&t*WL+|YQ9XQcV=`5s|V zFH~7yP{!>|R;620n9`-$Z%e61sy_R$iylxYuaXT`-B?kg5bJaBOojhs5 z2_xI#G3>e(Y(^Zv19M!(vIhY?sp)ubr{j;S-60>pU+pRfY$tDdI-c9Z-omcyc zlNbWk_m}N-!ozCcrQ`Rz)&7vLe=qM^Iw7~y@u$`Pn2+yP`|A7eo9{2%>3CdkzW@8< zYF|09dr8Km^K&~LPc;~uAT7kVEe@pqTE6+_H+4yA-noY@Je&n3f=&F^WfMzvo8)}n z!(tsQK|zt+8$DU&Oup@f=bsk@WkE;Aj3K(AC4wk|-gq`8R~8(as=%eoq(a?5&sy&s z*Bx4bo;+0A-9{0fFI>Gl+UlBNu-CWajm(MVg-SN8d`WJ->&2@V-YV0Xl ztrnCo((l(RKSWtSer4Fr{y6wj+Z~I-lBPTh0->SR}bYk(`LtV$_97) z8n`QrjK1={zxcI(CR+5=VeoR3xes_ZeNZ9B*R}3g@<{H2OmB@m3*P2ED;u@Ys`@mo9DG{0-;a4 zqG(5tBen;`V(PK=36+!T0}bPj{vr&=0ThqTMb?oKTu||q+27J$Wfd7Aw$ykB6B~Lr zr;d#FXO^3AcFt&Q&z^4&9Au64jx15NlKK9eKufJZ61WWOA8FFJWB8B)kW0}i64r!E z2Zv4;^Qg!Fs@QP0N+YAWJ^U*D$QTv(;_NSc|Am>*+%8T{th^wQCs$sSY=_%1%1n5V zNws5g94gn~I=6rvDHgYs8&l0{&$g@Z__+L4*dQ#3D6W&P5(f9m&qFq-x>AJ$LY zZ<##@t_`eNZLXWj+SAP_^eZ_m$Ec4c?srV%FfWbSsjVQDfv*T49XJMgYVeS0CEs=- z^};(@1a~{AUakn8MNO2`>{Qk+2vxq3`ut%JrPT;hSrXhkiRDZ@@J22xb^eY=~9osy?Xq-ThyAQ1^XB@dfR1Y-35;uq>n`qo#tv=Ly%_O z1%b*V6oAilsIUPvkw4VdbsEpKVd^Fg&ai5R)YI$#@4@Rg*i zW%}GVyi>Ux!^f7atEKwNv7^b?>l3W63g4Nj!wFw&G31X2Sa<#(-*^8P-dJEJigI*A zUSQWq0wPC>I`l#MVk=xcBS07I_B)IdgONmwoRnfSI-G{w1-XA8K1i(x&R=6lOItCSHHw1XU5W{ z039av{#6>&2H?gR&Lb?Ld{UF!uQn6t@tQz&Fr{I?AaE5n{}(BmQHr=#K@c5xV3um0 zs@(3d0tKw%f{r`jm|Ds7NMlWmHqw`5ZB4FzYYkK4*9p{;J_LCG`jY9PUL67dQe*@2 z{=KuOr+Rf%W+gn;8^A!@>r9XJ3gmpsLxGuwr}n!Gro^xFh?5H-3oW9Od(W?wU`kxF z&==w-*b&tclJg#GU=>o5NFBWDu?AQnJ=WN&VHHDmlw(LdtV08#7+ zqKulplAtFPsbXrPCf*wrh{41ah(Td6)d9I`-l8@x9u+7kk95HTibxl%!~Wv@vK{Gj zfHuI-5XYmi&bSdRV0e~y>pO3PK$U)%?Koc0NU^yChNHqn0FyC}3BmPd5CbqK7lAlh z)CMpkd;Gp}{Sc_GU$*0d73Gn>zknk0@y++g0r~y`v{8XrfK&h|Bp)xu(fIvwKt3Kn zSl-mf%TW{e6hTuqu@?vvg-LXn+z7yjQ*DsHU+^NX`tD(?2xG1zH(i*UQtK-#@2OA= zc%v#~jFswH2`^4^5p*O#lu3r=O5J?O8|NX#m)DI2K=IP*`4?Y$?%mJ56qtqbBgU^y z-+sFiWOo>X-M~1=sY8SaAaSR*QGBqEuH+5V30khVW&JOn3%ZZ? zd#ylorUathsk2UD|0yjhDv~;Ifi+lwOq#o+5EH%OK-tM0Cbk>x1Q4C?qe4tv5<#Fg zI{}rV!CN|edVW`Bq9r`|{@K$5yt1j;tivh*xj;t0d1`g`^aQV9uL+&TW3*w2FfMyA=RJOw_h8GQZ zZu-K2dRI}+1T-5zSoUhQ38Ofh`fHU3l&z(#z1~RN|@I;N~&+hSAb>?oHi_a*Z-yPz2F{Q)M%r zc{(Lsh^1l64`ZRTP=iO>Lye}dc-#YJqiMgbnJwGZrV@{1*~y9qWF$Q~-xw9=h9A^K z7%T@CNVn@mi~d_K^x41kJGOL(!yUt|At#3H>m^&*uQKEfx!>b|hh*a4D3wZl?#K9F zG?gwrx=%unuDt;fBUYuc97ksK;cY=Zs=)t4wTL(G7SUq78n-BA0rVq+;qsPO4^uyf zzyqw0LONK{SwR-zDgqgiJdNif8AiMu7}*6Y7WH zzHUz~0lf)!n4lrpS+s!n`WGai_iF_Cls1Q=L7;655bw(bOTL3;kGdy4)F zxxq{?5qL(*?-jdhYh; z{~m3z@0~xm-VUEo%nVK|#av|pYkaEPTPkzEx{2jmz0Wk@=e_;=fAQZ*!H5)OyyMSm zFn7??q$BV;$=>DKjE(8D z>f&inT4AoZ0l2U#;?&heC^xMxb_dKQXapq~u@#X{lEa$-R>FR5d9HMobdFAJ>GR29Ty^HN7G>TMvp(x-t%36sC0B{vw3X zZN*=S1Mi7A{*A<2`1U>E6~hKyWjG(07aUl$chc)*;$kQ<&XK!h0dGDF=%64Q$Ky}p zJ;Wj4@zcHYm7yIzp{;t#aOu~(y?(uUx2QEJx0S@@c?~C|A9I%Pb&w%^=KPs|;Df=L zqRvA3YE6T$?+h3qS-( zaM;ENIgls-;v+CZEhs++5;-(!-2S>Yhu(Warx71uvbvi2_|`Pa{$)-=!pDdM-b-8U z!}Ai7N6^cO`97`DhuFj>Rc#JG5K-d{PR>Ra5GNNW+s|%2k_?Pco^035mDBT9W)AQ= zyFQ(MzC9S^qvQvOp^S_;oxQq0=QX<@arX7rz&tMb(9T2h0>X0;+dl);qH>mWw2f)1 zUlqKS#=()`s*QDom^Z~52tF#XLyvhE>9&gLO=%lba8gGjz&zI=alk<;%&$^3rsMfI zMKq(J@(Au2uvEbv1nLXsb~;{|hSTwnhHlZHCxR;hyCi=iWeI@JNP7v^s5WN=L-ZM3 z16=A}%vherX6Ev(X=JSRm4EEhj{p8-_tpkPBUHj*^zC(#REpzt1ic6p+)z_i)*A<~ zeQUL1peZY>4Ip;3OauI74@ps}b#SF9&l4;~tN*iF@4ynQiU_pmZQ3W@dHGSHgP{fS zwOhfg){&TkA%SXRyGz@OR;hI`p(r>5YTaKRDL?_o*gdns`GylJ`H`MM^K6(q;A0A&o%ZbMfnIexkRLIAM^dWqexxTFzvmWDycladsnwd3 z#=1P7<%2vff;+WO`8k#TDwpu*6v89VDfVWw&CT?jV!`S;<@V!#PDhZat?5-$nrt;} zVQczOSWC7Jw)P@hc_f`!Iv%#NN`yY{{w(ng()j^rtP-KhWE#GLY$sb^yB;mDRDq)D z_v>-?wn$JQNh^A_DI{pyp9LgnMKTLOW_814JFfqc`?Cc38owV&b}L`4$I9FL!7A6O zP9*EE<*pApsFHF-j}-he25&TsY?%V6H&Q7~Go#ZhinRBz7#gn9Kj^dUt8+hoDc`J| znbJB{N?o2jMi>N_#+~12`*9%5lw4V`kHpcXmmaIs&2wk=U7`0l=dKLPjouX=vh-M` zyF$OyCg`AaYcL-+E7Fs3!S2lV zFP!>(2FP2y4nOsLuS0#)>wrl;ceQaovxi#zmqtdnR>|j>AdBKV)}pR2jBA# zK08vinm2FjrxsYlp6|e0CNG3Bq7&^NQ8AIyeU+6^;6D@KZ5h)AbB^ch0RO%?HNF3ZkJ zG9#ALpC{B~N1$iQj;2=`>Cm*7+0klqt|qmY?r~|-dCL!J(m(JcU;iKfT=-zRP^(En z`)mM{gA*a!8R1$<1Z3}j08FsIRk@WWEpQNIN$cj{XP!c$0~&fzIO>@G2qcKyP+ep!aKsZM5>+s8w^%+>a6fETk)juzzv42G=f>-fgwbD zdI7zYnIjqgmK7eR({hjq5p|m$8%0)l;5t*zHK^p?m#Gxq8Nh3awF#(eUF%xmtx0yK z&^u#0^dD$a;i*#UJA|t1HdZ_epO8wVC`8vkp=0FJ^@>hc^$dInKi2W|9w&Qz?0=UA z+^682UgS(lCLlS3@|uP-c)JR3f!8hYx)9htQAO-ck_H7xC&CWb0bCpBF#_bR*NB8w769mkh}< zc3fI1mmFuuO%L^o-4+T3Lh+;rvPe($3NxS#WeEUB`}j*|k)Pd0Edh?P$6G3nr|n|L zc6zP>@fhRgO%L`sH?Q;&`PtbIi*F~H?hU0eU#>~1zGh0uBo>Cu8DB^Q=r>t2U+i_}0K z@K%u;3zKd&{}>csuUjTvAU%?f6gJ$F)g{scEXIi|m$bXN9bbrd52;4RE*lg}N?cIW z_Eve{1?llhVsUx)mHY30@g48dQ4GsCVj(>4V|@BPJS(oni}#J!$vEPV{E5H(VV{@S zbcHa%ksiiyfdY(=BSv0caT&qyB1tzRf=T2%9l)kFr;fa}F1TlUiuGDJD(#ArbTh#i zN4#B6j3X8=36L?6vGJ z704WY;x@TU<$qNSNkRLNsbA%v2I&eK4@@>y8smuVLrXDx^m82ZIbtPq<2+&52iEkU zDobyT9GIEFqv!;KAmDQ3C;rlP3m!cH z<3PI(vn~jSydq6jSrM2r#bF({NWs*nroM4OkXIl(!Ga&)2JJOcwYZ>NBTl{EG($5V z(FhicRzA2{3$xPOMUiH=Y!+)r0o%yUD^6Y~yRHrwG_J(i%*J_oyl>+c@aQG*F1nei zAjUTB2z=pMzP`Yt&-{r`|AsFJ$dkTa9*p?QhBmfEb3FU5XJc5rzRHdJT(m;@@Y>QG zu0j0ooqLPsxGBx?<~MDqSnQ30D~vc}ojhZd?XmY_&)C+RaJ!g5c_>n^dCRw69otzx z?r;2WZ`%g4NDCIOSFC&ioOj1K8ZBtOnUytvh>ggm;6Xz3TxCp&&bz?sT;IB$YC)(T zZMJYUu;Re9fK&>?iYFZmj-j4S4|h#O2tHor*=72mtpue)(A_dW1~WZ-J;|vK1nLY7 ziIB7j&RtWN%<^&6sc2x2s=4w^m>J|5uE+duI3Mvz7rqs$jFE62&mu~L_+^n4+TD3d z0g$OEv+xkop-KKt-A$@LkLURj&U3dHH1A<+llny=46M=RO3SO4#m-cK4v!UkN^3y} z-5xq<-Yses{}RsMOgR5V-}xz@^gUrb)e5`uG@DFHNRo@7a|9D$6r*6ftPjBjjR*>Y zd$x9sg!3D;EHU`TBsQagh!v}FUS$}}FPa1aji-MZ5Plqhj78iJvdy-;Gavb=O&~=;7dklD=HsaorrHE z6@nt^#TTg7WQD*bqqh~a0n~K+nvre~6)Ok4`A;hTJWI|Al|NbamZeSC^4y`Xk74K6>OLFAwNSuK!mBeKF3Z zpFdk?f7&mNJ{CiMdxqR@`NS<+47nmS=1feugpU8e`^|sxV?X?(-tY#@uBIccMG`mU zUQIq9b_^WB=)DDLqF5^1VRIyK7;F$_8F|460>H(RPsYZwX^JVGF@}(TiT8wOOB`bVb$X$)jv{4h2Ss4DfBe)z$8K>7BJ&jYIN&*(g$e1nWq96#sbC`i!! zb1E0UeIpx63z!3_(OT4oAXfdC@7NT|TXgJBeMmxek1%{m!3%qY-qp>#%-vC!!}6-k zHmJ+dKoV5S*~7>kX8+<;;QlXHE^`GawaWC6(}0hL?-QR?+R_>2O0JtDsM5eGc!tFO z!7m6ng=XoiV?HkrOP!qV2l-!A($VCd6B`S!*k!z8HC5G{-?R&TyvEQ~{JJTz0b#^d zmnSyt#hEa+OJZZbv0cU!UGp&YA(Qmsp7ge-zx`Do_bE>$=%WCGdISqHr;ab+0I^K& zH-;+$G$94|``#E0F}TLWV3RD!n{eK?jmctfO%NUgFoMV~fQrU4Al`RdkNN=MQ4I;$iXh9<(ZC0AHYk=NRuq58udxF)y0-j+y#XdP8j~kYD6NN>7qvR|1bFE` zs`d^5`@%TMC%0n$x7l5-R%QG#1wO$W(Bf199Ju``5Ql5i1vsTd$;;WdVB)C0(S?r@nsIV{Te^MX z#$)paA0w=mA0uw2#|S+>)nml%M|q4!ntB#E=%Z1sZ-p*B>Tht;pvY4FZ7X3zpi5i6 zS0F~2(hf2u2p|@$4#@Q@<%dlt6gt&>yu3&0cy34i3+%9XRnzgi3+ZEVz1c5EXUwi@ z>Oz-Mef`{y^Wz80n;L(4{q3IqT4fV^!A*;z@a{yuR_sMXQ`rPDE&H2LQ(tp$e-qbH zmIBRe+N=_OH{QWBdv&@nCFCiW(Px6qas(S3zT{7T@aF@>bQIP^cPn&jgM0$H!lCOA zYve~nGukdov$&wKcGIocNVfuhV_A`j8$LZn&@lvu9iFddMJi<%JSz-b8< zij3l25EcR6gk0mMw;~@L7o>ZljtVLbYPe!WK2k1t*m5c&J(>eszw1-;8E`=~kC5*K zW^1Pzo_vjBG>haJ51>kJ%xuNECCySK(f)v+oJP}UGrHuYF;Z8iPh%1$$R`l1F2NhyB~}Sv0i6i;~^)helQ}b=H37Q*?SjY+t#cstV1PD zXbUhMO54OLPfDyju8XY4Tra>xyPHR_HSydl8g2UI-tOC-Lv!~%x!p8Kl1eaw+D2m0 zfT6%(TaiFQv?{UE#6U!$zy}BzBG@Pdl3)jtiqU9+h9=)P9{(8sKj)fju5ZebIr$id}EAn&=K|b^w@E3JM1nhn2d?d-_u6ptyk)Y0_gzBZ>>$T#w#!| z+M3J-j}^J!I!qVh1(?>rE0;f@xUFb3{Sfb?PQH$)_8ELH*#ob zJb>HvinYeuZaDS`x)EWYrm^GK)EZ9*T~Tsvj(U=$D`T6mLL7cAYl1PaJ$k-7=m!Jj zE|+M(s&C_DEh<{G2@?%e#dHSOpsJ%RwH#AKMrJc`GK21&lFGWwaQl}>l>S#vtoc9x z&i^)F%cdTqR;MS!!~3c}lR6ceyW}P6eDd%TS)N%jE+b)D`LR;XncPy@4*k=@wd{PV zSpB82D(x@ZY5$g7K&C9D6FxVA3Fn(RPN6;T!1#81_Rr|M86SNa@zH6ws5MD*;n(#P zetrE%KlP`-{Iw-ttW|iPFSeSzTs6HmyJcTlAX5aD^9A|4Zrz)B&OpWm_ZS`w-ya!} z-O)M{{dS6O9O+T;9&NP+F z`sJqN-MDjOFWijy-{x!C^zZ!dp!um75_ z{G``Jh^hGo4>2wKK+A&gjwv|g*xCe2%5}i9beJZ=Obc^t67EC>gUZHNj9eY4&FVZ@ zO@@w9v^u$FhZ-FX%3?*TA760?s0`MPOun7PPa#M>6EJzNS4$A zrSS|YN$FKWUe`MThGMMckM(Q{@2)8{&2#1!&8F~XOyThdfB0`qXp*02v%TZxYLZv` zhlUgn;MD4&Y`{LeCq;!W<9{P)8~Q{k1acn0_3hi-B!7u{Wbl@(TSc$&vDPI2V{hGm z_y@wp)_A9ddv>cMXF&j*85rYC7u&)l{M~@AkAm=_HNkCPS>Dr=s`tuaDQ~N1dfA(MDwtDK^uYTC;8&Ie@5ZuAZpk%7cNv^6Vi~ z^Yk_en6z8 z*r$Bni|6b*W*&p$mbG5Tl@(q1ds~y=j3!-j8fPTw(%AJCiowKc9at&N%7-{~W!K?J zsChdqt!6F+vt2)~P<0_If={QmgU>vj?fQPXCu;!><_4o6>O)s{9f+ZnJVVf?L&u>7 zBe6}iO_EcPK7|xY)JsZrhD)LX5Opw=L~V3^meZ{@ZL65mVq^y@DEOWKrxD0tOPY)L zUln!aF5CbXqE+UaJJ>(uHnK4DzM}t)Z-zcmDkZDjT>D>Ocu4qEpcX)}aZpYwED{Pf z4-!n(w~t$oW)JcSWtn^PbHUhcW#?(}ub$_-DHEKoo+QfZd6-2nxZqba?O?Qqi zNm_lzfAG)0@#8L-g^miWCeKqPtx9$aC4#MBPFn5DKudJyx49_s(5=g>q``KpWv}5V zC#?=0jFlwTy4C0e-(vlu(lWAJRnn^D%aFX%y2ucel)9Z`$(NxPNVs^S6RmEa0{xFC z%(goV(ql<&xVJSUV}?5Px+&_co_+GW0{E8wP?LT>@3s z{Avk9%7yGepQgv&$rSgzq$)!{OE7@y!8y;oT~qqqTyoWM4joxN5H7Wn`R;62B!Q#k zQOB-VN6)thDx32aWlaHfE6IF+Fa(l;6*1UOdR{BZ>IMPL^~-6*Xm(iuJy+}_2N1i< z(b1+RqugW7vxb0rT$Q{T12m|*5s2@ioV{lRRQQ%7F*P+$Obz1sCy&YeFJiN%R$Xh) zQ-Oty49vCWO>sGk(?R+d)qv-U%VpAC#C9w$-&Xs}Qg$I3YfSBo=p{UixYSVyC9Z6GO?ySl z0xG2)018xk1!co1!i)D>w$ppvVouTolMg7qLfXI9E3G1B)$=8lThJUU7|Zy<&{NPm zNw12giQ|i6PUp`YWZD!@z*9T-akbDwlw4PS?Qhh5>R|tNcW;7HA>iV>pHV6lt?;A? z%Zux3XLn}#A)WQj|L#-Y^@q>ttb(9}gypCatzVB*2>SkDaeN47owZ%~!_03374K$4*kTIH5?7OaByUqjINUt+~dXBA8yjgDG;8iHA8feYR+d4TS%VAffI z$%7NFKF6~#Xb7 zS(p1#_T-;Y94~`j`o%x`n}63Qe&U%1t?;Fgk!#%#25t89&?LO!P;NS1*EH6ms6-?c z4cZJXlJ!zJT?6wkvR;C@-K(ZU*-V=&%z6p*5iGN(0bChFQXD$#CD3*eabQ(Z-`}e; zdr?-jCpks!$JLp=z`df# zd_0wcOxWfESchUQJ0Xf`9-;K4FZOFNr}F5a0_zQMk>IkdiL=Ol3R?@ z6*24VUD7Z+JwaUi_C0GR~L7)md zWjl^9BwexDEro`w{G4vR=ql=mPFi;2=XBko%V8s^xw@*h0s__XWjh^kx9DGk+?lFUi7Uv@u2oAb6f-t zeRZu64PF{W(A!q$AyQ+>(QimIOiek;zvZ*n_5I?@2heOOEe6@RL1^Jv> zIgUn&1lL$}02MEnl&!^hiDrm7J7*G9BwK$8#5|AtGtFKeu!`<)*ccQmYpT8MJ8EoC z8`PO;-t+Li4_~}`AeUb)rCD4xz5t2JWj=F@lF4<08~cj$QjC4=y^J_EQ*O~E zMT@`T@BNC^1g4GSUJ|rq+GtA*CBU*$yZvsZhzFQ9kkX~SiK0A-BuvmK%}Izm&a|;d zou6P67&&MehgeZ!3tthWhDtUIe5Pdsq4BicP&mk5J=jDrZJ-XPK1Yc*e8u&OD}qlE z)k%sz`k~JT{Ini!6XWwL>I2n;-F4#FOUxljq#}?;#t@`YDRS2;qzJ^?=DV3`BiHE9 zOdB7I_4}q?!bnsG##=0CORALk$?#SD1C72B{if(U#ljH@%fX68>U$h&|8QU2D!$50 z;n)4~|Km@uqhwX57kaId%`$vyyXsKYgYXd9E-NOtudG(72zDgQh2R#n>Wm}vyjGe* z7etde(RYr>-CMvJD-vyV!QEzqI!p?pepKyI2+|a~pkeR8LDy@5@U(CtNK@#7JJiTZ zmg&g;^hS`T&;{{RID!wzAbxc#$T-pk!K4i1OF^i9wZd^|$*&d3B?#IniCWA(CG+(W zV^Jj8*e{ZB7U)z|k_6pca)(iZSd$}Fz&cq;USzS=I7fk}Zd)K5=DcQpRC8Zocn9Gr zp8r#^D!Wx6cRkKf=~Niv_>@=W=lEvm6D3BeL|TKayo=d_^I=Tk9ZEMVo?rIqRoE>A z+8r|3itrF6PRV6JX(qm(T(YEPM`?c~4=Q3xNl2v=mhAw0QE3Vy$=IK@t5xpcOew0Q zVPiQh5OWOoZcV+du*+J{XRG2b(uD?yAl%>jq5tbI{8X^VDwVG@_8GBnjKSC*Hb&uU zUzzfB!5D*q=|l>`g&>t@5iDacmK!U>3PC=vE?CB3Y>%J;5mgt0RGuz)=)glO+6L3W zTR|#M7cBEKplnRl#fp6Li(r{Vfl??1;au>nR#J`7?>~|^T)*_J;YjUyqYtJij_Z<9 zbystxd;C0rsm(z|mA#ULe$rq~^|%<+q=Bj|5&=r+)5{B>?BP741n30599}4oTM|H`D0*!T0~bXMA5!Y-rTxw$5QDytT}z5aGhGV=*|69%+HF3y#v*X3Q)a zeK#vB{fQODH#6WW`gd2Dh0+{4V`e4cv;`+e3)f}L46q-euwl3^V`gaYW!)ObAMSg< zT2k?LjyC-eC>)CB+Cz(8^Xs$Q&}tH|LzPr}Ar)1!H31QF%9c>$T6tYuAT9WJXb*)> zQk}Hx)(s=@&6s30gLT007*<&={!oCR2k^v~ZCy|@7bjzZ!ibS>ICAGe;Yk7o&WFKx z6t5~l+>l;nv<0VcId-qwoZ!~eTsrhUTl7?Na>ue@rl`)`qS>O~j79(Szw+IOe>qxy z6i@0we`AOqvtR{=8UyMw^oP-er;#nHfwJOhU`uC1yWoDmC1EG*FFLVX)Ukp7V4nr3 z+gMO)Yur0)0cjyp0Gg{A~I=JNvZ-(>3Don(*94{iHP&fC8;#; zm%w^;fu&v?N5#m;56tiKnAD!lGwQJylTI*@RH^=+omsxszQn!0YWe6x-;$=vOQ*DJ z4yR{8pB~^Y@?5NsLOd750~QMy=R)vYfXhcg@!&F$Sil4^MV767n0)~i(h71~Ezz?kiwXC|`$S}k#D6xPEqH_g57Ax*| zYfQ@^ZXZ8JVgWz&np70fMTl^O^d}4I17wn5qCh~yliX@6bR{Y9hf8|z#i2u2d2?`$ z1^hHOola~=bEB!uI8A+5P4c+ySc`yPj&eCwu1PZA9t2isx!`&3&{NSKJ>Q?*lGO?# zxt2Dg>K9cv2sau>$K@p3QoClpL)+ELC>D|5(KLvk=ULsZ3ODyDc$WfIw8j+;(x|(5 zXF}bV&`XD?Fgg-Wl2P&Ct>K3&%_TX0{8y z#mV-e-=a$K$aby;c?(gd(_Y9@}8-9(;CAL4O%95aQTN!CkDQ!bt{8CQVhN`@*fWbov_0KJg@MdSUI;-$S%5lh#*X3`u zJ!n8V&RLRcET^(8$*rl!IU0EW>KzYWdU*A&=bnB0yQ8sI9OHZ1gyNmI15*8l*^#2N z$1^c=G;lp*-+%k>`r?1~?NRltq1kBwvs?F=XeAmjh%5li{R)<=#{4ou1|Uy4A3>6W z-lAHt0?1IwE1=tc4FcXqFymvG9uG=c;$ypmaExapt%Ao;a4bd@-AYnNkp(k=xkK}j zLZbVXjHb_m3AzBUj|>b0FbIR$qso<5e+L_vT_^bgkMH!rDX+9ee|<(ez7vDX)< z0x;p0DA)+-M2aP=HS^s$9Dv>GB|0{+(96kB?e9zr)RpS_BpNC|{o+Zvq^etxIP9V)1nWr^>z3*G=%L7L-e z71~a6q={xf^d7^Tq}B>t1(F5ITujuqE{H+tXzxG>gY?RFl*5gb?UD&WYODR#)V97N zsMFRGz@WpV8Tj}FT1@rHO_CLu#%i+?&|0b;F+n!89u;E#FTNT2M9J~Y1s=wktf0zV zh*^#-y5uI3nqtVDHrZx`lp{uXtveuJiSd@yKhjy^FUsxm;m(oD?L{hfhtHUmR`1V| z%JoDlfANz)@FhQTE^o0%e~;8V05P*}O2@34vg_T3BQt;#;-zjexC&6*FHv@kxMH_F zf@HLY|1zMs!;yhJ0w>(>Y^Y)!I_E6{CtM#T2*|mWoVP%kfzd6mHi!1m8Bi>h&xpE= zLop7W0Y%I}-s2p}X#gLl`7srsi0jB=YD#v^^*L*0i#LB2z@OSUWkAr+Pf|vZO(Q>_ zGKyL5ab;pZt~TC7DXa(KYimzs4h|$73#+5G(`g4NkBUF97ftX%N_MI3!9;XYCFpOu z2NUO;`4iEgbWw03fo%FYa(TkcO6ZbGqvdPGu@NhzZ>Q7FPeYqthJ4ANd*A>3hA)mv zGPN~hp@H>RxZ9!X&9*@AeBCr=nclfKGnP-KA9{3VY!wxHj zSHbbCb7%nc${6nfiDP>}6rraEuZNR5n{MWJB5QtCqo`!;n1*uez0mE+NS9%2zD8lidbx zu^6}zqLi+W@7`U3_BQ~rr6IZGI}zT=^^Iu>iWa0%d;!?pk8W9r)F2CE#IPS zEIIpvf8~3>?xT@i(WW5}p}a*7xCdT106!L%SL|2}FpHq~`=MUSW>MQ$&~;Q{E*QjI zJLpGIVIfEr=7Rg<5>W}DB+z6@d1+>{q6#a58$?7Z*jcAZtVk8+f*5Z|W=^b#hIY-4 zhagp$3xX32%$?Mp9p<{?9P^>6!ipdTCN8@G?4s8FJKNE;Kn02 zobfGzF9YAwKq%r2HoF7Ax;>7sCykQ?PmA*>=2SIfYPWv+!F=CSU{i-pI*vHv92rJ? zxdOJgliQI1rKC#3nQ z(Ms{0DvHF;8CGjlU!AV&rphSiI9Apzx^_xccTN>ONl`bgVKQO$04tdkSABb)-Fk9} zfW>?D-1sMl2p?Q|?N>g5>l*q1SH^}uJoB^wReH@AD9mGTHJmT}Z!DR%K%t6u`NB;z z{#3ie#Cub9{$jgkQNH+TmR zK1Tc}2cd@^Bg|8K+}R<|`E%1!OQ3+HC25c%!Q>aUdAnW}AOPH3tpXI{q2vewz`n#0 z0-juvy`pIFBEVTZr|_%LXAHbKP6wm85PqcVr>(`|mvOLL2Hb&9M+mrC-g^@Ss!nFv zjstSATQw*Rlj$nJ9Rl_K+)n#ruv_+=F^3L=&u02Q0kwZ6h`oS1nS9#uN z2F>Au<F*74&MD{7LC(})e(2G$%XDLl;2!w^x<4oy?oC~$3UfiwOr9RPOr7I`{0r;}x_fBm zGK)Z6a=$t#8$K$Pn;B^4q`Bk)kiGECW4tWdSDU0f4T(!0w`;D6qc4~JalLfXToQnv zR*zu_0Cvf1PI9Oe1!_>LfUlZjtL%8|o~IK6Wsy75o8jJ+{l(=r@0?A7bv4r5o6&eB z(yI|($!KrJeRf}9FtT|3QstapX?O+cTRI8VW@YGyd;e%Z{pk;DQQ6>ZQD&~`rS)AF zJ37#KDZb40wr84xI&+I=B$oDitYk<)?|lSq_CPuX(4~2VY_{0 zEA7P@-Ci9l3kc@$(JuUMtDv=#w}MpjL`F8v(OT1?HRSQ#4)T;LHE{BWJ% zLl1fg;GvIRJvQjz*0B9M)3w|Qx)ysv&uG(L8QKJUN)7BU>6ztQWzAUQvwq=U{qPS& ztN}n1;k_#w-2#B{@)21g+iZQ{t36s6>V9a!PUMW?P><103S@4Afd5a^P6}jhf}^aH zf^WFsDCwj$ocT$Pl1>UBY?9-olLA_qq-ELB01t-9wo|?$vc!;QjY{@N%-7BLmuXH_ zqu1C6t_yC*i;q<3R#V{~bGTN8Hl1UQ%Sw)?#2S~U!c8-sQFuLlI;X;KFBLxR7PU5M zPK94jD*QWt;dAychF#aR-35(WhDpq>nYZ@L z)3oa@i1E)1o1{<}<8A6+O}kzMwSJ9)YhxUULswEOcOQ9&O&DN3#&AtqMiEO8C6QG8-xL`=MqLH$7T5k} zl&|5}S4*5yX`0fGR9Y|DiZbFVccx`o)ecp%tYvVKTxLF?IDu(@ZU@TN%iUhe;35+M zBKJoImr}mU+@;VDiiG{nDmjf|2Z#61mGQuRX}K<-NN@Wv1Pt+49~DbobSt z@DG36_nj?5>k6;w3iM^PK~cq%ig>_k=J?qFeX_jKTAA~dHOrE(U}K4-Sdo_3wgBvJ zv|rfPR!du@G=5>cBVnsc2nbR%W!`Nv2^?JF)p=B9lz==!Nv zJZt=DP}E#Kfa%LyN-mI)yeYLqw$!6um+6B?-e>yg!9b@e|M3QUP2K!?!0CVCkBPC- z3%NLMR$!2T_k`qbzhFxc2a`OkkziC84`acrOWu~Ctzg>3GwJqHS)cEsRO{PgvN)_Z zWRt*yfsSHDiQ@@U#KF%~3m$<@pwqi|^z`^Hz_tO-D|%2X_DI(co5YZQbeo5WqhroU zU4A$HAwqdqdWf*qK17oW(A0s!6Z5EaAD4fM;xec3jwckyyUB;>xsy-PT!1zgpxylf zG*KSgQh;WgZ+=sk6x+S~*kZMse2YXhHxa)2Cd$)N0owh!9_@XovwHR=0T*6)coo#X z_g*+X5)p#$TR7iuy%($a1Wk)oU%Yzpy)Rxpd^?(^mpB*#1$5@ph zJ=bCwi=dxpt{xbYDc^$$dbD&`m?Qd|U-gxL;wrEtHN=i3nOR0^`DLT@2VW-9 z7rE%c*@2z!)NL?Rsv|h^!zYK^?$8yEMZCNzpTvF4qBIj^JHKr z;GXbpdd^Vsrw6?08+C(RMYMmB#F?R_y8tEG!y3ALt^yk|##-K0tk>;Sfla$bGn8~Q zP|~mY*S`NhOS5zo*K@<`8HHd{S#B^3hL**?YLYm|_2DQLt|My46(n3FhQTrqBzm6uqDV3owdgiYj8m~q)yrCJE) zBo0Q4a@3HfX4-SCk~k=&AXGxhof+O(vQFY`H=H3v$(30UnmRZ+B2}T%o^$~^&EA{ilBAB^0is(;cjy52L#|+D443)#AgR(@cBo&?wg{Uws$c zDx(olcob7AVje5fOuHcJ$(b6$p?5fTb7-1r7evyTLw864ygg_%8!OUGyWkPICRks# zVS%2lS&?Si1(B4(gdwcBN5(>P3bCS?=^~kW#jLG8Nisfm$=wPx3UZbxbkQVRlBAg~ zlFVd?BuIsNN6-CQdvFO!bdI}YMB{1Ae0z{IQ{p ztEC}Qn|r#?OZQ5(m%78SOEAUAPmu|ifYOO%)zz>6g%>cB4_(%CFQmW83lLx{yZ|8| zO5I)Qy$0l-zMV-{-7R<(cnGF?W)C5i?VQeTOmX5?-k9f!hXF)}&Lt7_Z~oao@~sa7 zLD!q%T^4q0kooR7ae{__*BhCXZP2y@&`qNXdeUBZaW+BIJGwFHRY+E$7c81~TUF10 zI-nj!@NP6}qlRXJ+{%gvkQ%m! zt~`V-rsj$-ap>9ovzE6prUrytd4O6*jk$~Ib#r&Z&idE>cg(uVckCCl4^fU8_A);C zivBmg8Tv%2d5A@>k3@ZD-8O!mLo5b{L#^<_dU_fe2u$O z98y(!TH@;h4tUC$0k-SIGq-4t#i!q*ODyfrec(-Rc|**#)%T^fv|Hp$C1DIMKx5$! zE0!%SDkN!1Xp+(6*I2P^X@e5wutF_lZSJaAe7QoC9_-?AwLT~aABWDdICxNil*7m$ zQ99QK?b*@>$qJZzwWAPXs;|S43u?Hd5JQ71gpDx14TV8Zei&oft(A z}k#t!sM_05_Y1|z@zHP3(Pe-kQFvEyD=QO6&l!g$l~&*J6t3>UyHomvaD%K$OY za4B5rf(K{_(R?U{u^Cn&SY;KFo|KYhRI(dv7lJvfXp|AGso5ZMs?(2Dk>$|ZmBuQa zy|AF?6lu;X9sxp%?!lODi(2B)Ije|nb5y!RNr8mZ7&SsrRHPppY@J$4gmK+gMV}<8 zB3%+39X6y7S4tIMlB}|dyW>{d%{BlTn;$L(*uLg|yIE^}(#FHEv?eW>OM=9flRKEx zJoeh>qyW1}c6;VYksjW|qEttpuAo)*p2%tHmnW69evLs zJC&M4_pfuDb3rx+q#WPYErl@ZIvGL1S()m5-z1wL+u$_J4XT2%4Z_gN<1BmDZ%TPj zTfU7EsJ!3uEpPnz-xS?N$}8gjt+9+iAFeTc2W~5B39aeFzOs@K7ujV8sqZb@I-~id5b%h;cqh%24o#j-*DA%G(72;_RVnVW-z?e4z4< z6{);k(26!u-MK$VREHyCj;AiL|j> z7jA2FeWWDBCHJ5aw1bl!y1tUttP7BD=wMllx{z#KK21^HzUCHlhXj=a)5n_EG9=F` zTGfRQ9#tdzNV#lZ0MT-hG|j@l+>|eibNql~Eqs^0?#=$|DIeDNZn>Dr@_j>;-X|3= znxE41y)cqY{`N=oOa)hZbT?RAea7%1*gj*YsW+T^*$RAJF=H6St z83|JEv;zcS#H$^0d`8mjb=n`S-+ZegzJox$e;wa#K$@MW6_XUaA~Ai z{@rTPRV3yRsQ2e~oN%{WbeY7w+bp{3_d}rGpWA7FoNvDW-FDGcL<}A6eg47Rj{T*V zD>jAGd|MLQb!!*8#FD;5TNoU`+$AO?<^_hwQXRB1`YcfNu_CMaaj}IKi+>nBSQV?6 zMx-7rtZC!|&=f&W96Eg5$W30Ie&UqXvmAZN@A<%Q{_9Ui-I8kL)4n9?jWq3Ms=M_i zR~>^=KA4!o+?b}M$59I8z9fd2X|YYLnAA9+hYg2KU`4TdwTqZ6Ez6ad8pJaWkEvZT z`;vPM&w!VP6+0l7%{iv$hP}n4O2b9NgVxr6l#ZTn z4;&2hWIN<4@7q^<`pJaaDdjN zs@ODqR%P9k+LmFtvh`@M&EB^0f^>d2Kt!|2^BG5M=dmD9G!|qf4u@L=q+ZiIFEvf8 zWCHMI-o(C)tBS_2wC-@4kC<)obc^C0EV=E$yC*wgr4CsKOQ4Z9HS4Cf+Gwh+Pi(l8 zwM@IPme%1j9p3jl7%2ulnWl$OZE@ZUpe$@!RcKTK}>g5K^WN4OsvhA zWf>JlzE&4>Vy=-Q#Y&!8U^<5tN0Q9}2 z4a|DNTvOZ=7L*dy*EA?23@O)LL;R(${jyK~g|MfZLTc0YTVSIS32%{Z*GSlMO%|=& z)>DUV$1#%3?{kO*xP%6n_yuLnFGw_aRk|fT-$<|vlr|ox4x2a^m3tH5upXctlB8@JR@k^AbwMWml z2lF>H!4E#!CT&02?yGsVSiB(J5r>O0Rr7p4C$EcT$H4yO`dIVa2l2mQ?BkOjw9dl& z8%?x?Qx1H4?lF4H-579gkN*j^9Wd!?F^`4vvgKl!2HH<rn8Sr*lkQI*kuYSUa&Ywg&8Lj;VL6BVlIb;85e& z7A@zP8dIS-;GFf+TZ8sv#T-+kFhw)o`{mlaiV(~(HOj6zY#S>g@E?n*Bb>*|?K$R{ zI>LESmbJfHe1LKx!C|jm!AqnAn(HGzfJ@>6X}1$V3Yo!@+oB|1a>>95_S=3PbiO+Q z5}+`zg+`=!!Ph(%lmHk?d&8x8!6geyfT3N+{cB%6K4Vz&4kkeMVWHE0LwJE2@L|wi zzVmFjZQL+!FyJ%Hp#AlO_Wm@o$RMNi&F15R_O|?`4#tF>04<)wc4wAvjU!XBU-OM$ z`?r5Ff;&CWR7o9$U8lHm_gnX57R3XU4UcPWA&LZrh<772riEIFvBXj#>!?`w3$dndLjgUO4src54InX%+@%~I>|cQOcF+=T2@$j*h9+P11mf zPWwyeRU97<3F@p;m9tjXM$xxyr~Prhx#$}aAcBs(Ki~QMgSnmdM=~#ON{P9bh~3B4 zLP@&T0dMcU`At%R?oai7Em$vA!u95aV2}=s2L>n1(+p zQ#!^Hk}WB;)oJpN%8-tce^kCscVtSL2tl9x!2VJBI^*;o8S~%;K285oV;*Dl zANB2deEJWyzUAHito~2Cn33MDG?F6SG5sech$tN2@mXgH|9mW`ZR^ znnUBRvNMD23yRsY%Q6`YX#s0@DUjO99wU0?_Jr3!Mz!zyv})-w$A~sqM12)enbG>d z={aBQkhKv%aEHdno@9E^7pN2Cj6qV_9ec!c($8ow@d6YNOtJ7hoohbcZ|P@r$?f{c zUS;^z`xOdJYF}O4B$u=z7`jOuTii&dCw*bv%5aF#&)6noq@U5(Jnok|;PGgKQKz$MXQs&JQzU%jwyN}MN}KHJbo{4hT{8Xm#Ni^35^RdCvyUzG3BegpL< zS82sAjx0INS4Z=qw{LKmp1cP5H+#EIHA=>N23dF8`7t~0@t$Fs>OF&Ge$Tj>-ZMy7 z?-{pm`aK&NwzrnX$Z&zxk4!Es8W}4WwrzBTON<9T??9cJd|qjM44?Oj8y)v-Y)mJN zM#rjA51ohg@wuIjkMpe>718Kf`TWw!m(QQNAoj-v%bVI58KCVEaI1X@A`rmEiiSZtfieD9AQtcsaR|6?g#iC~D4Q?XP< z@a1ll{H}lQPk!#dcpjDO2oXsuLzApI;i*FJTFIW@g{JIcjntPK+&wpf1k;Gs!;NuCh?5U3D$I_#SUo(~;%h|b+{FpUL+J$`zE7BuT ztgW^5QhMokm2ss(t~QD@kplG+G1(lvz-Es zWw%kxZv3D6te58Pbg!0#ASejDYckn*&=cg-nC!i}4ru?PRC6x7xD1FBEH`Y70Jf=J z<1q=6>~#rcJ=j|}XY%Guo^%rqBUCnNl?5@4m~auw@9=Wf;37+&;gY=UY+3+6efwkRGx&<= z`(V|P2x5#qL(f=IJX~85JYA$GL%RlgM$~GDprBj6B+5-u8$`*2XF6T-tc9gf(&)9Z zuJMH>pB_2A&!)0!y0b$=b->DS)vWE4v$;Q!DQy9vx%2TY%!!RB(fH~n+et_$ZAvum z0TrjpK334$tWnqM;o*~(O&ingSJe0fO$=>3x0AWy6bhCl*`m1Mp-D0Af0X#j^9L`kzz zN!E7%BQN(L&XO&{CNj?jv_%WaCm4RAlYlYev#mZCx3}D zV?sZJO~BAEV$DXqz$$}XtVp<|z(6A8_&IucT$zHyCB5k=f56q<1snxo6eC>TWNvTJ zrK)x&ZF&Cc9S?4&!ED+sYR%P5+HyUlEx+~~e&(f5i3T(6_VcL4yOWKJMS91rfZe__ zlkb9HDF?j?!7BEe#EMh|z9I%MGhayV@nf%TohIJ}(S5Mf7z2@m zrr}~mn*1UNQx7MCyyqM1YzRS`d>7pAJL|~?DO>wvsH$BMMC%8^8h72=W`V?tV)9*b z3ok<>CZTDsuaB5~mqa}s92dqn;N#awD6L78?~*Zb8vcZ46W+KO3Nq5{!97 zWOQQ)bU+G8tv5uf&dcY5!EZUhmClIB1%uxb4MB?j*jG@vyyQi&@LK{nQLPt(RF5tQ zuMr%b2nd{vW)<|?rX`~T?q8^K+ za+KhCMBRg`vLwmS+$G6&f}bOFoi>NaC9g^8sb)G!e?e6gN=H6T(N0rj^vFXIi|V$0 zt}MFRTbvUo!($}&NsC~z(t{4jfq+IbNr%Wwo^(Jj1p@}Hs!Tvq4)qR|(r69@v{wdefF4QhGn}wI6-U=STKi z9duWE=C0Q;P>Y;opuw6~fEVpnJ#;6-K%;n(*ck&(M)9Hy18p;2)ShF8feuHMkWo7h z;YAq+f>*|dhhe-Z!$9UTbH$a7aUop!MlVr}ZO;k4$x9%iC+;~B?>W3-_MB9P z2~8vbqLVkw?WD>1Zl_JTJfYa7obPhQZtuaRihTy1X3**33(p%R;j1}S{^8&G{I`DS zwIx-qo{Bpd=C>fK@=;X?T}XbBBbGXqA#jm3E#A9lz9h<#WtY)%MtNvH{~2F#Tc07!5SZ(1{UHv(Z$7 z$v3nN<%7o*as%-9Iiej{!WO z6?8O8C-DqymiOMI&Qqv#A}~3cfeowx4RPL+322@9HjN{(LO!0jp6PhpPBx=0Pm?T3 z-oG(H{qn3;E}@2hbP=FLlalVR&=3z@qG)gOTGiG zjMHvW8{W-nlj}*FeB0-L-ba7moeq)Lh~}7x87QeZ86vl_nrJQ$J~w-?97%}0!APAt zOwA!OEF1~^q*$RB@8=MCyFm+>#?osU1yF^aqR9N%-AbvJ7)-avu0v$xV(cupHWD(0 z-8n?Ym>&)K58IuL^{bAaL*y++1k0KS6j$^rI&z4N*}$k;lVBQ{XmgF~5E*QIxIYNH z(G=FJ)2MC`h85W5+zKXIoo982K*1nKM_*qP^iT3J)Usb&Fs;jB{++u8{#U>7$`0`k z?4NulvRwXm&@Yw+CE86a3(3e*B-AFnTBY)p|o{Xz;uv z>VVR8skuPob+4nD_O1w)(L4L2)H-I8NQOx+xC4~~0%~YBG)}dhQ?zzPaEFAa2zDER zqw|V1b}qOFHKg`@cPtR0Ua_wb^iwCf;1+ZG?9d8@XF<9nE_mE`X1u|qL?+& zmLeqw0|pvYl4lLAS}0>ZqWuwTH2i`8%Ky|lK4H1J9{5&5dk^K?+R3>9{f-o%+k-rZ z_jfnEpLUC8dwMhW^fUk5m;Kl;MpdMCRCraS^;a;h#3o-fRBdRqXfW(6m>>xtt*gl< zo+B7Xu%|T6At>>q3u2}VA~*^zcWNd>P+&+Ggn)9RES+>Y1SNX(hN><4qh!U70Cath z5r2D?C8zq%)eaa`pU0a6a}3Onr7fekE3<9dJQRLBpUBs(19{waHZ40T%@C z)k*@eZ3lo9ht3&I+JPdtU!pQqlBulWsRd~VT=3xFEg%AGUbC<8tHlnuYjv+vfuMOHmEF3w6fSJ}}B@{IoO{cs2O1y7dVBwJX1!yG3pSQ6_ z72?605Lg!>hwMA)D^Z z^KWCb)LhjZkRWNz9FQ*u*Xl|0_sr8N1YTI*KH~XJ*Lx~*2)sa34ntsI2}_^~)g|{L zaPyJT)~{3SG0e{W8c8`CTA&Z>^5q3Y|we{Ga|1fzLBNMA&K{BJhDue2DHKk?6^%Xy!a) zVfiJ)2b!WfhPj!l09=(o=W^a&UeoZ&HtU+m6nS(;RZ(>afhzAU+u^lsK%AQUNXh(K z6~aTH-oJJNHy}>U9ZDpIt$sfQ>ixN$j*s(2Dy!5DkQlbg`=23lm9%~=*Ko!OO>0FV z2bR}8%76}U19K?8-Js9gH$nM|O)wp!7zN+e(tOP z)Xzk-sH)J`rFd1L#y}^CKd?I=iQ7uaYZlhb2#7p3I#v&D`j!9=3zJOdQ>EXZ19*B^ zu#}Ui(Zb6)hQ^>8p=Hls5ISa2rHFuI3B=0T-ve1 zgXRB1Z^kEGdYEj)y?g(Q>X#WBy{tX-W}s1fY%f)(b9?6PwP#MdMKd%y9lgG!n)EMi z{>0}d{s%2Z@~memg56V)?ILPcqz@g3u1f`dscAhf#Ibz>n;deuIL+Pm+rKv#lC_wL$mIJ2Y|NP;IZHI zhK`9@cggWdql{x*a(vP#0ScEi5>nLLcId_tV{KnC=bG=%adgrsy)IvKe9~xje~zGa zpi9%AG&ESv{6eBoJ?vCG<%_QuQ(##~-Yr}4cnUOjv}SRG{I0cjm{+ChOehsPbfn)x3- z_?@rczIYZl=!~EmhSUa%d%&q>Rknqm5{ylv@kTIfV+ca9!VQWbs%zVrJmUt1UG2F?DPfXjn)uy2|Gw!9Q z!yTkk?C%sAIoMSl+PirkC?uVc(#x2mS{4qzr;!!{0U_FEFSoq*T+f#G?poeyw`dOS zZzi<=rguE|(Km+WRZq1a+DD`4=wL$t(WmDX=Gbv`USSR$N5>H6(7x~%qR+$XdLzP3 zK0dMzh=FO-Fl@u-BZXiN?MLSo=Fon8Tp=y59RMRhV9qrV-ZU3-vOP^EbBOs#ZDN4t z*|aqQrDV~k#-8H7uZqaN^Z^oEz zKL3R`d}q}A=*{RG(>2nif@*^Vp@CvE5(@%c%@_kGx8TceFEHC|Ob>;10qA#2rjU&( zS~*DqMa|-kNwH!!ru{$@wQ@|c3pbXz;Oy0vO2vxw&$4P zxb2Y~c3Q@c`j-rJY2BioG1?_r=1xHj>}e}$G8~7d`L#D!*c9>j)ioT)2^`d89I#PW zFI}$3R7ur@=S4B0hQ^f{|Atm7+An{tOAUi+l^QGSa}ap5=-|pjGJ3QcUUQ8#0I$sv z!DU%IDe{T{MY}yp)ipLBYjCMO(Pvaluc>gV2Aj70kOuq7Kl9*yZwn2koptVsd4n&2 zcfO)1>pvWfK*7GULc}7taYE@M-ha^!AxI78f|h1yqdt7AUzwhm z3kLHvo3t81YOo?0%+sh@Yy|0vxnM9)ACHDX*jM*1S2yw|t1>XM2;Ddj=4VYapp4SO zL!Hd3EpC8)dO+1I7wBFnjTCs1fJI~|K`P4=_RvfaLw>$${OHZn;RBT3%oe&pBH=cn z3l1gC?Ad@WE*i}vrY-#(xjLa}WMt{(IKDlhH>GH%EkC4a{_H>f6aV1skoP0#2}IHC zHo%-je?+r`Kv&knkcff2PB#SkoY)p?tduSmnNS-=7=lzZE(k6>_Msvdimto18B{

Pt_c@L{y0avN-l^A#i&UV!4*=N zbiP7Ro+p<)EVqaWA-N-|a3wiecd6>gU4*N_rVW)FsybE`2gaMmkC~#idaWVRne{1OqsGSH4{u@<53gV99mSEAG(YI+kus<5mXgsk|1=`7L)_p zJlZ9t_`)x_wSdDIqeV`A#JE7(*zKpe-hh^snAz?KvYz@1sjKgj#~m9%xMnBJYWX&* zXX3x845Qz7{iE0Shjsu*hfxw5*=o&vgJKvxJa2Vo(=W<*2o}MH(PKDo?|O=r@$)>O z{X~w=#9sT`^F1oOL#XLRz@4wswHOak$;Fj5Bk{;=3t&TjrAFmt!4UO?Zg7fZTWG4| z<&&q}>y>LOL(!CdtK8-?&MRDd4j&tB3YWI$@NL;do_oB}GmW0>^n)P)FDF6+*^d2j z!LsMCm&aY@H@^ujgygds?U zXIpr7c1ll)DnBs``NxP+a!DWo(49C*pcaDVW;jBOl1qY|lA#R1%5DobPb$$kxELju z1b-#dGguRuAI1qGc~;$qs*HkvRh{9h7j>&&)X?S$Jr)}B%T-o9%$8s`{ zcBk_?c~yQ6|9t2ZCB}XB21L+;hNN1g6E3M16d=?U3xEx7wPFEtDXJ2UyEKLzRsQKE zM_E6v?lyEOt|jcw<;v5Z^fTJ6hknj|gSXc=IPDg-256>KyB^yT>lSWv_!S*F%6~nZ<>OGXQ0^g%f?v#gU+m8EzFWoEalUvsA}4_I zz=~W+dAig2)6omZpWa+-$+dB}AHyzTw=cIv_N30XXs*qhTg=}5pgaYOcRs&bvZm$V z`)lv|ygwcRrM@pcTZBpWKsjk*)}yv)w0=F?qTEPs^&?S{W2Q=6!QieRZ99GKcf?c{=^Q=259ERthmnO%tsYA>V<(6!QjaEGN)N#$(JctI*vdES4({n6x zrTlaU^WGeqrrHm^BV4bI)*Hz<<#VK|E`or5;dcOpTCHt3k$nXtNK;(|k1~}Bf=lAC z^{=L>c0o&^L2$h>G&xojQ(YuW>FataNx6KIVycT|seN4|#BL5QrrIT=_!S-aZI!Gf zBwZ5OJu7}i8c!Pt?5p$DXtd?YTsPftYJ8P|Q$N>XwfDD267-wD2w*jEg9AuhSw6Nyc)#L3H5d*`z+ z3E26L=fCLL-y^?pwfMK+^PZ5pCAgl>#H*R&%Ql+(ga1x414@-Y3QfW$b}$T zN`2O1VR9)+t};TW1Uo=b6ST+pL71W}$oRXgh&-;k2{Q1i7R*&FJ4E~<2#6QME^0v< z^|B(`_i$*IyC70;1ZmV=5EH4CJcV^JZ6y?qx(n|1EX0;ld#& z)jPe~DlzK5dwk_X5M)`Jm;&8q>g@BS4gx4wM zEjiqD{<0nCFVT70ly^3Q^~W5WWv@w?gF&Ow%kfU_aGADz8)y>G{Kjwl@&Eh#B1qEm zPY;s3UK&@+Cep4*%)xNMzCv6f`qZnxbv7$YhzLX0f}&4daEFO7R2S%|8!tS^Y+Gm` z2%<%}J+#~#ThZ!7NVUD|4SN-RYJxTmkPU|$Or$+xwk@=Wa{+HEo!aNTrG1w)iVF>PyBEH-B zoVC8Rjv6Fz9G!jg89-&Sp-Y${#*{+qiuD~ze(?e4sOLo;mBd;QnrxZfYWv?I>+Rq9 z-yxa!H%g&Uwaq0K&4?6jJgch(Vo5L<(CI@*N_#`I^RqYt=!Wy7)xoWMsKKP(s0F4L zg2a`Q2Xq2eHws5jPx1on5@c5szt2(v@i=Mn&en{<(%pX3KJ%!xMVw@kNKb1JkItIj zj7%-_@iq78pp^79xN};s6TwuhiQG7cRrAq<1KR$g^f)izLt~x|B{7zzKF{e7smx52 zXAUC|t?sN$PPBi=pemQh4=Hx=hm;@FLy86bA?3%r@Q^-t@+rOF#`D{bgKZfGHSD*i~Ksov6JyzHG<-%{0imwdcNGt%6P9+s<2iw&?WPEII+% zfT7VB?~;zYcOP3t7!38?bYX4^U{_Y|~?=FV7cf~w^!3#4aS5A27(sCC|KIr~T7EQY=+*NWynjYc?b{)+Ny#nqE z5w;Khp67n=E;1I{O5hm_Yb*dBG?7DQlp2O_xUaZf!P%u318>Jj>Fq147<56*aMsE> zw09YB7J~F)To7}iw*p=v&Cu&Cqd)F~=rh)#)97sz?>Snwv<$%oLH)(W2QXc*p9)8#_e9tEHY)agW?N z(p`oo(}lkspHzBA*)Al`o!iiCnuwd7Y!|A$c4c?!0s`NMy4_#pFg+C-mPPaORG@$3 zzy9UV{d}Y-w5ZM%s8{t?)4()hx34Tk;ewO7#YcyH5zz1zM{BdGKtph(l9~#%2#!@! z(_?kP(MoD6&>}cmNKGf!B@up-CIbcJpvlmv(!Rol6b0&%Tj+UV(ZQe;%a0^UdXO#& zdJNJk3yiq=Ogy`%2Tc?!sBL zI;VUi%DRb^Z^~(;R~k7DNeD+yquQLH8|f$edvZoMQZ(zv+XBpa+>iOHFVjM2ZV@fr z%W;cR;H4ko6T5=YsbFr$`(Yb*u*ge4=6xlGEiqpm#E!(bFI~Osxpzm%{L({gKl}FF zPbHC|iutJxNHGYc3-HJO$kYGhi?1s=4Ry@j1t@Mhil2p)2!%#QAY@;e3*dslOBqd| zRTMIiW_N{lBG=pNZ^S(H|9fd9g~m6=OYe7OLlJ?k>)pm?=KJP|p*T$DL# zpg2M2a(x4He7P_ZMm!T6uzIzUbdUTr;hSky$QDfgy)}uwxg-K>ZQ6sE)I5+EJ{5xD z$GyPjO*|D?*6QENQ^9}oNox-Vo(iM^9`~sjLGI@=xF;)vQ#U8MSg7i&TGeI#X{xFt zsa58?MX|?4q*iUJ0GwG=*nzwYG@cL0H!30L-GZ-kAoqgA=lul^59A%Wh-!b-svPqE zn+5V`d4I`ir~SDd$HxWBo0<%C1f2t6kv8y6aC9Xb0puRsy}RI*!6vSCaWSzJavISu z$iw?=t46xn6kc)~$@_zZYC0tZ0AQvp)6F_&XL5LM=@X0YHlr3oeNeHG$ zRVM48n4ZYWYNa&*A(+9Z(n*ivf0Xps=a|8#(nt?FWh;`26*Kr0kkY>E4eb%{%Jzdw zbV#Ypu<#`>^lwUqLVt#Zcs<$eJOTY=k#Jvz+wj%P9fH}zca2Z&%JI$6CrbBO-jFyJ z?wsX3hv$0d@StinVV6L7z`#czxRPRPh;~poV+> zSHJW1-x0C3RxI`E1-s)Os4(mGoir>BVU$dy zh_ZF#ZFWHf%G&*mn)$Y;fEvzD1c}ZSo0Z6=fT`J{xZ)he;j$HX+9pjwoJAuj1tBJQ z1OhJc|E^=<;Kjv#@-};TJRF4kx7Ru8LV_+|c-QcVpcc^QUGU?36dI5-y?&N#m=Yr~p+iG59k%j`~L zhsLgV$Ez~I<8H03E&yt*jXfTsx=auOz92N{j5DL3Bqch~$7pc?7mt?Tp~+CwA1-;J zRXZ8A%iYGe*Z3G|?CuM&4y(FmE;XMueNk?xK?IvZ_CvFI?$}{eeAHUVY_pio29#4iZQrY$$k+B-V+BFo~j91Cayun1#Dtqfw@gzjL4-vv658(Fo>1J!at| zG1kHpIZ%&LxG3qU!GuYUius&XGfU+IS2VTP>a8%@lsVS1sVwV$PF1%(JzwKze=MiB zbyAA@HDA`FF?gNq4q{a{x{aG#l#6K=q<})(2fC8c%hcX=@ny@J@O7n;1)aebl-O4+ z&|xfABsu$7*0f# zHmDDroji%L!spT6m2i^a#{k#JJ4jex?U219?cR<3FLrYGbZXQ7aB?drt<5OOcIBj1 zlLDBbs-gmnQK_6f*^d2%#v(S`e3kmZ>2l~8mAD+*yOPcyj$g$yAql1IWHt$=Cv|e4 zu(buwCiGIAKYU<#s`&?tH=2Ghx2p@5H`nrbg)1w4Exw876MpS4^?huu!7(S{F}qFB zWdjNx-^5aAl1ce2Tzd4Xq<QfeMf~VU-3;Ciq|I9_0EaDnQ$fA+b$J!q84_+>I3phqz!&NW%Pg z#b84)rOk0fpO6HmPW81yknV=BxL0R~{k`ZvY0i;GqX@27z>!(i1w5I4bN(^ZhAtS> zjId-Yn9GN}`JGFGP_tPwmk)s!45ujv$0Wq|N>=SDc7*_gE>|*EI!^Pf*RI}iLzAVh z%*sl)QeBXKVcjaFrPmvJ#m|ZVo8nmO;VZ{IyX~bsNDur(zLUG??cbTt`YrSoFLC`w z`K<5Okfh2P7)hVmn;baWV0LaOefHirzxA~bqCh|wa@@fc#HbR>`t#r;I8puCDUo3s#KB6VXU$$jod$H|1z!Ubc+6(B2#O` zej*=vs*=c`XT(<_$Qi0Zv8)l1Xl~GBj{Dd|kP%VC1XVyt)Q$QXRq)t}dV5vQEI+7e zKJd;z`m19MmU8~NrZEOU061jd7V1-YE#hF1K^Q6@=AL))5wvH|MKF9N?&`-}1oB9tw^ z9aRs4ELXpXw!jWS{&-E+!=D#7J|xfjo>ZQyk(a?*go$SH?@oD?xnwF`AEH^!7rT+w zvmWWv>Mh-?WV)+z3Hk03CL!C0D6|NbKlF)b)i(pVo@>VPo(RjU;UPGrNdriRHiJ(P zMzZ*FGhuJQ89n~kW@6g%LuTT8Kkf%V`}c>LPe-NI`FfLRIK1u)2+oJl?NCNFgg?rg3}d?2sa1vXq1f zs9i0;6~8(M^q3*Z(T@rdw2fa?K)>H0X(p1u2#7jlKfDU)G5Qg(g!tE9trQ2Z0(vma zldek~>>eYBWO&ML+!Bs~Btn0wm8y=O;|1`N!{Ue}b$fH3`Tm$eAt}eeFUmIvSP)5k zag{(4*aI_i$goE;2uFt`JcAxUQr#j9j<)jziFJt_Ah+Yu?W$sTslAeoVxi<)E^cF4&NBqYEoo{o=PN=56{OBOL5 z6352{t2V{+D}f6#bI^{LTO@njZ^|M~TYktQeb-NY{rXWr%$f#ri)2Y50HT;RAin-` zV~pUQFRFVeMw>0D@_fY$dMJ2ATywNn3V@n3)l_)CVvIr@XttofD}sfdpm& zzyqx#RCoE%)OJO%5O{!|Lv5UW#jJnIu01v0ZNUf8*Bt4#z?ytUT`~wfV6y8BeO+^a zqZ@&T2BP@~J(jL5zI>}>%NOqCpU|uF&yVgPU6R8-Z7m4^>3L?u_0Me3^sZTK0brz3nE%o{lp1LcBR|7B8zw~E*;>Z8Z zNPg>RMDHE7L5aYTSf@%m zCeoHxc3<)3v7Bx&{co7b_~hUD-}ojz`FH*|zKKt2LPuxM=6uJT_I~-(-u7^wP*2fq zRyUAO*3;9LZ}l__6o31-{ncOo-v|AxcFwwvu|C?TjBJarS%2`Hz-!jhy+^H{WFO$p zTAzij0cAuTQ&vQZR_pK1&9ebPn>gra=h6`n)Y_d{Ti7gm6W2# zs!vY z2(TGVHmjuGW|nE-S8j1$$NLn#~Ad>6&(P<|XUWH7_?lAnxgvgozFJ zqoV>(emF|PmhH_E7}75hd=avpz+{14M=K5D5-Ed<|Mc!i1QwE@TIsDRdf6pW>@4*I zs3VYe?CKZg8&ql%_8k(I@HIm6W_%3~gThgnp4yjyNxd1&5sxiLD%ESTj);h^;*$!X z1g#wX(LVQ|?hOsqW8kaURX%aIM5jC8d^Em<`Uc4tXiIe4S^zo^7a4!49jJ|e)mC|C ze7B}^(*B_9x&(7XDFB?Ev_IU1OWIN!UrH~Jr}j~bmR@~9CE;mB?gxpf}=zht&=x5t1U?^h!8#)(xB* zI;Iv3oM5!8^N2a=hOgOo$S8$ouNKTn(MVw;mD%R;a#FOUFhNhcY6Yp1{KrJL5vks` z&?DEQM6wYT>aAc^k3wxEXa+9SLE&FJ8oaeCDO!?^D56PE2bK3oj|A+bMvlZ#vp@mga! zbxqw=-^b>wi|6yd{Ec7t()-WhsJPlne~8h-rcoRl(JDOK0^qu)M^3$V$J_OWDzqaHR#fV{+JK@4>vI_g?a{rf&b zs^3SIMWq;BwKW<|_c7IH8#$)%3JX1^$1T2?|7{kPP5;jS4#~v7QL5i2lY!A$;a$JN zOTcJ)ixH}bI`mLw21Xfal_|o;saG=lqho8I{n9iQ_zr|3s<7rzBt?s-2RC1LD02MS zKk%Er?+zQ~!>Ww;9p4-WBuXQK?ONPcDZVC{RZNH)qx6kDpP1%_E0 zP^-^0%Zr@mUI#59tO9#NPDENLQY0}vS;!Dzl>0Q$0*soWH;fs{&5rrdyyoPfMNMg# zZ!v;udc$_>wsjRXqk_X~$|pCl{XnOfn{%r3>OBwN z`>-a*oh|yaFGtzV z6hg(iGraFzA60qyf8O{dpZN);<3hXU99*;>Ix0eIzt)~Z;`}5DmpMQL7mJ_{3}T=5 z9-}B|T|$r!x(f!M8U_osf()}=aJTXH>)qB+$XJmU-36oldbdOIPs=>}Y0=ti7TqPI{rcc`+5q-I z3BSG~3`vXbk|3BFkp=J(JGKbkZx2FM4O0|IqjAp;unh^f^?&bASlPQJRIaBYWhQv zR@w!R7$JuGE)eKo*0_2TAxI*~0j9Y@*Ocz@T9ttZJ3c<=udq2|_bqWf)hhR3-+m1PP8X?SHS<}aNCRj*PQSA{l5Pd^%Ym)cqS zosDy;A<^4bds036il89Y;k_6nwrd5c9$oOTL3AfwBKr+8$MrdidUQ#Q?4@cZSUOO`*pN)1IX++OwA5C5&7{QLiLfTU^~Jl9-o2xiK_*3rF? zPO{3zX9I?@v`9??fZTUpsqMx_sWE)T%*EI98nSzV470VYVw`TemB0&*_g`Bw%FVVlWMk$`1d-b3PYW&{2lCK838`$qVCHgvMF6G zO;NW$wU1fUZM&ZdhvwYnbj0o2`%9hF9Ac*n8cM)KBH#Y1;Jtq+E*C*<7VHh!=hPs!Bhfp_nOlndfAxW}@(AV7V4jfj*HF2q$v#d(MP*8PHI>6-yC`B$=vZ&wJjL8u7`z5ryaC zd`y^Z!F~Nd-Df(C8=lG4spc_V(n)!3t0Kr4qP%FFpJtP%J5ycclr}>=oO8l=v{cGTFW| z@1h8LK#i_zvxy-{(Aov9-j^)COH1O0Agz}R?$P=z*gDF_t-w44X}nwzxm$LE345hw zDMFCmg$pA2U2-x;cFG09^IOIATjf?=V}B9!%z` zf6%9pz&{yRJ(l)f>b&?BzIWT|e;ZpSoBo~u9g>NEqg20}I!Jh*d79prU9E-n-l4mH zO-g3^bABP={}2>$NsOmNO*cIdP>YQ9xi3HlrU@V7OuaQ(ITmXQvnU^oH3-6*qZ6`n z$s>yD6tV&$Q#aq?Jk#U5Ku(6F0eCc!rs*9$J--WMm&4J!rKRKjw@-hFuBwL!TkS)1 zHTfZeWQ*YNpM2oK&_jgd-Q+{`oF`Qr#_{br$?~L0mbJ_&2u>57RF+6wbe3gsqM#lf zqsL+gjxwtf`cloFNK|Ay3gtE(3)o|Sl+H6mL0EynW3#;XCJ5ktO9$k3+JCpeOO^IV zL43uxwh@(|_UCrmUq-K`{kMxvMMAR?OOW>GcI=M}mN$idZCmR8^lFt&e7iYdJaGq% znL{Bkwhe`@zPZ7-0DsYjwUkQ#-X^X?S!yHd(l@J0f_FT8=R4~zxM0sFDpuZ>BIwLy z=j2N3UB5L>-OEz6ugNLkO1)#8+O>G5+*Olgtn@2~tXb0}$r0bx36Rqjf+M*Ro~RJw z#;U0YajE?X(qUL6{j%jo#N4hp`L57aopM)(<;n>UUD||o@xp3f#k9LZY3p{>U3rV{ zjdDvc^;Av=7dxk=?#NwXS#E}yq1m0&=XZPUIdVuTfgj4AM?0>^Qj8A?PE7zcC`KRz) z9h}K0cJ;V3lUhHbyo=8~P4({aW=T+@^Koo^?*haTgal#N)<}LfPecX}7pT9%edB$> z_{H{4OHR)ZZV?qhIWWsMw>pD|3lxYdAc=n0{;1vb-PX#=} z{8V7`CY}l`+ddWir*K94PX%1j$9*cEJNa156B1d+S-ELvXmO>gEO|}s0A6uBlh+3~ zviADGtq0!-nQths&Aqp*;ikdwN^YVAg~?6hb{w$hro#2QRX0^81jqSr;HFkX6gCn9 zrt{}^oIhxV^CqYcvooky%bh`VkR}^}mQnB`?t6d`Ms)~$3kt4fq+%A7m&pXT9mq{-arRp@@-;t3z$_Dl?K%km5uFS* zIPqg7`tU=qJB()Jp)q{76;!aMEV(3UBkUpyW!qzmwew59U9&Ehk^u9VDvO^p-7?X2 zNd7@on--s z;(eYASgyZ-CA49skxoU~C`_~mIaFTNww@JI)nGqid8)99%TqKjPmTA+Pk;Zf`9~4^ z=y~=u9s(68323~{%3R2J_XPm8H@X^y!3=%8r&=7~FLbn44NSNY+h;3=YFzCQE!8V# zjRzIW;ZxLjKu{XNtnrRO?^O_lGwnHMjkn!&(1@ZMfhspEW{n5!<$4U9(v4uH@vL5u zyvW)zk*`ms@la;KmH=oxoMmrKfv4>XZCBux6-gxj+tZYCEWhZ;sVLVSY889eQsHU8 zk4LPk7jq>na&ew#^{uKqqrTP+iv>c@t|Ox{UFYgrE%Jmj$QIaC#XmInG@9`MYDRmt@<+_w;-h-tMn$Oqs|z})`H#EE#h%s%_V}L^MOZy z^C!=-#36ysaq@_hVZGWqy@VqHl1fmiS|o$55Flj)G)e?9kx>a#LXe8Ww$QV@C9?_+ z4GY$m%BAQQxEUzgXt)rhS^0ddNM+(H?!jQEP$`B{s=E+^3FSjb#zH!*2*gzK!~u$F zlG<0qC|+f4-y$ueenqU9Q9cyxL&M3E>_`xQAxY*ELGYw#7)L-?y?`W1FCdQI>5$Ij z5#@wfGZ@__TI>gpDw|CNPc1I9#r7$~c>bkPzyb&^+-CTAB^JI3Sv;okzs=XO=|@7m zR6f3^R3P|4ozo0O3%ksP<}3T(p(Dh7HTLZu4eS`C6e7)&z zqz8L33Jqs|y#@G*;ZgH}?MU&P?;DPu9_<=M=CcrY7?SDPUTjfsE1e-If9=)s!UZW$ z%>vG)Bfdv@0rSI+lDUx7Nv(Vh@T%4sgPLD&f!PM?HWP1Fk3FuE%*^oXX${0!6B?r@ znVxRo;wVoxT)cnuqG(v9D}?(@AwuKV z0%fi167X|I>k2xYZ$`KQ2zON=0DWu2;O6Yqs0C4c$gr|KBdtCAB^iZ&Qb6l z7ewg|s`pT7ci7levJe!!#|6=F36~JXdqYFX<-PQiJYY_#Nj5{t&srloCu&jp4H5C3 zq1avmDm#QDX68qi$w0RFK<*^@-*AlLlYi%bA>gbv0p% zN>`r)ZE(KXxUyHFvUO)Bk4d^ePDd|KfF^)okXe!^a(fRmKhq10qO%Jf@wNms;I{ey4*q&J3{)dpBkt5`cM-pNk$!=OSi=2ZL2 z63H&OTXn4KB7qVJ)Fku^LHc1XxCdGfWC4O0fTLyQAxJapf`@Ji#x@Ef_9FZN{k4&- zH4aT}>4G3>P^5@l!D&{fXG@1k52VCuL7}X9V zOKcx5MJ{|D|2t$}N!*KSOw-J;o;PQOK$ z7}M|n=;s|@8`%l`3q_AV@0h|=*6tPQL$%@ zDNT-AJvN-#CkIeV@1je1>{@!yd!x`DDMTl2j#<3Vg4kU9^?fQ zS7;pss>${NP5C6r^dv6|RTL`GrO22XFvU`>yYlFOzeboxUI{M;T=fa@9M=gpNT z4ia7_SU;qM_ANohkLe-BF8+}6<6U@2M;au7EC8wqm{;L|1bv{gyMl>(i2zh$SGcYK z-?>1Ak5_;bnm9-Y6r4RDP#&GYTBMjc?ce4Vfc0LKSHSsZTzd^5zQSsdD5!q0Y^US% zt&YvMkX-v0)6eOp8QMeu@*EXbCBS#Oehm=5k;FkR|b zQa5IFVHB_66Yfv|e6`asM1?Ml!4MU?fKvMr9Dmg& zRnn%|tD>iIwMsjZWGm9kjci5wmL2oeW~J0eYVqw+pYfKvJ4I*OEt>I`o55RN|LfoO zm7g4Vi_o3X})~Ls0Ibt z+8&z9#s&AtZAg(PGXK3oIJy@_FwG2Jw^ex5KrRStjj~c!60OK?S)U`_3m4pb2L&qZ zkdmo;_N!`8P(xcKDrN?^m8b$D_?q~&8c1VegGlB}o_oGANMoZpmLrPwG&r|KnwmzG z-l2Jg!TH&l!Kf=eNTnjV9oq`PT+_%2drU5&i@ie?Ui;hg4cZ}ZqicdWsdtsCJG8?E z<%P!pp&qq?nAmcav{snEh-HxVEy^{GPi=uAC<_88JKO47$=UmwAbvvm29J)ERsD<3 zI!pm3gtMgnkwFdv{*r!g*`|Ut)Hp*y8BSpgx`_8A+EztdX+4SZ)uY`DsVT=h=XEc9 zB^a0rJ~r8H1<#rP!;tZLW-z&bsxbOYd85S5;Y6v+SDYwp3cf7_`>W|?9rn1- zp3&X)jHcb9*)zHs&**bL6;LJEkCv$&Nc@Zyqa`Q`W#cn4Urz2>>whw4k_+q2(n%rD#fK*{urvgZo- zLCHwrZAq_g<-t{+(FV0X>X)H0r1fI5XM}DKMZEBg^oXe{&uG8fk+_0a37%Pdm1^(R zyQ7v}kD99TjL@A7$4&f4v{W_cnY%qmX~fzjc!l7c=y_Y!J;KN`VY$HNlH|?>Jsj8T zZK=c4IipZ^xzsblS3F|=Prq=tEBvN$DhS=n-LABk(-}Q7x2ycXNBdy{iO5z`w4bBI zl`d`G(L`JTH=6CKYzpOV==xjs1nzC~flHjvND!H9X#z7XX>EnBA_~?aJJd(i1Ke zlQo#x=XIpJ_iAoW{?zw=+?Tu|CYUHX=zx@Ag}IuR4Ty~U?JM{de2rajw_b6u7^`VP zE7S<)eAgc7FnARZM6pA=V$P?Gjw7X2_d_4jI8rOGh;z*OF60YP(gAI9IBbm{Is_9W z4v$dKHReIl`P=-MobQ6;sClG4>Pi$eixsPU*8$@`loEia((eDO+O?`8!d>2?;P(F+`hwe_5@4~oJa%fYMr;*n@3(BZrAFKLRgS@sP zCQD)IZeICu29Hr;@Ritym$?qu&3^k=IN$32nVF?T40}ppaXak(6qb_IaFbqaKwZ)~ z>)nWR&Wd%-h3wfFhW!y8XBR%rApFwRyPkV@Fb}`<5Zlkb{oO%7EY|X#ia9IbfRyk~ zPq;<2zpm=ecyTi_b2G@y3qSaGKm7VYW|U6GT?8k5%XuEN143#Ogvo|!3H~eV zYjyH1Pj2lu2wEFKQ6eT7q>-2!u9*%yD|jb#5&RqtB4AjCS!2zL)Fdtl%!s^SY;yM~ zIaZV~%np4(YDL0+a6zKMwf-@55qw3P0Y@%K04|N7xCkz3<128I+e2ScD!}aE0EHBD z0NFL*l=|S3v+^~|@Gy5K@-^3CMgJDuLQSr+w9<%L!LoN!E!X2eCs9H1M zpTe{PiZ*FqswDFbDjiWcPjO``$$W>jf)__WU}j$LdgfbXC9*hAc%1D`mzWSBoUuf`qJVZ-3w5NeQ15Ez4un_b8l4~ z)gTeiK-u36iOdDDPgD?F7j%MqI4J{g598`0oRU&^)bBK#*ca;Ta{R>JjWd>aZlIcO zd9S^JYT7NDoulcu=#mDiU-^+=`xpPvFDoW` zg#V3ihCWg14EY*uhh0@nOxxhmz*C1OKxWHkcrVvhFLrQqrC0~fpY;aCLz1zk1zHJE ze9b3$b2w_LP?Ahg-~x}+Qcx*y_aPNqKcTs{8j|9c1L<0#YN(Y=VBlhl1SB5jEs?H$ zPcl8t3(Px429h`%Ac+=;loX#|C8ITj7l!!W%}#GbTbS$3$QRkE+9f#rAYvh`>2JI44FhDe<+%a zs1XW6d4Wg*>ZA}NgqVo_e(UnA^*npOd++z__xt+vnGMx*-u>;hpS|CA@4YU+wbpNi zQ+VpR%NNrp6Mv&5p3)&|wAo{PSsr7X)0cHyCbggYe%2EoT#$vmRF*k4i*A9+QNw$Daj=f*t7p5cGNTCYzzIfRf4BBR$`GUuD}rF4 zM?i#1Mht|~fG7m1!(8yV*|IzlD=twLF%v~h&~i4GzG`D3|q-7iVky0mj8;jLkw)? zBeA4ZX1FBEVb`MVFq_6`#ginRIG02N5GzecX1dy{@=lU`d|eV_b6ACpFSKSFt^Vec zc5z9N^)GQBu_hK*JSVN0?+=;pq!Ss`tVWQUB=Zg0Ym1hgT@p#vuaxhQwSeR7V6p9d zqkM~yY(dzMZ-o4dq_JYnNj5>%_Vl(M(fx8zC#Mn-XA-hmH+sZ2_vd(dsTq!{Kj+-8 zo@|JUf$O`T>q8j7gxvHbQQ+iNEy9R8s_vE!p=ncy3Z&w!d6H;3M&W9zE0sFWR98CE zlZ-OeitU>{(&yB*q7D^$J)Iv#9_O%HHSA3qQR{Tbvs$kM zhJK?&Q{Z}GWcfa;@t1zv6aVPVVKvnJw4QIUW8!yhoyF|=mU=$S%HTZEs_-v+zERHy zRo1SUJ>Nm6yYhTXJztO0oju=D&xbU$k&cC*nmylA&v!(1TMPAN&v(!}uRPyD_q?j- z8}!dJ#tIK$<%)#aZ^_;_O=`|JI6* z`q>aB0+&QsWW*t0>S`a3jIqLt!FLig7W0zpY{jV6P*r}rb%(7!Hyh!Z@rl8O9@S5w zq2Hn}3*VTHW|LReZAbvhUm6l-!*O}T5tWFNjCZ}m>^sa#0srdFSAAsi9j|%uU0)eB z*iy%3}l^A%$(0Y<2+8VNz# z7#GB39=83%pM}xVpeO`sV_Xo~EVdJ(Dr*7$JW+NbNE=fG5xR&siv$?5q^YY<|#Y( zwRf%O)yw5KR}q_(NY1V6CjS=^E3L5olvgn4&en)pv*tG!D_kUxYuXottTsn1-yN~S zE{R4t_GU6FK~Z75rU3BzRD!J$QLEfYxVoLQr{@>Lqii@C)7Txh#`FMRA;u)DB;zcn zj<5^ESC>quUnkxfmZCAvf(uq%=b4X@WDw&{W77)JR;s$E8jPr@A&zQeHtj9UF`vAsv0R&IL?u2n ze>z~Sd2qvIS==xh%xWak$VezKIpSqW$AhJzBF-A4ew1d(bUfM(2WLoOuu=Z~@Pp+| z@o58^l=)k+iM`;Jbl2fN8!Z8jh~6PBm$F2v8bVeP!>U{uWqryqSsaVuy~0l!=f#{V zJOdv3R$fR+7nM{~V5AIUi;6CS-P~0rH+gl&uv1pgazsbJ<=%V$*QYNL5rv$*6Q-oK=S*r?l{yMh(*11W*-VR6{Dm}Uhlf27OJ4cY?O9qh2zO!b&Js`_t@g%+xtYTZ8kV`jlqtRR=#tRdSG@$VxX|f7eMHnd| zQJ$(dO@lk5{Dh!Jk9%~oKw$}JA-YH&VpB)*=IG{`G97{QqBLI^|)=7Ps9xX37oF?SMFMVZ2z-be?-1xszpc8{P^e4AL24n`3~ z^QhFOaQ?ft34|aujSFIQ3tAJ12A1*kAxHQQv1Nh7YHQaXu zt7?}$`jN#P@7BoNHs3}Z3||v17c2)NX1SCu?EC|XgW-~ZEJ;lZ%yP*pNP2&9nUc43 z$t}QD!9l@hYbA&-dA2i9;wg6ol2>3PuRcD0tT1GHn3hq_3My|9&U5fh^=|4i5V!f= zGLSi%yJR$XpYa+V+)|xC)vViNd%{=-hSrpUh|QkO`kioxOuI#G%zN*SZqegz)?fRh zf9f}0IK!+XSKL^R%B+`a*A>Iw+QekDj>=WU&9wiBq+7vk)PP4mB9gSinKk} zw%~DCT?}AL%SMJ^HtSJHirGqvZG>Pp>rgffo|)s@bV_$Cv%X)jIFy1bkaU72$iMszPwZ3<sS2R=e{m1ucECtM(hWHc)rmEx*h`JGh#o8uV=(Qn(9EY)g}uPbO&2M0K{j+eh`Sy zi2X1Qk5{#P?ExHqvOP_a>yR;3b5-}k1$vwoITzfXVk@V}#Klm07|Nb|;Lb~m3gVKC zDQ#;h>7Z|NmpyQI6eG>(^yCD>Y)o&*m~K{o@4Nr|Fs8~X@5a>UUtmbY>1k|yQC)Cw z$Qq5Q3l0uhqcJUlLqpbROkMDZMo1LE0zpSXR6EUs#?%Gb28o~(f+#@Mnj6~%1f9mz z1qUaR(U`j6@IW#eQRiol;+L_Mn;jNaT5tn7Ro_337(|$Xq{qw)z zXa34}M-PNgVvk?@1G&NOa+i)8!O}n3cxDB?t zJEw_iaGm+~47b5n_vdsQ?5Mk-cGoJ}t}k8LrmLeT)q{5RKl;IR6^8d}xn$5;%a7^9*h0oAJ<#XQ--|&^7{mC(jKn-Un44bECs|xn0McXQE3zfKAB`2MyXG|3*$zVtUintO-YO~2v+c!xKPm8DK zkav1Kb@7=6({54g0?b8OQe@tXN}MyZ;5DE5`TxgfM98Z*qvJ+xY=ZU{;}W%z)9xB< zWyVX5J&q+IK4BmPGnyUKsWJ7LE=tTE)>>;j42&Q?oO-|PjGWF^`cP(50|;hOc3v?X z=@?Bd)F3ROifhbhc1)%|U^cbXT7_Unvtuwd#v3Uj5Q0*a<=^JGmBG|R(%06vnIDl#3sq4IkA)tyM`i`8J78rS7HXgaxT8O3XXcFVE(T$>av;l^K+j}{EZUbMD>hj zr|#QFqTbqGhmp{+W7?-irqh;h%@QNi5C8Q4VE68z-P46mtJK9pS}^lln^kH`5FV|D zG!#KrsfBw6I!2h6^NKk^2xe7|lZ9#lF+rQot5oV5Sf2tx~tg%~~jtj)$Xl z&iFOnP_gK{-_A+PFLJ!FlizV&^iFUsj~?t_=xguTJYF4g=RF=Epf>33g+5*{?mekg z3sFGXmY8B@Y&A(;6nt{QWjkE(PH%`5aOU&z$;iiZJ00JmaMDPhkEdzP$9GVuC76kW zX#fSQ72~JUqonUk3moUCnT;*|aiU%nFE@<$*_|5GUhR(NnDbzB9_+d2pOM)Yk9Py+ zFTeLwKkm&jGGUJqM%%ZP229ynl3-1zI-wDf+KWAYy32wB+XBS_s$rx7W3>kdb}dK? z=7QFM0mEc7S@Vk2OGOaWduW#+-T1~P#>c5?!CVl$)4WD_yl4Wkc8PM0)Ko4A8XXue z+Qj3*=qh4Gsw5Z0rD3c)SKLFg`ZA-YPVG;_KO=uklR~OUdZ9P3}uv zTxE7@l;FS=WYfWTCz}q~mQ4JGgipo$r6XsPso=Adi~~$d?oFWOta!hawIv8-kj51>;IIfHq(+IYV$Az%zV%>ggb(Wlp%at`d%{v5J@~D|4SY~YO zB5uO%Wpw_65(SxJaicj}Tgd!_ew%f5#P%_R$}}+`F0I}-RKN*kx|T-R ztN|pjGzG8&hKW*RP;#x-GEg=PR>~A}QiMecsZ{a9vY>>TuBMKdimO_8C6v-xP)Z+) z?pXk`hQqdp$JXjIhL@qvHk=PbCAHiSDpL@Tfc^sC2C9FU0TBd<_+3Orl5vX&O8kZZf4nzb*;@=d9N z3aLGH*1lWJ6*Q#^ZuI8VS^J{J#FQ%d4i!Rn71X%0MW^neRhtznS2lF&mZPfcuPtSx zc7`WHr-F`sQ2T~X1shUFGT)uhsWQ_bpM6xPQqhE>sww>w)UB%Wu~w)v|Ll1R83rWl zcSSNnq28@KJLBMYhl86c8;LmDkYFy3xlD1)hyLe(^nLFM99(Om-LRLI0}Rs?cpGD? zjFQK884m7(gKZu(>@GOi=0Q#1f(H!xgoBT;s;7H{hTR2s&e;yOVW|< zI;c7ql1%xyZ%BK>ZM8SXBg(9l$k$ZX6XY9bVwfo3P)LI9MdNNKi9R z;7g90R*e(-!WG@qkP!8m*a!%cjqZz6^x#LQ9A)NBcop*|Of5&TH{nb}z;cwI`JC_l zr9TqUi7wPCvC=TsM}m zrg0X+1DCCuzyXA(N{;Gm+Bm;#$N2?2Oq&v-MnEugzg)lg+)K|rhD2(nXU?<(b3kyJ zfZ(Iw^%dXrd!w|oAL+|UYauDixLn4P0umgVG&Pvr&PZROFhxeTGm+7VyeQ z-vN1d$xC2{X9r}=NFPc+HGzSFFG91Lzje;DV7xtP)|rq&q8k=63)V;<&`QsPcXB)x z(uZ@S4oET`i%x#2%Cj7n8xBcf(i)Q@+q37pgVso0lSM?@P!Q0i)}nZJ3#_eDtB*A$ z7OK8czCW0fOj1_nn9$eIp1rz3PH&H&(`s+i*{eIWU2+~NvOL?xp@vu|c^v8sM8mmm zFH>$Oe2Afd~oUbP0xNGc- z<9P@YKAvv3e^ZTaOS7uut8)H>acj=MH1nxA8l)=I)!w&c&iSN;{YL%-37V8}K?3NU zgbOB&Q#j5sc)wn~l9mluhzU1d|cLY;a()*7- zO87b`sFSIN7-QIOV#p!dV9qY;oraWkih8HUU(=RvfjGurpZra~@b2$Qxq12CUQB62 zUimCBp@J62^BlQ5LBDtl8Y6qu|Rvhfp%^srO?SF@k4S=N^J8UsSUcVH%P7XPs2REizp-@5wiYO}2LObwn}%aj-8=Ws~l(-fIn zdbo0F9#HTo3@EV2`do!KC))2Y(S9SNG2?2N!PWl6n?Li<{8oyQ1X%GLm4#kUpc$D% z&so2WQeIfU46{_ojgv1!P#7Aspcq~QZfrgeEHq%Qw&<+JZKOIEiT3rPQ}HW?*H%P( z11=4Q_qb4M;e2W_ye{Yg-{IKp@u+aM)f$)*Rs;ao^iI?jbU=StYb-P2#gyk$*SH$y zAZqP2u)P-it8g{U1A^zmlY^zBgVjllWZwtbeMUaS)pnhVz^WPKfLt5CkW^1gG*w+^ zzCCb~L<4 zSvDPbDMw&?^b;#)1PWg2nT%NF2n>9=+EBdPs*=lsIRXPk1PTfm1Z}iGB?KqwqfidL zmB*@qjKL>|ArWrKr@V`wo89Rwcha>n0QSu7$CqwDR?L2T4~TvW&jH~}b8;a1)#e0* zoluVhx^s~9ju+nclJfCA|I|C*5hLH9dgp`KrL^;9)_X4x+{LN-rp2)>vNi`vmkE-d z|K=xm|N4h~zz5*UaL~|c{CNp2bk{CrlKn^yhKxLG-T6(Zv?9#X|*G_9lJp>q_h#tF8JZN(q#P}8TNL?93)|cIYUF%6kr^Dvtmj< zqKwfft&mp7HDssW*y;WEIc!JBOpv54Nb96sQ*$+-+UT_XiipY4j`<*dX)Oe52|HEkGeAKPLv**OB%l zS3^UPiR5%69V)-RSfoKubDEC9#I|AxM?vg8QMC zCwe1A@N~yhJ1YmZh;oq}QJ|UMICnKGXS+N=09UD)X#U=lY`56864>*D8o!m9N2?9F zp4^|zCGvl|+$HJ&^Q!zDCb{nuB~r4~&9natGd(9u&vP>b)=y?yq6Rn9H=gEh&-I8} zzj1ZQwB=i?!9u>@`)xn5{mn>HDT}DbU&bj4I7&hmcxwl=NQ&CAUDgd+1cOt3eN^^_ z5aciEg2?KC5Qce(4YDxJiqv>67)-&S8K-}riOs3;T(FEO!6izzBnwjG6~Pr*Yj|pC z9mP*=mvw`>;1-425`=)fLb!ACiqv>6NJ2BwPRAp|miihcN#&BT7^upF9_#xdMdP{T zmT{Lz9#MMVuE`{oOB%}~3DB2TDs8*)edK9&$r2|*+gi|@B=hYF?&G5qLMF+4e++FRd>K>(k}&H`D441G_f&f9K%q4Yv_|`4iqoTNetxZ28+V0n?W6GXbCW zu5bK>j~E*|hJ+5X)zq3OQ_yNP|GnyI8DT5nqqgcb>zKlz%Yp?>c*TlY#e@k!POx3E zG6ASlhR&39y=a)Muxk?lBcav@esZH+>Gax#49^nFCSbn;U6Cj^46abDF1}1<0`MIO zSkVM1QGLG1$^-!UVyPuLuAGA*t(i>#ewK|H3$NZxk_<`w3qhU3Mo z-=4v-*FxRmYYvYRt8UQXD6xEp?4IMhBS7hxzkG{^XKB~>XrmLd@oO21?7z~e0a8sK zHRqxEmli^F4Up(_Jur_Ai9>Vw<**%!rLG^*8VJpnC3H-hhY}npbF(tK)^-OW>wl-+ zLBP=R&;p=;@GbKN^V!K4%Ui0X<0aXcHl^;!;|$CZBN*?G z=Y4DeD=lzfvx%P;iuwG430wYLi!{T5cLxVfyG5;4pOcf9Nlt#i*L}!;`a@%I;1*#d z3J;P0S}d(7CQz&l2ZGn1Wi}98tx)-&L9Ci(1Vh1CZ;2QFsOip(@>OtPp-Msra7%2I z;XqV)a)u}HGxQp?xM79^w}>XiJ3(ujq|@@LGaP8VyU>7O(``>pO=-UY>ROL%u*0d* zkfV;H|2(5sH%w)OePId_^QDcoZtxKQPxi+D_@DjX*b|@BT=yl}WY2YfoaZb0zp-B5 zCrYK%nniig|2|CzULfY@ge_GJFPRq2zea;ohe;biQe{iUUrV;ErQT~>$j%*z(-c4= z?mufv0CR`gma+${vZWBHY^iLA@f5c?Z8o-4Oszk3tn0x@gJMhZTf(%SZ#-?ibB*VO z!XavNe|<)lZxufgy-!;Fp6@*;hq1KgBmaRkoU<*ADs(os9u>oQ!39x6X#D=DUu?nr zN@<}p*HRNe;uJLh*>3#ye*E#aJhZ3KQ~m!)PsSST6imgmwX!NCgsN68~vQW+$ZfnFp{=f!R!jp>Y0I@qL*Lv z*xK`)w#yWr3u1T@9TO-#w4Nv$9)eVOAy`NP&>1Iheh5Wx2vThP$gET5`t8CE@&05&`uz1QQ$ZPsqkFzxLvYm4uZhpRo8}~ zD7+$xvDKo+;No@&3Pj<#B=}K~^`=@zHneX3zp=to&Cx}fscl5RxY{XyXtWN;l9rg_1hMLQ=wB@@>{iMdK8qsM@3tGRv%XwNE!ol>QuA+9vGq_-Hwq*6%h z0q}%?lp4<8o7+-`_6m(G-=_@!->>@PAN$imU#N&_r-B7_M#Gsd%yIfqxNk<+d{JitW?u6{-W0Q4KcYI!tK%r0)}9=Z&9AJfvemY!ffBd zVuB}jusmnC&_P^)N@W^gu6)V%ZNKvJ9F)Mcr>4TPD+YC#l!b$sWVNvl_xLi@WiChr z8JG^K!?K%qB#S z4r+sdO^IbEOK`UAO?eLHq`42UYN{zAneR_HDu|srrAgTV2~P#_TkFy+t2sX`iW`)TjYN%0G69f7{kNl&hT8S|^-~k?>@7 zXXUn_1QU^aBs^t192M5$AVU+adHeHfaad1-yi6Q_yh5{a`odC;lg=;O0NX$$zj1!4 zZAzPbrP1MvmX*~8jm_&+t9S1{woo`!{HA6TKP}YMow-pHqCZJT+N(F>rS*kp&wuyV zK1@@5H5=yPndinZ>B+`T9Z@79#wN08xrSn}*n;NER~#(1pn7z{?PhDE!9i|Y*C*61 zRfAO-RE}juWK2bggJE~DrOJBC$*Z-Oe(0QKq-yjP4;xrIngz^$={8C+H(n$$U0qY5 zAb4tj$SNHPXpU{$eC(llo1a|Fm#=B#E}7z7b*fARh$xbHWwgu$Ypdb5U2H|jx5pTt zu_mbP6{d5^Q5CLwubUcB#Tsh71gi2ukD92wRrrws&Md5j_aSK`+;IIDjR5l$-}A~w zr6na)wRkl}Ro}|4Gz7meW9%7h!tp^(I3$k3OK%5%De%q&7YhMI5rq?=C z0qI8AkNE@`%E_aJx+63+vXf)~o;& z>}d3ScLpbfRoZu8MA)o-1I2987OfVZweK4)Izt^C7^(aVc?#IJeK^Y0%{L)tpQb!J zx_Jf|?+##`c8gm3Hdnb{rpo<8{?<>vct(VVFuASw&Q{3aCYHmmg$FBJwq73r*kZjKrNH|2$M-RoHYb^x2ziL&i<#o7-M#-z6%}82dn}TAOxgtE_#Ez!*W&aodSY zv-U-0g~do9({JO_TKf(WC{+=fl>rlT>oO=++INsbslvww2hmsBcW@HDq<7p(4DvDw z+EFA=6EjWL=m|<|N=FoZi)Mr*nL#&$;e4uLZh_Vn(3nurHwIw*&;H;C_0uf5u>Tu6 zIzIV6|2OvZeWKKG+1kv3ctH+CR+J#^qnQyYTTAaa!ojq6cW(l8CS_~(@IQLU+^_xU zzwn;l3{rT#&Q|XiXSxq?>(%>xfLqr4;D1n#3{G`z;iRnhk=*9!TL5m`mC?-GZ)r^n zu2w+ap)I3mfa;_ivfdv=$FklJ$F~O@OV0!fmWQyhoEI6Mb)UWNfm!#+VK9^DU;Mb= z*o0hIpEfwC^gf7jS7PyiG&bgYRP#~^sb-9XwJ59D(I{P4ZXuAhtQ=c3tbK^W;66R5 zLg%KZ^JVaJ-^%efN+ey|gSEk}geOpPw19(_RgPI~U2;pIO)5VW_Vv7`R3wFQv*Uy^ zPBtZlrL8obuw`^;#^iU*gsFHlDp~p$cWQ zLfS`4*T4iQ5R~n*Hl8Ag&OIq{hxxP&SqM@!xFAQrOEtlE=XhEO(gkqAEqKx;Nri!$ zR>%;7)E6!Ym%@i$dY~49bOBrtcpGUS(R2edtKLTl(gi4jV);dI+c`B|02kci+ekM# zXvq$W`T2^IOsiO+u#{0fpf-0E@dwCZ)e!cEGhxZfirD}*yDuuES6cTLdWArb9R-YD znKkJ(OlC!VoMcvDM{2_hzq-P6#L(0SGyo0^b=Wc5;fgn1bMR5zCEE!AYVG)=L@se3 zzt(DbgL*A{NN+1HnYMhJQDHOn7k})>|3adV&?d#Al%(zi&D2}+z_L(nfg&bVO1jIH zk_o0}>SfacteiLAD{S1PXhK{s44AfEmJM)0AUwc=5SL)ey0ExKQ*qr)4W*@XHc)nK z*nO-hO3AJf!}XM*1{J+BJ|nLvO34IK{zL*fc0T)<`dCqvk_m428x%FM4{*J)97qTX z9u?nabrAK1dfrYWx8PAOiQYn`k3bW7)0RG}=u~fYE2cyZ>CQ8`bo3zWqPC6aldg1# zMs^j>x~viT`KDS?R~^)NnNDZ!roMc0;rSJ+_8{My#?yCiWcfag_dUPd8n9&C4FW&FDpVuzo0GIclXZB~+3z<9ynC_sZ`SQI0zV`0s2*9|K=1!o zfA`<|zHc4Ryk1`KBeK7&-d`iEmKA$A+A6)T^SOZ{E>|)tAnUZO_m3NZ^{V{PI@hSl ztoMNs5Ok2v1oRcwmZaAEpa3#STtzEcBk(XHs-wMEKiQIu!1K?rW1^$2t3OF*tBkrB zj5w#>Uo32#LXyl0lHCg99z;^++U0L_cRD%%iPO-1k$DJ8=(2HryPkJ=>^x&)v2?(} z|DB6tFIyaItqBRRPcJqAdud#7!2XsI`U%U^&-O&w4OH{je*b^+cRw|fqe>3qAcV8+ z1i{hbV9=lKG7!QAK|u>jGeQQSc58Wy`0f`$6#0qIhn6s_d5aZ^jJn_f?Z$?+!lM*r z7J`y!5n2QcECn;1_?w^(g8HL22|?aLU-7u!QbDmp8I8w{AXT#qf+udQ^a16ca zja1Dph=G_#QMkMP)>_#-a_MndFn7O)t`n<$> z!1jrU>7}Cw!c5>A10e!(@eQeiBJb#7BERCQf84Ust;2(I8 zB1f^JBpFSx4A=%egy%PP7fvlnMibnkbVhOxK>-*m(vtSm-#m5Ts6Y!C*4o ztj$7(V1?)J)*w$6x>fKHHs4xIsV|A-1ZQ>w`3Ik2`?YI4zmTKu*aAtgb{V9@e8wln3HiU+6QA@PHJ;yE-11({BQ04;#PNku z3B;f{TW)SQrZ#Pg%Nv$g=m75w&!5YC?ykJY6iV2nUIJTMu}rBw_tjmdukKHN+v^_u zoha{7ys5459qhx%2v#ZF?VCDX0azQn+KeQ}hDk$VXs3K%7hKLOl}`D-F1Q3k40o*2 z@*3ur3U_-N)-t|0+^?1^d`nOJ4f@q+pTruK4{8ssuogo_xkIf~UlmX}tTAbi9`$Z( zRp&VN4eUC&SR9KU_5@G^mjg!^vg;<~l>QK}pD=sh`Q=I1ztbjTUI+kP$WZYnNdk;o5Wb5j( z;PExz@bka+*`dOe>CW9Wr<}yh-Ys6{6(~o$gYBupTrh?e_spxO3Uk5jamiT$pu0p< z21}tcF(y@*3!;pXR6)oLaKu(4NEKED*J%0`!JhH%RADYSFx`DrjTBc$J)}O&p@{H< z{}-N7akP9_k#A)540qCYbXt4N{Nd;17--fK&_MsZv>d(u$w!Gle5zitcjg9qC-m-DUBW}eTuO091vDX zl;e10OV4WOoM}~1<<~!ftIw-@-Cz6@KlR?xw3oNBAnj$mx}Jkk)_hOv7y&OTNJi3L z)~yFWu?+c)6?59l3X$Q}m4Q|vSf#x-;Di@H5s_@0QKI(sYuq2#R`0R(;ij=-PJ1E1 z)lhf0LD!AM#35Lxy}1sc8hB+ZCrL-rc@6U$qkwS^(T7SskGvZs@iPYVpMBRgaEUDTb8{uA6GB6Kc{By zE`DvvtuBB9woQI>cfH_E$sBMy`L=wS)23iakyDd~`8lT+r4qy@pM8c(Ly9G&YN9-T z&p-9fTq^O@J9DW7_mxtKYS)xfiHldWn9?~jAeeJ%m&vL9?yvvXKI}bV)-^iofHlhv zi!kV&Il-8PaaPSzfRHi_XR#21v5diFu;#E|vu_vC*2FLz&{S&~X9#An zX1m;?EC$h6B;P_XgEg3+g3&fGnCSku#3Z1CyVg2ih0e8Z9T6kLfLuTE+oDnQ!kz=@AP9PSMWZh_YQptq@&HCnRh4aL6b-@H zWS4uac0#0tLdTv=BW2@mu{VyMa)w$uSyPWT{9pKj;_TQjdIcN?={5ZH zfJM3_+VP++uF!d-Z9?Dyv3iEMNx3ooYLCf)ctBY4v9#jmB$=M#E3|HLE+fy5TI}k6 z)(G+yI0Vrk#D{LVLd#IT^N>u>@fAERK6QAQ&;rzvOb;@?`&yfdKnvcPt|ELq{wwY9 zo$(UEOv1NT=h45>wCC=+e(tcDpF3>k=MI|}eD1KCKX?4tJa^Z4*nA%PC7!#to=z&Z z)P(eE;I#~3(G8a%T7AxPgE&wx_wJBOu;OqU;pEo#!tgr@W>*|e5U4AVxgBm8=Jlb* zDjg4eq;f+cP#@3jI9@V`vDwc1!wkKVd3_sB)l28cfIkLGrGk1*Ljeqv;N@n8A$_&q zxZYjik&olon9^7EZb6_vp4;hy4_E7bK7M<(uD<`e`Tnwq*PqgVX?H3l3WtLvw2 z$k)&9bUbq#u_>vI;s)Q>>4pWo!rl#FSSe%L;-^?%ZP3VQo3DRzQx&*js|fGz-RGtY zb5n9EW#tXEXgP0{f_?hAXP=eoMI2wY*ja;epAz2?Fd)JN}}{EfsF)I;x_z2+TQ_+ju-CIGskmbW2!8*oQ<^q)}1cvv+a zX+6pl&u>pyJwTvU3=8|B4SV9CrS=eI?u#x=hYBM)-%kw@KqIadLNs2SzLb7 znut+UV+doET40lD3d2+z{eEjuEVP-0Vs@&2rv77#i8i}Xjvchrr>*fTl5{d97529J z;_d;_+|7+#i+fXU(K#*Dzxl;)|GYOxg|Kp~dXs}Ot-`HEWv~SBi=zebw#$-EE_guO zfD)L3*jXd}5TxaG!7^U}9LHYyp`_4Qk(Sp5w>oed*nyZ}+NxNQfrtwtfIveuj2f7q zkyNS$X?a}`?H6nf!-@+}I>m~#ye}f(E$?SylT-$ST{( zIJ9&ll1a(uYeLp`GIEIpgaEPzyeGQmxFNI)vMLsls zjfc&iO&SPQ_6uQU%7XGd70CslA4(pO31TXsl9Wfye;{Fj6Yxc2kV=wJh_6`!C9Em9 zY$eIq%Oy!OEnH8iIuz=MN;2Ob6bB;r!EXdfZ4$9Xh+bFsXR%>5H-1eN;4xbHQ~6tB&?vw`arz)c4L-#n+Mlyj7`vIGQoxA?Ab>c{mH->vXXnarG& zwQMKtT*JM9r}4BG*pF!SO(r+nqduZdZ>+4RE#IfC|HltH{_Imxh13tlL}!1`#QF@y zl+OM>%%*~3N@xEF6oVV3o&ARcd`BpQ%=1ZQZSe%knKmr&fgVIwsTIsn%-~2a-fdrT za3og+#VpoLctK%-_ec3}Yz?Y5;>NyY>Fft-HK3^cZKkWXM#qI(3)N&m%fq%+qER8L zeL$6CA6o6xui-VVN4*Y^{d3f5@XoESkHd0eo-`ru==ltKuK z=WXl_nUA$YE-Q|=3+@(#bI{O&Mjb5{iWMa=GC_<;VDN^D!VO{zF=HVpfsqR?5NnAb znv#a7V2@z4)l;*Hs9JHNOZ+ZoZ$; z|7}j(Y*K329M1U5E04d}6F;I$J1+ff?(WXqO}j<2xtq?Ydrq!l`4P)6f5(RubEm$C z*&*sn)L1@nM z14h+E#~yPg+oFYB^NCSO@Q-0cyOnj##@!J(-+y&Lq*`$ba(JV( zO_KTkp!Ek`H9R{AtvYq2)eZ7tL^-QlpU{jW##+sP4zKMmR)=t%F+^Q@ z<0T5O6g6#l8RlRNRCP8P6YZVJsG)$>k+ESFX0D1visWu71*A(gp?*Li&q4iI6$;Hz zsuEdcdQMfSz=R1zl%K~@L&V$Z#YaYEAs|_xTIVN#>=BHbx^@aa4ux$m&-2pMk9BIQNxh9ZbTrZ{A zA+k*tZ(I5-GM4D}Y!g^H(-nzGbmz2!InibPuyARXV8VsT&Zm}m+tY@Th@>Y{3rf6g zf{}>CWR*H~re%iyt&!J5qLOI&_RtX&Z8E146KHguPg>^{kBPIdv3Ww*p34W(M|nm5=3F7)^JM1vHm6N_XOq=WFFUM0s!n#v z>ceB%-N5QkOZCmL!*r8!ajQS=7R^?F`Yk#KcKCtg_x|bxvO+08g6T!NxUO_pt8bng zIIR{N1fi&CSYWIf>`IQ*E7)Oq#0+-|E-{a{5zJxjc7u^@V$-(W!u=|$T_V1cI;G>* zm^wVFebXB=?0_hqa~?^+fs1HPog$AXz~j0TV}4w00pQnPCc_S9O_|YN8{u=Dx`rKM z7N&AwxIsyjo{M9G>2WpCM4iQZ87K+R(IttTEJ==c~thTk7f)r$AK>X2!48RoOnJAGp zsVHDHqhC=w_^Jc4QSBfLGg;x}d6XS5U~2K_&Ei%Te}2xd{$CG&CJM}TA&LK z!jHQ0X!*lXFBPn)tVZzap)1?>J8R!kc+@viBx~QpdZY9O&}>#W9;eRQ7gK|M$S<^N zr7&vkJ2=-PYu{4*(;e%f<1YQ@!K6*bT+nKJvOi^A)zlL~i<1X}l82^!e^jec)1*kn z>eNxMUR01}PDO}+^#4>3b|N0%?ft{EROQ4W~KA(^VX6 zgpcwHM}>so7FHnctsCM#?IV zElVeL61xK9JAvN9lcFQF>lsZ-HRFN>lkOW$N=M2CF^OBX2S5ySdu$i-@l-P|xZP|tJIk>| zsy#xGYQ_c8JHvPvYGz61NvfF;q?##$h;k9{QqZ+W2vW@y!2@QKir@l1RkI?)SQkWZ z;N^#%uC`XvwqAIfpimFf$(8K6pB+rwMox`BqxLcz)@QI5(T&@lKR~spN>!jII$lB% zzDj!URYgy3NSryJFNj<-$iER?q&@i~+O89o&LweoR}wev7PSUxt^mJG1^8FK@8f^y zr=tQ~xjP*lF(%I^%78Ag;{k%8!L(gg66b<3pbNz#YG6W;M%@LsnA-<{81@B>e3~2$ zK^k=zL|dw6d$*vAYz1l5i=Z<1VdevXSMAD;6=~F65XKS&l{hsHu&~7iM?->P(^j1Q z@`3W@nDF-f&;D=hiBD=g`vL(qM99B3!q*^{@fH2w5b66wsZ`e8#a8D5T@{g>wq6D> z+|*dn(M!44ik|J!8qhV{x4W}%rd&o`klDW7PVV(fe)VTR?zM^fK>#EVS{)@qU|DA9 z8oQdKL`{d&R;I}!x*eUNyCxY71-orV85=ubB}u7{&d|k~C9ya*LwCDG>k@O77y`6z zL9~pGp)#2(!eV0jL5+v z{%^B8E&oavP&GU^nk-GZPUX3%OxiM&sR9+4XBv0`l}s(bBfFKxkmc^)1kjDKrNhx9 zHlP!RPFS9HW8(6yi6N%qXUpd$n4Yh=1=O$lWRLO~!Sr+&LKMODOz^k>m81$Tt(3#Q zCjGt{4$Um#jtkJmll+P=L)UnQaVl!&wz4VmZ_0NI`NaCFyiIUn$ws zd|OIs+VXu$>D|Bn+=qQ@_-tB);Fv@yNjPj+XvOY3%&3qTKFTI?#YP!nfuw+qIt;;F zv9T{BERL8#(5%P@%1>Q*fKe3DsRpA`a>1B&f$qDux{XT71x;}RlR%=OJvEh*3tH9$ zg>@j=YQHrfIv2E|n!*5M(>HAwlL8e33?LS%?LZr&%@ z4$4@Z)dPr)+b>?#%c1Des^GA8TlQGh%k9-B*CvXiWRUycS2&COMY3ApBhx$_1zwB(I^a}xm<8uh%2 zev7F)GOfYip!+)9!8_|FICSDK+TpjQnx`$_r<&jU8~^nezAjX=V)w;g)U0F50k2oc zH`e*p-W)KZX``vKYygy0e-3!JFv5@!6o1i99XVj+c-z!&e-3!H7Lvm89Pnywsw__3 zp95Z^O0N0V;xGC&mK-oL+ijj&RI{A`$=4%iEh6wLH#>%a054x5Eo!oxtJK)k)-*qt6ptLdTK_`g=lXBC-x(kbv(zQ9&9?{q zwJg2Cn&>3yoV~g~;C58W16oYmv*#ORHJuWJiv6sK5&+ zbq8ZVWQJfRS>2=Ek&uhj%!?Td$x%b1_M;l6*V75BQYXE3-R*Q2=Q3f0YaO3-#lGxp z$iyd=2B$6!UNL$P_fE|LPTfZM8vEi;JS635yOyS<$W_YQ1W=%7rB=M{%rbD|R*1@N z&n!dFOh!~dd5fZge8KVn1q8I>c327yDU+v}dTD*{4Ir>sV}JDUK%n}3xM3TbvtUrBMLn`%k{npe z)%Godc%)ZZSOv;na0!vO$b}z+gxUK({G(p??(o^QxWj$+Qew6tiwK>lxn54)81;Y} z7(g5x>V@*bl|;Bcon1w~kR~Qq>aw_7ln+{N`B`byqpi^6^ ze$bu)qM8PWOsL%z@@G6dvgpfwmpw0`c2{V(*eK`2Qn~j7wR_VWl_JH@zG8NY_#S?R z_8SS(;=l+R#feywyGRL+t2G6qvNZwKa3mVnTy@ZFz*_~S#;>%%&&V|~WUWQ1l`hTK z+^uae8Hy)byi@@%lLTI&RS6(t68he_&S}y1b^YF8vwd%_tM>+5J?{hBFd=Jy6G z`g_BV6W$w$c`+(K#u4ft$9scQz5Ms)trmqB=eWE$mJ$#C9YA})0#h_D+_Wi6v|bY2 zO9$)-&!qz(P=kHk4zO>%zT)Fi2!DVD;=#(t%kvaqBMzvJ-(K;BiQ@qeR$%E`-tTlg zx6}Cr+)T%BuGSS5@LC|QbUe4?c&R9e%{8#jT%xRf7$cP^)_DUSzT;L~HF2fSlmsKjn@#xx0gfeV2PUcS=FC~tvl zhoF3c$#;b={*=4YFIO(O@2BM<7KC_20v~_UUD3ef$UCct@|)co9LW9Lb-rVDVDgCE z6&9=i&+q!FpM6ght7{dLM=n;=gm?25s|y`0_oRyRYb?`D;n*R2%>;_=f?G*lRs@GC zE~#0H;84LObxsk4*;B8suig@mOp(0ZdduX`TTy%C0EH)>MBU}d4v)Gok4|;vOyzY! zDzE#ci;SNKW<0*l0kn=<%7X-I`$I}9*1xwA7qMV|iE5QvCs)Po*pEshmIobn zonrl}xE)OP{D~MlY^-cGT_>GnKf9F&v92GzsO{PF{n;-t@<-ML&Y-FCv}U$4m}aw) z-YKv2%;fV^eGBBjnF(+(2|ak>ds4qgQ^{T@q_Oo7EGh;lIVOx z_5eQ-E<@&^hU6%ENL{LG^g*fAA$aJ2E1%FGT9mKv?12zF(7Vf`8q$Gq|JQfHmk#f{ z{L#571x9QRd5C(RI3zx~nExAl`aV%=0_1K#^A(D7S*D9e_PEDuQ$Ju*(NiRSt{&R( z4(c!1F5bHIbYE?~sP(u8px>^U9`7rVCRoig40h+iNzXUdFntNzXHMt z2Z@i^3Ip7mM@%4h|3>@GQ3+7D%YzjO$C?7UyChsGjQrul2+CCcO7SoP#!nCU)e&CJSyjB zL5R11;@|kiul`+8>)Kx_Wa)SIr(qzncny~Ax0uDY$n*$Kcera1T(pAp8CjY*16!;*bv<Q(5E_lF2%8Cdt zw(3=dpoFk z^`~LB2)VPQmu%6Iq)X>#w?Rxyldrm+Z29)s{3)FMz`4oI+4KDYLj?w!$aP}WQY%Tf z+t1D^)^L28WL}!<89!&PbOEh68wV-5SBBB}Jx=VT`tLlkuei-YK8 z86M;Kzbe+Bm(a6#IYze_!%LXzLJU0$ZDjT#N;vwWsrZ}4RV|?9+cNLB;7aEOb4X*lLqc;?x;1kNhpThBf#P!78J%+H0H+b=481 znebC@*QiNjK#%@lqgad;`H&RBExxu2E^H)02-X=5%vHeGmX;bRw##3;$!M@^o05CX z<7CbgQS;#gEB zr2p7bKW2>+<=DZ3rPD=8Q@SNCK3>-p+nKg=9$|2q5e9Gin&&?1W5y~fKxTv??2JWv z<~C*vw;w8N$OaA^fm+Lss&^F1pY}hHA5~mEGG<{3vv~u#G9zRb0gx2BUNQS0gCire z|1mf+GW#DONmQOycr@KQiCj^!gZm*liUZgW+pk^uAA@5kYySh^SL-AeK>w>RK5Ex# z;>7gvgIZV5kr~*p2K%HvkK;NaogVqf6rH(loEzNlBLKzd`V>Ge&kI1McP9ck?f>@x z?#49F)9e6Y+#EwqVUd;)ZQAmEA=+!+`We<%2#iKYl_Q&K6v`pU5Woco=f+S)x*!&`tShK9hN=(tD`fuV z%WN=_PE<;qI%Q{{Nc9Xp3@!wzBFm{U^IWtu#>)v~U`~%ph*l(5OBRSBm5FS!qHeLI zs7RLt3V>!Y1T+91MKTvth>CQ{{c^30s>pR)MPOQ!2FxV^i&?oH<^Z%mkv#V<2^bMA zV7yM0(`n~aS~K4tkdpwG!*!x5%y7gcnQzce#}r^qi1BaPl8A^Q!%jyCo=v6+ju^~oKCc&NA0SkjG0Q0+Urq$ z;bi=cS2fH?C8X3zL2t$sG$6R#Bt#6dY7zoQ2f#Q%irfwr4ulSYTsk2FyK^8tGD-o= zC{1371J7cVLJt~vPSWwm^P1G86eE2dzS5;{==D#oQ>Dhe``7~BS|G({6UGq__W1~- zy;LJ%Ovil9k>*UD@cu!a@KHbfkN)jN<^@6YRo0V96ziV7UFYU6v4a!2?ol z5|W}!+>Cz+(v2#DWdiOf`?0lbZ%5dV;Uh34C9&|zN-pEnG}3-*l=jnu1ML`4dF@mR zLE2~+1S=Wm{$fQet@wTj(nP!99<#ks9Ld2yXq6YoECj`ka!Irokctvc$NBujYRU}l z4GX(W2Ju4UyVag{h6jZHFEV*^_tB%!ePoaDxt4Tl zu5Q}$eSzSw{PvIetlt;8I?XA1AXu{T2XN`W1ugcdS&)fakz==UXjX`T;!h6egy0%Q z(Ri1$AT^k;7$qG@*0q8RG+c1I+L87FNxjv=N~diXl&3g=r3M0BMbxrj^X;6P8mz2{ zYEsRYp&Gj_fuII+LFQ+*S^;{gtxur_b3uFqYA~FdHLK0H77gZ-D16664M<`RUQ^}Vkin^*YqD=yL8?Fpqst=vtYYWJNTF}z zlU`aZF_1GZF$$+*S40>}wo)BX z#Yoaj8OI|czT2`5nVXc(kD&0J7_S}6BtK7}b`k-eANJV$a;~zT!=Aefd#2r@*5J(v zw#y{gzTl}p_vwG(cNFwky^l`VgSn*I^n}5lZKOaj!`*tDOogWI74STmkUV*%3VXE6 z1cD1rsHz2Nl*)>z##AH`l`e{S+Aeb%T=0mFSpkKCO?C#;Q8k}9*nk|cZA{OedMP9&vjGXIj| zG`M7hQah$W^Y!f1nN0gla&4_04?7y4E+wNDdvZ)QvqzujCfrVP&7S4i zOuyrWx4oppvZY4G`yXnirB|d5X4);9&GhYzI{(n^|Ma#0__q}^t=VFa?JajqgT{;_ z2}71B&Zi}?U4~8;LGPJG=FcF)5Tu!Q!KlL>8Jij9wLQ}?8Qc4cfDkPb@6aQSTB`^F z>l%NxVogPe+JU+OG^~w$x|GS8vQC_u(kXOA42b`G3sOK%G=8|sxi0t*6#<~26%hrt zpdi9dN%E6@biKIuq~Z&ee2yBS&gY`-^KDhEwebs@$bSadnQO-6_C-GIF zFGZot_ZJHICHTLgtNK1sD(NrRTmJ8yr+5Q8h|YrUL`R1fQ=qq~F>Kx?OWF*5-5vC0 zT4}`8w6&GXQtj>pD*1@yH9 z!X)-0hLVXBWr8SK)Fcl`Q;Z-q1SKD1f@s{*k?cUotjsY4#jP?y%p7LnB!H^@x-)4^ z@?|av3MznUM6}@eH`geDyI-SLfdYNn_>6Zy^{DZqeGt2$#;8dJr9Fluxz#Dhh0)2o zdUmI^?!@G|iR-%T;-n`pSTgn53vNivTelmo>YaMs4cQ$^q|qasuU8(14PN;M@K7d%C8d!PcmUz04LmWvRj`_wAiM-?6|EqCph6Oar2Re zBZ_yfhMNv%T)gkf^v%dEnr-9sTXfDKp{q}S&1b$bYDu(u)MHJnB}I9?lwS~U=oai( zW$W6YwW8v@6s6%>N3x7j8kS1*!wyL-F?n|R2uf)i{mWS82foY(W$uk2)t?Kdrh+a> zBK4dLqUuAV*CQ}7jWy%ck`{7FVbw{xPP#6>CccpoDx#%9d&!d|eHWKR4$f#2H<;qx zu1V*`B@t(9=#D%vn$PmtC%GCL*q%;Z>Hera(d`-+)W3CN@7DcLvHtRte%bzbTh@8l zS9}t#Pv0k=V>t3|>^f>dhV3%BIWkuU&^E-H$q|ss^<1UGQuVOh7{ODIYKAqg92uR_ z6OMpvr{mkk0K~c#d^pw^fa?XgQ;KG8qvQL01koPt5z{q$7t>AG#l4GZw`le*rr)A- zyo=BOS023cw<7Y^o6+$uF5a>@*ol~}*M6uSFdHw-FFV~0n7xbRZf|8QAWdyqRkL@& zSYNR5?apVT*|Q3NC3_dct$+-$>^OAR4YdN+-o;QWVCqtOVz?DB`+mg%LlI546|nLy z)@U>k-=@(Qq z>|p344=4?m*%7Ei_>Ln12>ebR2|+O8`blC|jd9ZvfXNZz`yP%+H!X?c+(0DYX6)vs zyXRiGejv0jxc5L&9t(Px*%7z~p=do8j3dKJGww4t`t&L`!BZa&>F9b6L4=cw6w1WCA4xS-+G|4E@*;ZK8m?V!C zGX#IwfUi_m>_PuC1iwddsy4pu;EqTDA1ey#>R;xFG`e8*2>CUo9fEWOYzssLV6_oJ zRH1569)bchxFq}lD`?%IhrT(twyZe*uQ>|h|7+{Y_ccdNu^Pbfno>2Q+Nmd&H;2$e zrkHYil0w1mF?kqw(zE9U`MFuNbJ9~XaU3NOfj5Z&xKLiZ!}^yB8HZh}0%ED3Om-9z zcSUx>dY*F%UT|NCF}hJTU_uXJ8|1ny0SK*DJ+*aEtg-izEiD7kMr z3{4BUskH4zlsDQ0OOpmV9S3_wk$0x`LmAuB2lCieSuL4gBWT2d*0X7Gw-&nAe2rpw z{nR?19D<0f+iMiVYl7&CM~(u(IlP1p>A4tQ6WoD|M@|hxEi9<{)M|K5(%c9dh82MR zrms`dn|0(@CbVWs(D6k(d-4>ju-H|{`t@S)1MGoel16I zFThKtOIOJr)TM37H0_KFPG)x>ByDH-?JGuCNe^i|!|x(EM4V19pa^aUc+=?x6u}|d zbb0|UILw+(l++~)n9$3bPA{NHu9iz>j0ccW*PbJHPcOhFx68G0%cEhmEqs^Q$|cc8 zt8?9uEVXV{+XatGya1O(Er${pc5nEo7f>v&&uZ&)0@OzLl>e!Tp1lCpfUNJm0cCkJ z2p?F0fbgj-Fum8P15A5a7FcagEeo_aV8j~DiTml+=;Dd{X}4&07^dH%bMX3K`3v9q zi+^lv(lHE?O*%#jTdh~5uybnIc-vozvcSP2J}MSZp0iKP^6|$7zf3NU%ZYn7o*6MP zS_T@yDsc~zU(EpY%^J>$d+<5vIA$_T)unMT**S3!2mnO400ZDocT4!H#Qo_KzER_@ zcKgA@YS2oV#foXfPR`z)#fqKIkNY4Pa`Ew6;_fEO&Y?IzH@juiFQ&@0WA19=#l=ZI z+&AfK=f<6KhBzywhXQ`wjr3e!nIjCS2^`v;!p~Ila0P~FaB>mE=^I|0N>VUr7`%^^ z>>Xa5YEnjq7pG?%LzYRo%r*Ol7pI5&3LIxTy#O3;o$?ha_V+w0>Rhz96EK>zmgo0H ziU^7%CIw2nDC#~tGq;88$|dm{7(4fREz=mPHPh35g-n6Et|(2mNq4pgoLtldl=u2- zzvi?+DUZcei0XBn_8wryZ+;K3X-_{!1D8+zGl|vBjrRb@zRdT41BX+KC^yr4fGeur z18y6m*^SigW z24I~|2!V=cO|~Q9v<9DYPS}AenlD5YzCfTpp4;hoTyJjI2VFC(v(xc{f5-K&xgEz# zT}o`OcUNEsim$WA*QwHhYreoKf4IT*W@_v8_G*Lm0(gDA+En>F z2-L@OJ1%&$x!OQ|l?vR=@@i9ke+bma*WZ7$y;|q{zgb^lzHz>O$;Zd1|# zpRK1Y#Y{hj6D5IM1ik)ZOz!%bk)gt6fD_Mi{R|xP&N=#~H&q2bx`9In2RE^7q+Cu@ zQgV8z69i9HoA5$U&#_wQY^w5=PBtztv{Fe1Do?&Ej3B1mm43PMGxYtmJcL(mgPwK9 z*0j6AL-{?*p{&7r)!!A8TfF!cpZ#k;74+Mh9d0XuI9*sc-cTira+|swNA$E8&tSZ; z7WkkzrY#u~h2(;um=MQ#x4`g?W<}9FCWzFTc`JL)Xsk~yHmxq50Y&%p`5OcRxIU#Ve4=*MdVv6>O6H$5yKDd9p$}TXF9dKeL7g$wyxWH|ZA&UE} zkVU|V6eGxXxa{oq&oLTH4l9>iC#I$I)h>6ZWDy)r08L){ zHWJ^2i=WX5oqo>xBpObn1@KEEqY^IsgcqN_ufIo$Zs(eLx`7NxPI=7;9tv+A~D115M=r&UD^cm`Sl~7#HqK42bj~ZAM>#iK9z8z ziMmdQR+ld1f8US%)!#FLn@(m=keiNebM&Dj1x@Sk8E7*yn?B9Y0-{0183%zUpg`3)>f;R64_yHAq=QlrIaQ^f$|Vcp!kY5AQVvGdJD6 zI)Lc6iSPIJ)O5`WD|IT?xht3Fakr;G_{|^vrLT$l{5=w2+qXCzrd!N%Y&ckmlMD{l z&t#kZ&yQ#dRH zX-{1c_LkQO%DIh`;DjLUsS6^zj?5wj(FWeGNPFsn;Im=Q6xh{zX%slIBJF7rEQ$6V z$Zo4|J!&OOxX2G`{ye#D$kugT%&yig16=l(XH(c%EUX*Wa+)xc7nRW~?;nQH190K3 zf`e0XIT~l?K(xsPbs|YbB6k`|zTDIy;7)2VQYb1vkB6e1*N0ZR^Mg%$ zen&L#9BfW6ak_XF+O%6lBlSw)0gt0>;E-ZAm@iGS(M*H_VEbRzM!U<)hM8=f2RZ4elY>=Si$$!B?n z?05t`*9hjsSIUrqD60{quJ#qn7*`ZnTK{mIx=MU)Fi2HmD2$qIlXE%o1&$IF3NzS3 z3T%k#3thSFai*Xu)|{MUAmi7#L&J{7c{}z6)mc2z)h@Z+$&yIAVYr9t)%Z45#V|O- zby&v{Lp|CeZPC?b&HYko$hVt~0G;_VMOVAzez)VuV1A)(mvS`Uo;~iL{+Npm4r#to zzCUQzhIwKd5zmI2NdHEox;kRFs8p!*bcwanU#Em{mA)=#c{oBxqDL738b{qmYqIO- zo)~Y|*XL1;N-{IWcw){X#=D`uwr6-mq0TYhD;VQVyG5^G?yTaJP zBlQsMc7FBCl?D6m3J;lkj8YXRvB+Qg?O*(d-}a#;Z=}||Q-ptUEb_1iawh2Jnq5gX zx|y-ALC`W|k?8hkI*28O-N8#4iv$w`9Tfnp8!!nqr_M3O77T{kUXGfIRxrmDgLr9$ zMWQb9G+rtP#(s!$GG_W&PiNY??3*V~y>k%MbU;9g!`B8Vc z^DF+V{mGdq&joPhaG&->|JVM|DGX|SXNn>kbOX`;ixw#%M~-qGv?GtqJP@!l9e^II zs__EN^Hs05Y$rby-4nUj93)HVy-&G5G|yMZ%XT^*z45v61Fij<^I=ybht;EbzCNDY z>3EqA71z(+|Elq2q*uQkKE-!ChCHAXAL`=#avcu}{RU}yX`dB;C2Bz`_iXMBu{!Xo zDaIYL8OoiGwx2^9_4Ggck3aBVj^0O1IAmWf30d&|=@zsC{1a{M-Yl$o!*+Qss~naE z*BGTBE=>s1u9p>$E2UE29uCsdc=TOZk*~fBg8c@)kO(B*N8G(^j| z?Ybm*9<>OE^Ch$;CYBVp%Oww}+?B5bN}s(|1;?8C=Aa#gL_s|JQQAoJPbqGfuZamx zd;FFx&P6d$b9ba%CnS$Z%!_2HNshDU`-4%{tPIAQbXVFns~d!7cIk`RQLVR<`3{)} zjc>F@-B2r;ZxNtKD>q(wuWkB0^F0Ec8Tr70AMQE)lD5(%Z^iKm=Oh@l+{byi>9`l_ zcJ%RRn}c(m;A#8QO?{pzke{AnYHe~`Hl+RG$@l0?s%)8v;Jj3|$s-e?ltD6_4SHKu zmn#G+XGONdS%Gs?6C1%hJB!!|*PCmT;ftN+Y>D@tE=OFelDEdM1y}5RXU87sfGv70 zDV>hW*{Oi4y8R|wUw>almTwI;WBc#;?Em3wpO1zKrT+HV-iW}#vbKP$$$qM?c!qCHFg%n7$W_psZP-BDU z8?K2_QZ+NhsBb2B5@jGA4&1oU*lTl_UHF2}T~wg(QS}q}bBFpB?|#YGbK~c3pcI}L1cy%ma&!1kOhg4A)v*Flb; zN-B^*cbLF;L)1ThKV}h_=0nGWWf3Gmi;km)akoRU3kKj_b-aXQ>3D9Z3l>V=I6oM7 z!C;%uFTqzjp4;ho$$F&YkwvM10Z{N(z=CY2Z8RK^=N`N7yv77NcTup9@i*n zl?e{==ZeDgQ=>*kTq1CVS?(lO6wPRY@Plkj)nX^(H}k0#tujfJfMA*>(-&y*Ykx>Z zt9(u5ZQwgG)v#`6X;1Tr;18)UGG4z>fTZke2SOBnOD{~NXX&p~$P1RUG%HV$i9;xz zD${$~%4WFg@^DqyX{lWB*T4!t+%Q+Bw;x-l_VoAiTqtY8@>IPN4TN1)m(BpmZ##ppt}+C4-@fdXGDOfL$wH*-khiNQmRsaJ!@pfaGCyu8N}?Xw{o2iP&M}2M?nzD{C4(I3x14nv?wxw?V=iDkwhX z1^GGl#HT4TwRA$`y^_cO1sXq7e_x*Z`~2*qy%vw23j5A~@2`EsX9UZELeh?cdeSVC ziWuibg_HAeqQX33w_34}o^#hh5zq*V3Uk3oDh`iR2b&ui1OS(=7D5=BPc16URz!}D z^&3no5@4;bQB;@-TI(gJq=OHk5fp#UR|IpNC`FLI0za!)6cuKIMyQHY)1PewtL$T` zL5BC)$)#4=#{_2x78R(aaHhoa}et~gXa@ye1f>Pp)Gg|eP04lYP> z@cJjU&_&-;nXC%7c6UDS6TL)%cNvN_!Ch2uRM$IKmCuRQXRe=q@b>4fzxb_BebJW& zuq4es_mtJ!OOJb`x-*da0|xczC*7jfqn{J2mr1OC@c*~}7vDEl(1e;JR;1EXSW2cT zTbOLLD&M0ugfHKvXdQssrLa2Oi@6lQk}y$(NdT1gwx`Z61$vs4kO=&*k_yW|Pvueo z@6q`uF#nwzsmi4QQG(JtA>>-NC$C%z>(7TnvqcuNGU}~M0XlaHMUi7{s>nvoznasW zhV$ev4W$~<^Uc4miux$~@bV)vT?l61KE#UhhijO9`|x6G@h6)7cC3lG0VyvdS<;kO zYcqH7-Ii4%Mlx0P0afN@Nw(NXCfW{9hm*(pu+6q*G;n$A&k+9v)O;)`hi$b=@GANALNB{stYVzr zX<)?f+DRd8zlxgnw6@Uf+hM3W8E9>xB5*-~s@he<))s{>P*HV@VbYHRl$y?~*9C#v~ddu_)A0Y&T9WX5S@`n0&35xu$bgf1kQp29sk1ioHR@ zh+0uI`waxpjr!HH8YWwHi**Qfq3V*T0Z zU(o2^r&(w6Q>rwVyP9C6KU9=x-k$vtn?cb#ZVUO6XaA%B?w7}+sAvm;;%I}T97UyW z4>Y+q9{tOYqS_dtj-p-#z%1vG7}o35w+TeeZ6U|ifum{}8=+UP`6a6;>LS4GXcR?l zq?%_TT1-DL)93SJs8-wQn*9)JzpU3V>XAMYOSYU)z%~>>4NcqkiRVbbX-^V=R;CwJ zrgH@K{z^A6M^M11U$Ie?KlbzA^FzNC5tQDHHWwQvA1&i`!c8M6yN4K@>EEL%fuI12e&q%r4WZEz%dERpVPjcJvO zMHi~9xCcc~>%U~+)Jk5E-Q6t_qSV(|0jFSt)xL!No--*M$!zjr@X@$HlfPfdw6Y3} zmG)%I1fv3wB`UyoQHI!l@hTT<6#Dq)ht&f7CVrppn zjq(j*>yfO9?6QyuRNpAyp&j@_<-3CmtjQT)-ZfE|z)6lCqS_|Bn@;IXzvBTXMSTvG z;)E-q3nR~KA6f_(?us6@>mJny{Km?CpUhqHSg*%jX{LY8ofj*4*%RQ)?S%016_3rP zbAa$O=5CxF`%SZUH;(k~J(H0?CL1|v;4BQXxVl|>vL23I9 zt9JlrVWvk5XYpC^YXpF1Mb;arre?(qrD2i>c>#e5to9wj8cIV&kaDlA_h2WjFS3Ht z_G`?~lB-;^>ZOsSA@IvYmAaKbE|HgLCG*`u(T)OnsBrh8kj5r6s$f-{S;01#mZ^9| zA?c3X!LIV@R zk~S{L0Yu{E*@?Bz&VG&`5dI-(VVtvD+e9(*Vc;m_@B!fv13|$+JEzXk0}@K~C|GN| z>IAD*d{@dydn$}`e1|hDK^;yhTu1 zX<>QMOV|q1^12{uSYVlHq5<$}dOfsxbEDpQMW~W%4@^+e zbuR)X3*ic`@!6Q5J;NgrQU?>9P3hg4(rLG74q|Vo%=1%z;uo)%W2O|e70MaQEL?2? zG)d{EVh8SY31UHlWDMrE%dlw|9JIOFl!6t_l9bz(!Q!!EHl=$QP$~0-S(9nk*@|aV zx&@sF`~py&fzNx;lmeXA>>n6&+i#ssDbk25wYg}wXQD1nJ!&A;ko9#<=$;1jEpgll zQ|5p6f5T$MCtdj5?3u6V|HgWKpD2~=V-LuS7aGn8vbMMd>w9m2f?vwmbtli#+N($* zbqXw@v@5nT=^{u?4sBOK+l(nq3%M&pkdAahZYP7(B>7OGcwUnRt~axVu}K5#2V0nC z!Q%X~oz72)BW+5aI_x7LSO*b-sr(fLq9Fo&TS)P;UOjaOmvvboWDB6b); zy|A6j*3xC$X=6120QbbJ*><81Wg{8W1G3faia89$RI~#e0mh7=j%cp2vYp_}l1wo@ zhIYj&42A1K02p=~^K)x+d}%8y77~-Z+}82m#>%M?eWiL6;$p@P?Hq{CfoR<^(LblN z%uaoOt(KjQ=_^?+`(^L>CtvxW1^JrZj26>1_5j$(1G@DZvyw60!}_2k>U$Vf?UL&^ zrl7N4i$%kFLbnsKTWw6k^dYa>TC{9T!}J}G#%mU*&c@VuhG5Y4+m3n8#`K6re_SJs zDJDR*aB?=LR(}gYxEk%xQyJ6!kwvm%Ojiy1d!8{Zrm_D6|H}W=qUP+Vn6T4UG%Q?v zQt8_$P>OT#VpHJSQ|vk0(^s)QoxlpjXvwoABe8EASh3jizxeo* z<#e$*6qrOID~`;e$VseD^ibtOx;E=EU@khX3F8erE_|iOHqf@B#|}$G z2X)|*^%%HEv@jFwPS#)@DzwsLp}zMdL#vmRVoy5|MX+c}5RHeDl+J{ML_aR~UaRTZ zAkeOvtqc%H@@z6IhZ!5KWRBT(7($5K!{Ei4fBhSsr*BmUPT^Kl$+j+3{(60eBGv<@ zH4BCsjZba?{NLEq_sP_6n@@B65XGT@-L@GwJX|fSX?P%At4QksqXDN&KqICWoP)b5 zc9xFEIK2v}6sRrXjgxx=a8e2@8poKpHmwu+;e1nAbzk4HKhlURVI&Yf(4L1NT?7}z zcLmKq3@R?wkjM}e7r`Zi44Y*+&9@O3!6gf80KiRgJM!0&d{mJ{&5Yy_(MpKPMfGJ$ z>4Hn{(0U_Z2o}PMQEk_~QNvGTC`Pg}`psXBNwsV3?JqU}t1|g&(=nM>W%{O1s)(EY zxQgp-x1RNsA$OBsuDDN7{zi#Znd;`=|DAh69+939dvr(aMyH%+YScAt`M#*@FF*C* zyS_F?yPv4V$Bb<_;mCw;Rvo(LT#FwhmHTCfS~1t+$1q)}0mewVC_UHWL-SDgBH$bA zwHAdUSkJygJ}thR^(Jo*UY&4YOK*K@s#GgcML*#dibqyl%7nsvjZ~>FnCAGRM50+y zRH{oJ%OGDcPj@7%TKt&g3nZ>xGxu7@B;WnA+d*C3o!y!o)$B57_*H-NiuW1}naX>O z{_Rc&gw_lAuc^K8bkuh;r!W6V;zIj(N1X}m4S!DLp*r6^-=X7vK~^mo=EXt~!)ndy z7VS1Wgxc)X*huY4C0X4g3{J))%vOyx4$0qr)SRfY?<+N-`c;MBkjv;HIfk^q+|a7@ z830|;P`z>`oZNU?+DN-YdhZwHq}_6?ekbgUmCVw(W$EI3+Pod{o=(ZjbsF;2raFyw z3?AB-&k4{T>-p}le^Teb>1!r)-w-_)(r(1cct6fB>$I2gw(QWf<@@Z=mwnYY{`3cq z+Mz8n{Fk*uN;HU{5_YIo3!24n?Ugt`79HJ5*S-jNVRgcE%wX>UGoqYH2%`VDMP)M1g8&1)MAV6NP@5Gq&SNQUtk{D^Wt?XVFhu-V zq(#Kr&)1l79*oV=!PG})!JAW8I1hdu4v{7z1Pjwj(ir#;1oje=AVCstofZegPhR6Z z3$W}{atoWJK~|EaG4M6fZpG?7U}lSL2di$ zE8idt1ZQN6vm-WA74O$Ms^UXC8}kTg`_*yoc5124J!_;Im(Ty{(he-ymd)15a&cLA zO+G0Zr4#^20x1OmEgOF)Lxh|P-+(d)`nEGf!j+pY7#X5!j`T|Wh7_@IJ7uVFy}1-0 z38ht)Qq#FR>3F{KaXc#7t4)blBg2Gz8F19IJGboly)74i+VXua{wMtT-}ryt5`{{- zP>bGUye$B6(dru`M)w!8*6O=8URl+_ODow4!K~HyE2uRQ#I%nV=FeIK#6#pNg3iK} zx)$%wN@I6iU{>8ub^9_@mrIKU{L750 zAyqA>c*K*lXn=Rt6%pRcr@V`wo84)xSE80EJCKX3Dm5*oMM-f;b|R&gIT%EO2y2>w z|5>Gy75s`9RkqWM+UCyDb5kh_1-jDlwW7d_j$C^v8n)zA1Ls8(Hd2K4x(nY5j97RAfKGFqI04FA~0oWyG+rz-~q$H zq2mzw10ZQ@Ecq-IL1-d5HR~AL@=n^HA_yl7o+~SKc7jyLml@SaMRimk)ML2_PC7+T zT}mc&AXgmDwZZD)7o1!3!hu8S2W=XrFJEm|sEgrtqlnU`z)7K(r1|cAy=0H@Z8<>G zmhW?bzU8GS|K7h56^;rEx?Xbp5arhlYnf|P^2rQ&WfU!Vjn~HxZ*K)$2iear3DdjYU(9lF=3pmPWCS6aTrg+ z?Sozdn^pT|s$AOvj-;Y@s7dJHkzxWZhlK!`Gxz|o?&+^h!Z@MBi4f$Qqs$AoW?-Da z>^p1b+q2#6oPT+X56znS{v1%+q1U+sY~4!c8x*+duFHw0g?@IO1vf?ht{Iy*NzbcR zpEz<|VFPPAP&nKC?1c5ZI1hR*gp?q)y)|e3gv;W)dmd(nZQPBS2 z#Tt(6hVV7YK72+0w{PY68>Lb>)3sv9t6V-0NCm-7Qt9e!g>SD!BoX}p^FR_jz+82Y zD)}lFXh#R>}Ui;bmefQq$`dhy> zlB1!MnnD=~d?J*QRQUW*#vbAk_1J+8E*9+WEZDSLv{gm?mmf3CTOTsjBnkX1?zGK zT9l`z@syCwSHvV7u{Yo{F%pvyl!&dYNb)Vlwczz=Pc0#}3F5+#T!i2O&4rrkixnlL z_7ztf7FIb7a%%}r7o243#N^m81^ukU^up#~mV#`!z2V=mFG=nVhm-FbJ6N-&qL8h% zBwdoV?y+yl-1SuyQgfW_*5?vQK&dx4lyL&Yo%YS&ueqjh!t&J36PIt*IKe9a{ChwD z2VV)WijEd=736bJKq-rHE^ttxS&W9(c9{xt!SjZ1HFeb*Ngi=fLy#)S1;H#xxWh=b_6~K`yvIqYp|?t(g2QNEK8B_e{NUMGVktSELGZL1wg&hvFD#&=xkQrV4UF z`1$nTIhHKHKq#5604qgf=LaJ8 z0^FANowj_R_Wds(edcGs=TqMHwtMe*I*g2KU-NeWR|99aD%G|1Ji05?IDi-tDOi!i zehthu-`Wt&4j_PXrlz+$<5Ua5>;Reyq(0|J`+CLf03MK#kPv{-g2e8ysP^~e()({l)?k*+pj_OhZVyAG%5Y#Z=BoAP*Q%OKB6(N}<;|8qZ zbRM+Oj9(IVs{Y=J8+Shy4XLmu@Kd)yj#rWsHRXdx4#0Z=e^s^K1Ay_82m0WVE6fMi z<&)0>d-PzKvM&3#Jw9%AnyLoz;1Py>@d&%7YtP%1?F;z5MqxLbTrp*CyzbzCX$VtP zb5(E&uyX>U&kwaCU-VX7op*2ny-rpNjUoKHI76E!wt7fugCdake7xU2|E z@DM9ZH%X=k`wEei!tGgIY(pT;zSwp0ky7KjI~qwUJ_Y1hp62=6@k zpK*4$ef6(2?IpM_FTr(r3Gi?ECBUXV`Vc9f{3XD@4e~ZlehF}z{1R|8y#zQ-^%8LV zNnV0?o6CS3q}4b7i#Vh0FB99BT!#+4QxKPt!6XAo1+&`7qm_IOZilT!$JRFEKQ^Z; z)bY~^wWTYLm+f@?mQ7u8{_W}tYCaz?k4!qA+v#{*Z-$v|(Ii&E+_qxE((&AmJdo*f@O3J9>$Y*dcDUXgL~r+3JFFimUK3(qF4= zV(%^pWbR|{?wI&wcFB_8yN|6Re9%o7woPBEXRm1Ea^79#5LV&wdmp~=LQQ!2-seB~ z-0!=>UBmyc!}%+MFFg0MRHDY@uAeu-J_b!LT{tv#sCE*@teChh7S6{k*_#U|^`D)j^ zt|D0$ss!bW$QPJ=SLhKJh1dDT;;!_|l?(3sX?X~*+J0EQMbqvI59RkLhw_`f>F)}2 zJ^#&L{yTr}zYXGQHT&IYLuTH>^uNG<^EjJrGr;egvlm!X0B$XbW^$ z<3}X7>?vcaVYGoFYa^JvGVoPPGd{CNT}M7SWgyWJSBr@&jPh+(OgieRV2i=@z&3PB zE*YAuc4Xm}T#sds!hNcu4Ito@B@P)J>+njF$t6SD2pvhXCQxzhRaVi)8DKe;+&Oos zuQ|=p@>rup8rj7v4wlCl{T)9&{(N??n%%Og5ra8a5mKP)D|?Dl6~d2Q5q5>r{zczr z1EUA*eWXU)0^b3gpHo!rBy*(WCV3RVZU=`MoXaN5+@;}#`1KtDo5yJEOkU1`^eNPd8R%*TpHRXmW|r5f&-?i?O!m3(A8pV!0V9}UzoG#QO&k12 zd$eRUf@0Afb_+rQwdj1K8$nS6CK&ujz+|_WU$N*eSon{Sa%{odV$of&@E;v_pv0-a zj9By{==?_*vZ&~*0B?&$H^Ibzgz?4AifYkKGWd`7E!x2(% z?yqFHw|X#xta|fYqRY|?|4}$6$Yw#2CFcg9?OEwA@HORh3)5Xyx(E5I$~ltlbbfm9 zX;WV6;vB(sL-K5XrD__Yc=33qSd+J zQv`>4S!qv;;N@OcCDC<3qlN_8JnF?-thHUZMp{!B1i=>E0w(8IN*fm4vG8N4_KM_Y zt@BjibBGgFNm2v4B-m+))4|Dso~PBXB&i%-5+ssvAaHiza60o(#N%;Ebe1Wq@U&Wk z4JNNSYV~Q``z?O@*Z%y58T4z*O}HCyeS;=mPvNDcxT43-dR(p}v0I;y1nNsVaZ?6j zrX)nC`@f;LV%nrKtSu3WY$r=70J(HROuVe>NLr3eS&zn&%FO3D9!Zf|Sqs#?D!Kw@ zQK~u$1S-QW+j0GZ)K8mL0pcA`OPR@@P)T!uOTqIROmpN-{IsBCnePSI@*^gu;{{B$ zVAGbTvCA<#(x#18GB!*Aq9;gNDc;>JXYOABnK&%CGya~QU#5>gIK)|;&dQ~)o%DV}!8|GEj zzW%NETi_F@0FO+GG}oA88F11XJ1uw?+AmYZo2I8>#&8XtZehtFdlU(1i|W+FS&%>Z zm(&chNdiuxy8m?C$uO2mGG_x2K9iz`Khe6={anYJYRVvq{^mM{9o5qsSj}Rm&B^8) z)75#=Vmq2hOt1BcgD}_C3tUk2WfjET!jzNw>i02&u&L^I+VXws_ji5q$A9$G#tL}W zJ+I|9>X%Ceyc23j@M-(YZMlG#Fnir9TFUwZsVHbEDUO-D=;f(rpAq$ICBXw|)3uHT zZPb?AME$zpftewe+x8gS-h7!{z%xDxK#+DDqp$H(kLsZEzUvF#l*Gb2^Yl>G6^)9> zyUMbSjGiTfAfp){GsTjR7}2uTS^Cv z`?O@EEi3{3HDY4(yY8rx~ z0$mc;SkpZmo}^4{E;(v$)&3dOy#=Baqsb3y0c&8d{#!1}Pm$4! z8SDmvrBG-uZ0<5cc3vt-BG+VfB|HtQD~Y1{?!7&z7qp)z@E<$7GHv-j_x|nw{*T}L z-cVthrt$2GC2ink?6mfNM2bvXQ*0MBQ_gK4put3ifs@VpVnUEAtgN`&vw;v4kw`PB z3JXE1Fc*w$IWD42FjIxOAb25}WI);x83Fa$W5t}?MgW5S6clo>oZ)S9+wu-kaNQj? zn{(SJ6x&o+sdZ_&iy@ZDmH!o7ez>QVN zb-Mc%_WDLm=oKas^p;42_C|P z&aC0E(y5y+r>_0t*FwTOMFXk&foLG@z4hU$Q&ST5c8;jTKArW4pFcd4QWDB1;yTON zMG$=+*?5O%>I`OmaguD8>8v6c(=Rz(n)%xhq|S0dC>Bg4;EFpEPEv7%Aa#}t4iars zXSpDV{MnU-#{ze*U6GGp5gcOVrrYI$d#Ea@8L}&0eMsA7^*9$ip3>* zNpyR*w^1^}E{XKJR_*}nG7x!MGvA-RVt@eU2486BI`a)e&WJ?-N^Zrd|5j>c?I;5 zd)<$`6k1L8(M7SV^_+Ewb6+M?hM}T*hPlXaJ*qDgFRNetA6^-HPg@h{+tAMK*lroJ zkSvpw|B__`Y^ds5kC>(A%tx9PN+%WrR~a8wH!awF4#+=PbQp5fM%gl(n99Q50?1pw;aBB&EJ zp;f5*p;dCFfU4I8i{szdm?N&5U!yzzXv{HMm$*iG5lj#)P|RI0(*XO^oLZ`-CJ0Jn zwraBg0LrLFP;8@LBpy1ln?Q00ZmCvM61KJ`k{7HyvsQqrXeBwSO;z)BlNYMvx*C-l zN7PYPij98KHIcip53dvrs;feC+J{#X8A@KToTXWLicB0r>7*TD!0>++Gq3~(p{z6x zNXkab!l5i+mVt*z2OtAdHQ_3ob9F%0j_s&5w(QJu^q&-qA~cS1{lubF+EuJ{HV4$U zp&bRzls2gi_pTk;kOXAQe1&IE{D`;SNnk9sfTb4ju~7^7tbgl+ANUtxcs089pwyF8 zB|ipV$&%fXq{@l3V*Sv}VYVs+b0Q5?g_uwP_X&A)t7?Z}l}JNoPSRdLRSE9IhOx@l z4atIHczwyp_U%sQZ^T7PQ0kJA?!%AYUZn)3MY81kFbAQ{Nj4x+OZXu=G)753`=~K5 zW>XEXCHtCxed~ZkJg8^MLKP-iQFhDR^wp}eE00>-UNfA|_oLxBt=>_3l5T{(hG1wq_{70pwbI)!9fnx3K1|&g39pQ*F-gPfCQBRluHhi zpys`TpMM6cQ^yk^0pymP}N2|8Du_lkt09? zxJt+}BVDCTM#l2KHTz=iSs$^aos`Ga`scLeTSLu`n6LWTAN>A*HWq5`k?fSzEe6vW zgR93VEoQ?S7ZJ=D>1hb&P_xYcM85|}|D}ci0GbZ*twK#VTZjuSD;g_Sq2`Wr4;ev% z?6Hlwa;S;=C`l<9YLa-mK6MppqD-hLkOPMc)PgzGI+Q@HASbTDx9dJ z(7?gERCc&7(<8n7b`7-~s_fBJ&|9TaZ6kAAI@Ux__C&bzHp2AC6Ihca(!9Y)^FBKa zy`m<_^Yluinwj>3TCv`opc|=AJ##cy^)7Md5@&vFWSf8VfB%CY{^?QJ<`Bp-8yS$j zvo#7yCX_h>GbF!m|cJa278Oe1RAN6@Xam&x^XoiWuCkBJ}MUg%v9`J z!?{;`qont9Pcp*Awk8W|mKQWYVU7DnQgE*v7XPDm^vzJaUBKrz2tG%T7ZVl!EW)p0TcWV0J99Fr92c6F|CIlWJ@H8wLe|JWv`89+II`#ZFc(Rqht*l5CCb4# zu=BfiE5S|P9_fljIrD)s_C$~9yH3_<30>|kbeVRGT9dSt$Zn=Y_Uebf;ZObj2wfCd z=4Rc2m1zK}(uro6C_|SbScu;7FEy^RL8un~c7wC$6=~Le#o%w>c-xolf=5BK?t;PJ zj-+D?j-^?5K{DH_5#AvyDb_kxq**V5+C(5A(GHxHX59q=WnsWZ!Iibb#)@LrT@rJF zKp{k94Y+L!dKI(ok{Cb5DOt#|uGn%xW9@5>nqb;UwN_Em5epeRBGagXV;#0C<)D90 zIF|Ju0mmOUI6h@-&CjtXK24FSr4vHc(~ACA9B;I)1z>YQsJ@vHs#D__dlyFRdTTUT zu6g_RAOGF29#eR5zS%v3a*1KDTwKS+sZMKAHG8Uc=Ckx`jVy9uQybU=+F4*aZ+?itO|K`)PWh z(u&BNHuM$y11g8EvZGKy@!VfDOb0BUur*V$hvMQMyxw#-g=N!ee(NOL+r1h8$4H!TD!e<8adXar_R zlq3mNEzo{ssfCVA zkZuRKS1d41u6$54-4n2zJ1!f%Gs_u??zyu&a*TC>M`Bx}=&)|P6_-Bn|z-J-<{ zm_B|8qk|`mAJS=l|Mz$O>tFQqk(|`hm{(&;b^i6EFa^^VBVDvWtq(?MWmjd@m~xG$ z6<{4qpu)w@6hszfT1#!`C$}it(k|x)a}9x@UaN z(4uHG(wcvg%y%cW=;3HlsY_0dW{R4U53W8{Cv}V3y1$KP`V^X%wDpKLt~yKLlyki{J*W{jwq&bJb*q zAkDf9Vq}B!u3}bQbB#3XAqb{ho*I=sL0M#STAKAD2@WAhICMx(lLNhs1{v zWR|u$wFELQi5?tKAd4jYnpTp5j7x$$mLU)LJu#?B&ARQvFIkfUuK)+3n*wq_E55qq zsIe}ggId!mWy-FlwtwjW%RDIZzl}974ubD57t;vp`h5(tvgi7Eo#1NrPx)fF?l3?E zoaT5E3$ZJy3o9M~;Y3FooB;pb2C>qqo?D3`^SWY^g#Zvm)d3Z801OV2&T&GN>aZVK zX58uR>fY1BQk;&L?I;TZCKJw|DBG<^=7U|GAC3_vY<|=u3xs}cu9Sy zO@T#4l~QOG=G$s}YUkSOsiDub2`w$nbBe2y!OzLXU~xYu4IpKbrv zC~q-+#1_Ak<#22l)Ko6{95)B0dy7mE!|I!rWP z=rG}qnXkj_;h(F+mcq$WIQiJ46?6%ZQG_)I9B-QCh|3woW@I@_x zB`^UYOdFWwcmo8gbdupDZI7LiAvxZNAtXppDvZERW`z?!_3;3{b2U>a^EB6(;|;TX zIQ8yq?5we3jyGZmNooEM!5nYEHfsm|8T>zOlvTwWASU267sdwNA5zkY{&n^zlDAS4 zB(j=tjFZ>##v15zkwj$DIeWf4HgFGd(P|}N&m2IB4_VHB-XUC+v!5*;oX(!_4_suj z(PPcsdCfgQV@XxTt)Z1<8Cpn<6~()7Nu)gRZDF-BoW+9wB*|>1OXBxqlPnu= zx5ldIlB2d*ZJF8OM9HUyaBa5E?6C0ybt3G>>gk$uiB3HU;D4J%J13=FpG^Fl8D0{vRZt=)F%qiB>}5cql>stj&9n+0RL zV7>V|yC9H5YMo%v%*=-%jj{^@f`nQe0>#5>Yn=ljNTck6Hdqq&?zpv~F(H_dL#M;m zsKXBcBZ*NCK^kRW5d}MABZu*BDXwUgT@ZbhI)(-_*t&S2j2yD60WK2F;p()+xohMQ zdgj$Ai-=0=8=kNAD&SxJGkJ1({rhlkDG0$?~BU81(5=>A@V2} zwv|dMZx;lQ9|j>~MPNCBtjHZ&8d13*ct0+4q4Vy$ApaVMrOSn0)jn4@&>lZs)ipY= zj*L`W%wHFVyh(JkG$!=>cu?)8!@rqP3PdiIW+Ahmpx*&K<2w!B?Wo@~}5TvbjK^yf5rwp@^ zv>_q{X=`2Zum^U@Du0$43_;pj7lb#1UJWhht=biHZ4^jMW>|@wTuYl;)aaglhPKvM z+^_fevRDzLQ4osMWxre--5;f)o`T9QoL8)Bqxks_;t=dHTf1Gds*S=G;rGT>t_5vU z*BlA0E0+mkK@C;FFUasG&OU0t)xP!-b45(>D2RAz6a7Cm>K*WsS!^!{?uW~PDt#M- zyd6XC`Ofw){O1LVIWIgKgkr*wrx#tRe|-cAgB65Uh`;Zu3^_Gev6pG45n{0Ndw$hV zeZjAK``g}j?;TGEmbz7-03(3=Q}m+XZ7b+`hA$YC1{CP|XcS#`X_jg7xBhh8D~ZQ> zd+G>Q3Us?A%mFKc=})sGv0|k_(T$61BnA>7NC{R#Fe}hd^5AK2Q7E+n#SjLrcwQri z)2x^kDCS=6v_y5#uD4KO0G>0`wxF5{ToRT8 zxt{hiCDY_*hapgla6Wr+E`Fj4T!N-yRmx{1(K>79`xC}vr;^oo&o?NH$6lFaE6M5( z72^TMGv3NdvbsfKJTPLTmCX0ZxOT-F9&0o6>@$KD_g{&#$<)Xp{H@m&3=(5HBXgz>Hb-Zk+;{}M5&VRnzuB+pv z?i=~TEw|%%;kZqkQg8Qk+I=JoSpv8#i?oE6cNbckMw)5Wm(cQNLd!q%>`R~eQlxP; z-Q3pT_J{;E8bj?&4W;F!Ewr>P6zg^zWx`_JN;>0mXM8U56i!l<)@(vntVql2E0zJv zEXK7}D;i!GEOV3l+Wd13E=Cu_=~7@!oSHh(SB%NUJ6LG(j6#rB*9Bv6@n(By1;sNe zl4Wo)1_QU}u4{1n6Gv6c02hNB`^iV`vl_K7FeZhIPr_GH%s)Bf%@TJz?Xb_yw*QTA zFFyG`{~LSalb)k4WHpu-wxl$?EXbIfN5;&zy!Nz?sP#_aYO%a`XL+aHqPF+Bz22|rr03IM_z)Yg4Rn-bO_S&+7_EjS)8OZ-5u~k%Uc8;l6qKU zN@H_sT3#0nNa_wvpN$|buL}kwwP$3EL?<0cYR`}rT3%nVKvKIiRxBssk^xEO2)E`U z#qzpjKvFS8O>;4}>)vBqUbSEgB$c-=B0wN0s%~>6d@z74g`5Jhs1L{?Bbq1BSsEcH zJ6F%u@$#&s6LLGnmwU16wgwR#U3W!T}!Lpr>$Mx>h@kp@D>SdV%Nu6(a?O~m3 zc&8>|r!C(a4JKj#_%Hv!=l*PT_-RSeldz7Rm`sp7$a_qMS5_RNGp53G!NEp-Dm)j= zjrtZ2*)D`bRCq3kM^~rzzM%<{hslgHLGl2ZG1P6w^FY;e`X%sLJD3MixA7B661MzC z=i^a03IOy3?yrX52)~9)o{u{;H8S@Npr;z7v}V3RK;2;a4bBc=W{1u|l!~tjP$UF+ zoj_)G)}&1eNqi@f#M)|!{p^#?f`l|0ojo4lkWrG_lDAZ@6j4BxdK4CuWCuEWxM>1p zi~pTA0X|`_btAMaVR+b7SQ#z|)P|=qTuj9#J0xZ*a;0l#Zljk$60QEM-p5wii&9^` zIl%}pV)K_`u8moOySoeS?1qorqSj6?!QIUScVG4`KlFV+^eaojS)C?NtC|bNK@7yN zGZfnpCk!26yDY6*1VJ<^HQGHYm0BhZ!5rLK?81(Pl~i8yiaEGDZT942V>1A(YIEuw z+#OasqGQ?GKyHEh8gp=mE##`?JN{)(7`3F$fvnV4D~=k{V*egJK`5)2cH z`8ptt)IO8q3l_=48V@bb&S|U)`m$Yk%*7XU$pgGac#UlRSmCLwA2#J$(+t5Cuo@h6 z$i@?bwX)>&hv>R`h_KZ@MAwraB1qwxxD0oo9cwao@HZ*1{`)53g-H00Nc2C);tt?e2V~|7HpgEFWc#O!2+b?_gC90QL`0G5Wk;n$MFI^h)wB@3DOI7 zc8mLpz1!1MnA`_aMNTUNjsR6e-`rv^Vg+!1uDG|miQl0twUzteo0W6>-iI%|@G6;= zu6?%Gd!PT{bHDEjo;Ch|9d1(*eBrs5#Wxs}D+|8*@^ja(Jbd-xOV^Hk1u zp6lnqo7*|Zr9)rHhrYl=F_G-G_zul{vWM4s-^1$%uf6oaSGAW@j`Oe7b6D9`9mNY3 zC%$}1ZoT@_^~3kb_mQtwzS2c^e|05aM83e}yFypB=&;T&7I&pzu3T{6Ps>Ai)pk#~ zfj-vGIW8T_?@l3yGjw?*g zq#)qWu<kaFn zm496vBX!1ak~CA#R>KHd=cp){1#r&(-eJTd6TZo+*FJ~3>u!4mQjSMrd<$IYP7;aXdn|v8Rkwi?SjVxdK)B3!B(zDkY>6FqU}QR z3H#MfFcEo0nrRnAD+PTHL`n#?>Tf-2|J3}nO;`G_Gr@B-lKH65IiS-WCY9~~TE z_xLOjaW*bHlwGDncO2d6)8)>Rn%SO6EyH+c5&hAF7b$YSv7SoL+8vpww= zEe7v)4BnT1+wcE>J`@u+HFw-CtsK!)#kdqRB-@O)w3ay33_ZTm2L+T{C*e(lXSwO8 z0GmTX3Te2*#`#1di(Qpgc1TW1d+jFJbz5f% z&v+CzoY9QO0QJJ9j7Nu2q0V?X(@YOpK+bp!as^Zwk0GjnI^$7jW?H6zQ3p^}$K7W< z^t^}QpiynnKgc5IQTREs8-1VpGah((ORwPK0^YO>IA76B3#U7ZW=Zki9hh|5EoznZ z0w%o~FzE-r{!jg?kBbyP<{Sb1;wgUJf;)o3Its{ZqDqDS?F6PX3a%VXs#^etADAS7 zAjZQo#h(Qw<6(jkmk1eKEhrfe6Wn9Kt`rT9TkxPYD@w-01wjZTnR_%{NVi2kwPZZ} z)WD}oy#kD@!jqi^CF5a&hz*o#2y=t?!~teOh8zC#So#JnA(%|rUZaE?E{XA87_33O z)j`K{+6{apfLPeaYW;R_-O6~Ru5Uvi`qqMcS4U9v9&)#oIb|KVhK$q~6M-A5Rt zZVP4(+lNd}6f5<+Bmwcg&#FXjYSh%pP%tACDO2===9D>g`zPknmiQvhPo|>^9Ek1~ zya`c8k?jaqh^DN-ksv~vLtG?PnzS6NG7253fnYMguP?>xB=il%{ zU;E1e%Tmki#=z_}e(f?R@oe4TwyUZ4A_0URXBLJ>sO-}C!){?XM?XiTwRO54&d8!` zuFmG@=eSv085b;ZL_B4|9Q~ZxdO)RwjGB<+Wx*W%0D;DA7J273f}zTSGzR78Q9H!K zu&3tY=MiJzl4mr9>XPU=RI`yJnR{|cwv|i&1B%zC;*%teflJb?=$bIu?Jp$Ad6z7s zBvI*>=}7qti7{|VyFL6yYn!9wXCGC^p-GK0=23(8675AP`Jno;RmGv^n8=Jsh%w59 zS;p3lF=3v_=*$3D0JI}n2!3?1^1rB8D+xU?GPpr zfVACoI!UNceZ}Kuw^pRm7I5C?8go$}XXJc4HpXnb{FcD##NaAy`;c=KmYd0==Z1#=^nZCXuO39b6z1| zY;Mwck_``yCuRQm8qXg2C6bxcefqi}dZ*o@HXXOrw{E7s_2`-3`@tWMl%`@^9ZqLR zSCqBN%yp8g(M|>kwnFqG69*dvgSy?>oYN4LH@ZN7z2O8bVcH%Nf>J**K}_18FkMI_p) zt#lmvd9)rRVvly-Fq4Zyx&n4(^Loj|-jqz3Z?1^Go7O(N-9}{6^wDSrGL?L^moh3S ziik^*1q^*vGEs(w>VOKc1~5g{>4Or^odV{h(n zj`OQPN336%|BZF~K2d6@>$M?3J7A(CZWf5*;{=8 zuFzURZV%ioP{+3Zqu4S#5JYX_anXz0pn0S@dwQO)4DN;8@d_-0tz>$jul5^4sCb0fv5zmNdDgyoUoH z{6Uas1l(%H_lqZ$|LU$`_emvP+rX2G-R+age=6(sJgGR}<36ba#BFUxnT-O&jSAxI zBu5J=~C-FcXr-RSJEC=$6cCnfMG?p;<%8@PlQ)x8-3|UbW%U+t=v{+(2=;tR`m9N+NJX&+2+wDs32o7lU<)3{~(>k-s! z+p8U%df(h(FM8piH4pDP-Mg=w>ZC&$n;EsWll$N+S5A6iYjX35~>ZqzAtif|=vbHVfJi24jbb%*mBSupDF z5Tq;ag7BP>MI&~2vpcBw5Q21FTo7&V>bycP2|_ThNYAARYC4VN{Acr*MQ{&v z9vv45f{w1fMrzn12sEF#;sA>q6 zI6XN`m%qWmZgDYVUiy7F)HPWPdM2x4w))Xw$+Wy5rjKkk6)ag@-|B$uE3`OlCgm#E zMR3Q-^tU)vh_mSFWfZ)Jgcuu zrEA(PTC!xflO_A5@BiZ8`HL}!Uc>%QmTa}ggfd#(?Gcr%It;HXT~Zt($;}#dr&=&) z$;_HjaJ6p(`;=9H{lw5WshxpQzCCqHD!>^K3_D6<$AftVk^IP6GMh+_FM|YK9sk#3 z0c_AK!29Qu!CPX*lu=+Ag{*GKXPhuiwfWX{mTbLZauAyA1YOojO5|fHI!v?&YMzqd z((g!0Y+{o84JI4O+4np1oBT3Ijkwy@2agB7@h}-oC*EK{h ztf+kQY>5ADcFU$OiL^%f*fd~k0?zhcKRGb(V)+XRxb#pXU3Fwz8=ow6?zcBBJ=Isy zpST6PZY!A{>#H+}f5nI0pZ4bV`Ax&w({p`wh7+gVD{PZ&*Gv!g6`p3M)%d(Zw3W=s zGJ6I1jkaCM^k`q5wt@xYnrEAu71yaG7M`lxY~e!kB|w8@n<$j5haHGS;l`k&TjZCU z>(qeW);u3qN*4^h)0q+>{z6%Eg`A0;eN7_dtazrq1W2FRqmM*c`Be3tK*XKf@)F?R z>?OcqH}euOk!LRfKQ=D`(|C?e#m6T`r@s5*TVQp`@E0}pmU2@vlOXw%B=wdrN8aA>_dB_@{mk4N5?Z-$5yJ+U{tDI^&1t0*(vHOU-|d(Xi|>!r z+phZl5U75C*-pnxE-@XCd|Q><-H8fJ=kNSr`EvB}@|4?so#;?Dv3GYUGsU=fcPyLl zczT!g9g=yc5XOuKZo05-`cgf6MH`p%?&PNV=Itf|C$IiE2`wgOKL5x5?zjG-=;K#c zyiLr&>@kgk#0>H>Z5ky7ZRm!Cm5_W38ZFdk@p$gpXL4d@FxA6n!Vbf%#uZx_acbC% zHjR=KGXtrfQT<<%3=PkqdKwM2uKeSZYkGHu{BNI2-1* zQaV2$hIBlx7f(^CQUI<8SA_es5qX9Tx#Ah;NV((9OB=srBCg;2 z;KgdZ4z^yq^1?{HYf7>RgJ)FCmw5T%_50ra+4zZIj|(EA<5XaT?z^?o?S>$|2NyiA2>hWSIPTTDg&qgD}n$CO5*>F@KcRr2+}yZ zprIa-s5oz|7bXO099{6Z-fQxm-&!-2At-5jmxT2sJsFp5$FvwYvq-M?WGjMGuM{>|2YsZ5Lb@DJr`pCb)sLjv3#>TB;}&8&AGJ#5HIZ z888C=njtyr7-$^qIngxa7+n0iFZ=`D?rB<8v+Q+$;&sJjyCwI(D&{qQ+q%H2qkA2b zYg;BOTaQ4B#)WM|$KQsh(D#Yr!<{kGqo3@*goD|BOX4Xa(-t9#$Q33s9iX}K>VO=4 zDxxw@IJ@V*1zf^JR}PD0EpryPc36;St2%Q?rdEaGoh)!C6whBr`+z){M%6#P^Js;^ zuZu~mlKw{~uGyrog6DQNbDF&_bG?fy`!>MZKW+KeGBV`(%HRE4|K3-OX?0Zf==`J0 z9$kji!SU+WT0I6}9oHR-wMwf401fpIwE?Bha3Zf*X^q_pxG51_OZ6uUX03iY9ie(f zkTs}!#dL=`sssUy=r(ZELog5NsR0D zl4vW{WQi;#{i`Wm73oD<$*#bnyG+5M`@rzfnLt+QL9UVGeAjn@y7KI$|6Mp!-ucGh zOqr(hh)S-8VBWq;{f$5IwV(B+kwxmSXuvXUj<^qA5d=D%Ay#;MG?_%rS1OE>x*5h(s6E9#0LN zg4W$*MXFR6JOY~_N*d5qEBc3^s8p8((tu@mz+Cq9kssTtbDP zgiF{dhrpSre6X={h|DWVCzSCX`Gk$rDqdP-dEj$aJ|J>Zvyw(|aciP000rjwz!FHs z<>=4jJ|uy-=sBA27TS|K*DYN59Pf_LaoR0vUF(Gf|>nQTizRZIqG z2!fYh3oW(=taG-d$DtmJ%9dVku|P71y>fn$XxbomKWyplWUc6NZV69Za=$(yf}kXR z{Q4@VTV1t)mcg;9ifSme$|FWC8$R8cGdT7y-Tr6Y(H}F&zE1ZldsiDX+PQ$)me->O z{|n2z^lXgv=hl!gF}2C^(&sHy_Dj!(_4<_utm-c5Zx39M63@LX=81mPt1n$Yd=F3~ z@}m$qqNclaSY{dNb!BViy*d}y{h8?xSP!Otgr1#xi+F$_SQxt+uB99+Xo$GD*9$4} zjeq0x8?SyvVK&iNye$UpS3s*vMFwqvZT*CHxumc>zlbo?n7?e7AthXJ^1y{t$uA!E zsNvK}u_|p?@{4;0yR~3W8%BO{uQ2qSkjrVq$nhZ|M@ydtbJ{RceBesyB<^UJ{cD#L zAL>iisuI6Xoi_9oAF%Q$#MQ~osu*N!GAJAEcEiP>BN}87iz8{dhXd7op{f{U15qIf zAE(Xg3MwJK5eD_pf9RICCixF)-mM^1LF56DFJ@alsI~V@<0JPTZmjR~vNxd@>D8gQ zn8#+pa4pdOg;%lEgl@1Vbf0CO<7340_IO`V^||}M%1JKq{N2U#({2$B@9S}k)LA$y zjMd0B{B2jK!(oj5KF1r~Z}{o=f66z1SdsC(3tGb^R$L!s)>~eYeuE31 zcA$u$0VD1~1K?PZeuE3{&ucPuBhkMCziD%7`VB=8Fb+w1qaZG!WOw} z;bfSfyS9gOuC1F|f19>^tEUNR_|W^m;ltk>I!04g9-DXq3$-tz0GKm$gmk33a?TK> z{p=`0Q{QF-4#ZqvYC4KAXU(#ZsM$4wRnD+<6rG$+FHTJzQ?3!IHF1(rh%>^+5X?El z{d%j@08W5oX`mH?IcIo=o&=Bs=-c($C=x?3=L~@_S4hKYwKmdb7o4n&!r|?8&{aqE z;Iu*m$)_nQM%QZj=z@*z27Pd^rS)hfJdC(`(ebkYxf#S}To<<+MU*!CfZU0(n7jQU zyiW`Qru7<3Yl2c?GQ|(SEuCdoH?n-6&icY{zWVVrw@Mdkbylf!pdnsH3P-8Crl$(b z!107Bk(YH=Uk@NI?5#vd#+30LJgOTCl2f1ZJSPT5;~G&QY3uPT=`!kqwehu>(Qy1(F7- zh^$vO<;B+=)tJS*s{FR*UuOXkbfGu!C@Od6{4bokwqa97PG*DvAIPLl5TsY5oLE_@ zcq9cvP#=)h&l5umL%4@g1Pp{hg@fuWG?(0AmQs(3_ilJFgckpvC`jupS|zUa$# z6S+Aca_9Si_JofpyvN31O5&~5q7CvveKuAHHeBtO9J-We(y@3>c|dOm}THb zZNsD{Xio>&UdYTsNvz!(2+2>o%Ml-)*TDYIrS&>vulWLWw|&5Usk z%#czyl0oIMG+t68>Gw`UrzFug9I>kVp#B%W=mL*@6T@RCWCQ3kPRs`MxtE{z zw?6x;|FwWW=v#W(y#xkfP>H$M2!E`Bu(v5jcLssftKViVW4NP}@rzQ3&k7odFqMlvl0vU^5nf@q3tfXm%x#<$%hN>CCV;?;d;22 zur%!#?{_bcC(s5~D%5&m{Z}vUB`y;k7B{SH)%CM74k-VUMtyVpm#%jGFVyeiU%o~C z%LVChcSr|QOC!md*1Rp93pdlb@KYar_BVX`3>RT-->40i@R? z!9ZaX7{rbw3*N;@4|W!&8WTB;FLf5e@aMY|RRWCM=r|Mk_LM3CF0%=2Cug9N;g8-4 z%^h_?9_lq%boQuq6q@*KN}5Pd#dph#Qx7~kw5ypFAnkuklwiBvby z+6c2>IS7JB)a0tQWrX)uIS3d?!09FAJ-~qZd6@wk<;vvCM)R-z=~uPLxz1$&Vw@_y zY%7gVkWPIk_$oK{JyoZc_fmQuGC+WCYp(YTF;*(jqXs?)H`(FMnmesZSOMbvvw5?G zm@^#@zya#J2mz4V+OFI&Z#&9N_;tMqMc%7=6aE8= zP>S;^v-4D(3sj1h8;ljR3IqKv*snMQ@JR{$+i&w^IJm1L&V zf1uN5r$cx#Wzc|rag`FtxFk3@*%rdfT;VdBv*)|B-)@NCmq6z30-0&IsI_2Az4K=3o&Vi$`U`*Zvtx>{Cc;`s)F3`SSJVda@j0DYkWPp{ zY?5c>;8KDElx72Hc6tF`ARGj<=X&QL?mX8!3ofV&J8y8EaCsET4%}u9AZnP}&~>gH zu0UB?$m3so)Vem-^Z~jv)lfdj9BdeMJH*0<-JVzE$006#?04ikKW6N@otI{|e2i(M zeWA+~(;dfAIw9HJ%KBe;lo>vDMYj)8F?#j!vvxWOQtJ1LBax+%7A~bx8~N{F*M_f- z+udGx$&Ud4w&>s7op6Q3o^k2{3(&dy=~;&47Huf-*U**L0L^gr^e|uTw2&kMotW{NU_xYC9miRKLGE%H^>t4^&_+IrAyS7+SA&S5GNs z(GESO*zHd#KMp;m*zZp%KX#tdi!*WKX^T&f^^^|H!Id8UlnIv1a?S+v;mn!fcI3ip zUcFcc?WPmTT-tm>K0En@+>TsxXAUp&$5rZmYz{B3H_wRLU~nzgkJL8?>sIHN?R0(y zLb1s)y46_P-Pl;(c5p7{0#|g$TfSxK`^$DZp$yuM-)}2(Y4i2-jmpP!JC5(n;l*s( z>ia{WI$pNZ@wi^XW{}H4so>r_Ifu8RJH}w$`uNTdmM=#;8NGCHUxyg`CMMk`)riry zC{v)P&L?PKlW-*8#8Q~>8xA-Z!-(JX4}&MGu-)%{_`(Y{6JJm)F%eSqd=Yf^oC|W3 zSEm;_W%Vq_T*)_o+8_S7X95+bWJK;IIXT+lz}ZPi&YlmbT1q%>yI`>BCAr{!wPEKQ zn@&O4&WKp?Tq0kygb8kLa(KG)>>PmRyNTVzRwSo{pA&i6zDIyCmEx?RDg= z`}X9LD{;vfN55K0DL2#mNzvCO!4t@VR2&O(mSG=x&`8Cbhk_pGtAICe2R0Ji$7;=d zf3Ogz5mRy{UGpJ1YEjk0iVj8^Dfze5y{Ov_$UIv!6(~k^*rXPNTA9+Ai}hT+q@Ms1bAQmv|Q;NbjNuVxS*FTo#jeHW4`lX}yZz zab?52fPPk#b_j|?EmsUr9VK4`xL9$0vT9KXO5|aJRs@1QJYhy-bB*F+n;;NaaC}(e z0GXD$O0gon3%^DD%8(X9fG&*DDSs z!goI~5ygl8wZ?YukN zX{u_(y;*GM?byzL`td*cYu*;NQyrN$+y)mZCtdeP(K( z@GF*qbCjmQNAsvq9o{lPML356twZ7V9(*Mx=ye2J11W(j+{Q3gp_4+Jb7#dIZX}`K0{Z zoVeMf#IP}3`0T6V@D1?UCpNv5i9uhJRMNc~S=IY4RT9AzWzX)2o?XJo>3SA3)Ly=R z-@89>;xBu9$ z{=rWwb6vCy(AKpvt%W2VaP!Ycqc5|1K~vXGRp`Ajd52)GYwyukixoM+bJ|^BSnxo z-f5(W>&;*zvYE}{C9mT~EjdJ>2O|R*>LqJ)4UKE9T|ii_d-NwPJp-5theH zQyH__W1D0O=*?QNX}4&xV7FtzKKZZy+5hk_q}nMnR_}5P26zs(kgr;wVUGYMJYv2j z!Z|5Wz8KcqWI$IQX|^9 zl9W)}B>_jq7s5;@1OlvcR+9Pl><|FRk8vrA)_-qMUK%au_Br|%DmuLm8%{?FsCD7FE{Gll z;11-4%QL7kA~2>#j_@MT@&~JMPLq`+?8<-k%TN5WN|#yK>%a zIJukd3KFuF{ZJse8(B;=hkap^8gMH@gtAG>_V55!Q7y*;nciBt${cQyb5?VxZBKc{ zYz+a9re8znVvVKiQ)gqi2O!Fv3uu={FuN-lc}6%IR|Gb_5lqSoT}dbviy*GG_2z1K zWes39C1HmJLY2Qw<*w}4gzQri&0Mt$G|6O(A)^foLyC#!YK67aNk5OVi>TD@g@SqF zn#Gi|)hSG-%I=*M%q(>g<`~5gj!(X#|BXF;pC~n)1eXeMm?58pOw0yHWmLC`*-h}cN7q*5ez=u(oP4`AHRnhA%15s5y}cE7QPm~?hJ z&Aw&~w8iwtPAhD_Ju%UCg;_Z5b>{n1M%s4o_^4(U4f!ZqXLP%nhNEhllRF zp{vPv%rKa_7WOkzSKet1_J%~a+Uqi+Oqa6cbQk=_%aYS>QETrOQj(h?CAt6ZFZ%5- zC4`PRAMP`h;HN}MTl8Nx@j^};MwA59YMqYLIZeJ|L`mQzX;-`uC839;Idy-Ogv@Gt z>I+fQM(8GTq;erj(wU}Nus=$|aH>uUR7!WARxMEyF0vC(iGnxDh?0)SCPW*x#l@1A z4{8$MicwNe_Hv1m-o#PTf{nd9Y^*8c5$9;JywjbdIe?(w`Ir9UPkqxEAP99Zat;9y zgq)oQnU0~kM0A0yn#PzR$Z*iMP|!o=RaV@wQp>P41ZjC)Fd#-}kQ6n7w7f2e1=$mc zgdU0-?TWO#MQ{V=Fgf+n=NZPSX?cqv>X-N@l73A`4*yXKkGn$`U&fUV<3T8^qUs~dD+U6{YpdWA#;6Ub@Je1{5T z3*SiSKq|?6i}o8Pg!$dk4&2@%sfYP@$8d6d5xqykS`urHdNS&_L{@Tx>T0gdFuk`2fl<+&A-qHv6m*YORGEY;piDOw0 zx+|dB)cmns{YZmp;@@U>wK$TdsyQEY#@6AQoPdZQ zGy7j&{n8oYtdvM)u1)|~^LSpN@JP}tJa4Civ17&aCWhNK6E`L5q@9F(O0$D;5`_ht zB=B}L+@LKtrSh0Va1`t>y0slC&`p%Pn?gyX17mg#Z7rUZpn;^ss%Fl zOny|wi1Z+XZS%0kTe$}Yj9)EFPJisc>gcAne(b>Pm>)ZAj<*&~c_`F$<5{!Ejtr8) zL1BB#V~5Lo^2cs~Lb9!PF0iMKq{EW)!0jkGh?^IiAeiI>S}G7qDVcl`F|MgRy%mnh zH~`2fy4?X|hd@>GknMEBmV$D7w-l71jD%i_>)#%)wpDo?0`>KCJ6%6hgRxn|#^`+D z;BZZZztUC8eQaeE88!)GNuV;2S%z!E#dg_OA?z%z-khv*H3~Ci0ed@16_%Jt^4Kp| z7JT*P=dNFQ`0B%#t{+H?85iau*UvMCD;p?1l#B7Es7r^wkPm&~c^oQW_HxP^&Hm!U z>(_9$=U$eiI<^wheEmldnNbp6t+FI_);k9;5bY66ijl4YSvP`-$K zfysA;85=jN-Cr#3UcX$q;J%-hhw!THp6D&=p5xM?{2t{{ezQ0IU7_E+{+^GV|3uVK z^c`*e=D}&wxw4!ao=psE0TuLZN; zj0I2dwTO~9TTgPFI=LH!^uxnaK>_b)$0YmBw=t)31@s8deFV^~{o2_fq%VYOl}es! z$w^L;_^idDRmZr$hNAm&y21XXgA3Dtp9^W2;!I7E>3HF;Cc2r0w#94#n{`qt3@O5V z4}#4A0#ENaP~Rw0kqu{!jtGFj2}L6lz}%iSdpkqh_mW92@P_Fb`~}`{;bH2Ubov5j z(DfsnHP1g`6vm55 zD;ma87D1Y37d&l&Or#(X+W>jfhKC?cvkQVAlT#+CO~C%$2+}kcK}mUu;J$Ne1}ZLy zUxoZExW*%VlWN6zW>jon50y48m+tH1y1Zmbr`WrjlF_T{A4ukpy#HO8yWV*l%w6-1 zqdmW&lcpKRD$MFLk8!w=!3hrTg_xp{s zNn{s0`4XV@b5u1ZDYi+%l%Yfk`G{$c~1Twp36w!@(snxN4 z$(vVSS>dSC$c>@)FWyGd7X^fe9-s1}{2Y7Y(-fIndMF1^w!E650bGC;npnKul*?ml z2xcgiX{AdZq`jJc2 zgjdRCA`y|icO-jj^29g9L|c1Mi}tv8vnp)b@_j1or+@SZf9~f3yrS$+?pGNX6k!q5 zc+`!1M!*Cst%%qfaF-+pEP)a{wGBwWshRr7%;{J8sX;lTT&JL6>#&4Vg}LA$NjOzl z5gg(OmuJ(j@dhZuay0foT@)UAa#t{*Bi# ztY{Wux2j|yHI=L;>ym+BnnLSIx~tR(NEr*IH{VmTXMC=jS+JUSh}Bf>GIEPrRlRgc z+)S6m=iL92|KY!mG=kdA)?>%i3?{{ij9GV*;@M*#9JHD}_Q64`2~vO|Ah1ph(Lvn} z9Khua{{gf-nz%>NpiYU`9N+<==^3<|J@$ambmpwqos|KhS%Y=8xkk}z=8m8j-!L>M zxNG&d8THuJn61=Dt}JM=Ugd)uNHvbxQ5O+i3QoFx2xLOoxA>$&j%3JIRF`+~%ne`| zELo5GF#qpeaAfr_G7pQ}KCf$1@Vv>M@-csenXFS3XnPT zaNh5j4khONYzbETRS+@c%)(!psQYA~RXm%AHw*nC_O^QJq`=TG$qv0aZAi_cQM1XTh!W#C1$vpnBlpf`Q?YB z{arDixTkH4w${673SA~A4YoZzj2T?;&CuV4q#M2itT*#{Y8bueLSqL1G9h277g5}#Y+zG~*&d)yF2}WngC4;)VuM>>k zkV}@C)V-ZxbcbAWxD$;2kV_7Bf=SlhC7+}djQ)%|CI(SQUxaSk-^2l?zKzwAuls7s zv05kUt41gi7PcATFL zSob4$!D9>X^Ale1VT zUmJllQfY1AnWMks1|@6>tS~!BF)k6*<>(I(7&1NM89_a{Z3)WJ9~ivI4~BRWk!)M? zuA)Co#FL(1bmsyKl)v?)m`+te=&+P&$}KiG* zFbLP>mDaT7`;^uzU-UDFuldB%l&YK+o_cpWA$=Kz z#ucopcq=nik7#wL)$suX!beZ|dzs2bb?(O&hb#eACJ z2}v@kT^~VYPwkekQ7X;8;+jegN(;!94uw9IR($J?;dGFZYFDJvazSu0DepTN^tbJ| zmXwuCBI{;LqGdqa`s4S}YNP)^8(?)Lr-w8befa!!Bq8Bz9>8EEU;KpMa*%Z%@0QGr&?9uiG0EAGsgLE#RF_rtgBwA+IFyuun;B`Ob3P2 zP`v|)ezy#-Ps&;-GfI;O2=!OB5W)wpJV@CNm#-axDlcsG+$rz8KJftUp|QeR3~^x$w;HbWSK~%iivldy(mUd zm0~^xUuIjwRIRUwggXH5KoGwX%UE#-?E}HQVvdLp=y!te#pzh+RB8ly=X^ylw<=5s z1yne*^@>$ItlT765v&I4NX9kha5!ZwHb%D^f;k#4Ie!2d%_|N;QMG=NpiNOv5@i&8 zs4r4ftxMwC@O5Dj0PwULMp`pxETA>j-$k0iT z7;n`me1`m0$lbw7HX0hZ%aNo4AG6S~9m1Kn(rgd5QBz&bZrrjL;kInRwB`G3z_0$& zANyCnYt#m;sQN__cge=GmO-Y~Z2+O5wMNKFW{_+w+kn$%%Vrel1K0}ndF{)>s$?5* z+F^K*3Zhx3Id!FBPDfx)WyOt=o5qUS29%mJRFV1RAz0Y}uysf>VGjm%b)rMCvH?gN zYgZ3)Y$v5bO_EjCdJ7sI)vX}6X}*rQ+jby+7-S|y5&3?^T)Zbt>+;LGZsY1dHQN2PNgQMv99K zJC(*Y#SlEM<8JTGT*rNZJ>4DbY1%DngP#TVbThE0FZt|$@J)Yj+#zA{RO&UWOlV3QvzHVaq9ZgIcg6`<^%|Wm zMJzG~JvFD!^_u+&lnacvP>t?<>s+sa9E#8oMEcUwWASCGdd(TrowN$GZ|C2_&@+IH zUlKt(!$S0vcWXdQBD&;hgGs%5_Pr1+Rh6B5cS<`ZBFT=2B>`ltNqP%@_5Ie!s?#^B z?vGA!=8v=$zR&9H`3482%Gp7CB``8g4AYLJv*%j`WPu{pkvtG^ zcO{wcQJOrAZ(p5#R-jt~OAuqk=cZPrp=fWYt4>QUUD>~MLs9c@x?$_!U_(8sKiHLh zj~+Ws>E4Zny?6+{kww#zsjeeg$ZY)OiA~6)c7*W4Yn>WdNL8KrASYmrSnBt;Wbt;E zA;a`woxU>2D{Fp~evT;Pi=@LP2bQ=p@f15wUTG2A8>ES1p&I1R#OnQ$drgIDAv>jPdk`X zSrLAMwo=Ba#hChvYWPU<h1VN?p9n9+*ap}wTC51@peoS{s>FOLYHOSm`PG>ho2perAo5G z2s<7><)|H3^Jic_=gzJP+s%+)he20+T2Ci#Qo}ia%+Jkk+0=8t-q~rPg$XmQEHKGT zYpyBGH1e-C%?o%CtDqhN6<$NOQ#BE0>4|7w8i+JItyuj(6PUDJ-Fte3ZcAquAV8!)TAy5ATdS?Ku4WUYZ+~r8NT=$6u~V=&QtKHfWRzBOZ3Zvv7A|tU=wjkF!^IXJ{I; zydu74A@AxP$IREGNDhu;u5Qrfam4Yy%P?xMO?SI-UK^r$|PFg@Eelu4iM zm||bzI7%l>NjF$)l{#{(!yv-8Q3b*JJS{AZEn#*TEzUvxb^2ZqUC!!z5puC~e(3FE zWktke3bTjCmdXn7?M_xeydk#&Q2XiShn|PY1lgzPZBhlpVm%Jx#NLw;&D;TaFNy2B zOI%O8MXm8%64y7Axc;GMti7lIo@p)c-$I1uJ=L~@i42w*UR0m@ianm8A>KOEDU-#j4W4`HF!o6(>lv03f*Fe}QqQeJg?mSc*_i?Xc~FAQh1d2CnqD zL4LGekvhl)Bb3{3Y;19?Naf{%rF{Ug6lgaZL{aY74dUaS4}gv(YxL`JeUj8Mz^xs` zSA~2^NYzyrca`r>L8>A&ZQ#6vqV#l)8nYPF;JG}~IW+r7Ek%f>zoaePwgI|=?A zlGJ1Nmu7=`ZifWJ$?Qx1C7J#7wo7<-6U_$pQY~ixjhn%pc8eCXKYfV9oCyKDfA~Ls z#b=D?9h}T}8IuJ&lYKG8J_MPP6afYU!RPi&Ptc=eTyiJ97RZYQew~59S?K zdp6H8H-d9Fr>^o2$PGxw6XnxRoSs6Dr_S3QxV2ccJ)SLtYnKm4BIg~@Z9|$4rmi;D zMiYW{-r)$IEz2fI#W??vV)n}qv_`U5k{&&yImPT3Nsy3h9%Z)$M!NpZtGt8FlEiiP z{ODEQ0r?TQcl<_Z1g&!!lN|)L_R;FC+tYId^c?h<>@kQFp!UCynotkuC;H8 zgV=M1(rV8`P?U&OFeB_eLvb`KN{nHGCkzSJQ?E}FA4jQE69azgK|X$Z2rh_zelN4K zDooQG*C<&{JN5anMk)gK6a{OrAk>0Yjdzf_UlDD#<^Xm7L>v9!kz407`B(;St9gA; zMip`VvHzP=@ONxw*hKkc(vOOXe(-1?{K3AKn`sQx#@<+BiV>b7Q?HnE_zuDFzbp@> zqWD!QO@WcY2-@Pl>gW%>30J|BfyTVfjZM$smV=Z1R2VW| zfEhi_nu<5Kvm+{C1#b_cZtu&E-xJk~@=-$f2?Yvy$&2DkMnGtRa4z&v30fGAb+>R4+jx|EU!sv#P+PljAmnqoE zeU|NX{N@USuha2o&b>|-ylcL{Y^UR8gm@gk!`$o&-?3w~pU=u)32X$SNi43 zf_-;|hb-f~R~TaoACy>AB9Z?5*M8#f__#4lXZZW0n9dD59|Y5Bq=sSolYfN1i~~7Q z7#N&7o>X#=*c76D519IK81(mddnU24Vh{LJ5)0~{V1PKB4LUM_P1z{WxW?ogJr({w zN`kd_q8ULu?5V>FTs~a!C}Xp-8)HZ$mpmgXk=!At4t5;KX3>gJYMf^2qSqrF?guWbRU^y-=>AqGE^VP_~-s$VyJt?u;@= zXutTRDj1*$`_P7_XkpXyJUQ8|>sb4Xn8F*^RJTR|p{g{iPVwqPb#-**!oZjs*I+pi z&n8`)UTNssw3mqZYO{)Z>OQ5cPyH<&(TxmQvTmHLdQAz8EZ?Vkf8e{mdV9>fK=QlN8X;+DToVJ>MM+vD&NT8e_}bHS_J^1a0xv zLGER~x}SYof)HM?=uFj;mtA1pA3!$U&LDPb@KC?+Vprctp{5w7aNvafeGO}KIKsa-kt^nIdqf;;r+uw_v4yp*cMH7O;^SF{XD zMh=u^RgXjNW9xMsF?#zB;6M4$Kl#o-8m(gbz1yT{jDtsALs2aL1J#4HLc{fRmiKBn z%v`taRZ!$krU`PkM1R7ug2z(kNNV}Ro8jOs#FM`>`p>aLoUEA-+Ze}}H+ zFqsUJ=~Gsh6`@|j-Q+Eo8quxSi2Ox(%o>p$J`%8Y5|v8__tL@r@Wtl^bW_kC%z(V* z$hf}!NB`;9{-emt==*ZnETc#>WR^>Z7T};aGv6{rDBV1GHp@}e>=}fa&GH^2(9 zhGlG%`q?bQAz&sNfya3-tfyfa>x4OYF|C>E3=7?O1XZo_gz=xUBxqEvd)yTqARaY^ z42S#>402uzL25pEoiJZxSe!_Lf3tNns#dN&iWmYg;osK9sam-VM-LR=3K;$N8)diY zxB?JHek2U==r|hr1|5OH5sdI@#maZ~o8~zhYWvqY3hO41#A#5;oPM`|v0*{iIT%yN zVdry%45L!Kmq$+cSuiSbioQujeO}9B1!SIo_xh=CBOl)ogDo5~!Wok=GIKa&geB&b z>!!)|Mxj(t%34*Oj!}6`|Ks+#tiop~38*!s*^ej*Hi81!^A*d8&<%s5`qWe_z9Pz`>7eqgdpv?4ih8DIP@Ejzd}=TpTJ2Ay>@&=-?E5yui=QUZ4&`Gyn0j zyl4GGi9_DU6rrK1!_SO=deT91__5O?es#hKDcrzyVTr45V0y-{Y&IhR3)`KbI4jBY zkfRL|#(?6~9_ z=vr|G)`t!Yy5bK_5`B6cx=3zw%zso zS|y}_Lt7K54&{nR%X&v$DS>49Mlx5JYj!!nKt6W0ZoJeuYkJ*!c{t5j){PAvjc zp8V_eisFlyV9ABS-8bB7tSGj`S1e6T$V+MFDg;GomKDjGNI(&Si57Y!+R+3Nl&~WU zf_<$?qWWAC{Z0~Q9d_V}ZoW;0Q9`3S@wz}B?VvU*$x(+%6F!DGN_6v@?Xl{8>FT~v zxo>hgx@KRgN>}Z#-A_sWOp%F;q4Y3db16{We1R&AZ)IKw(DL?5g)X1gsI(NJ?yd+m z?H19{Rt*hzbc?j|bcXiC1-u1|P_O;2_kG>}7ey$&83W*8a@WPc;ce!;AeSY{NLzD{ zw3C@=HZeDA=DTBE&Ri2sBduh^P06)78+xty-)N!EA? ziwHt@HPo3wQYQS0_~b(VH}>>>qEtcHT&}?D^+AS9iDqgcj z$LPG5bV8we$tRT8Je{!4%qTlxIv$P6Rc2ZG>(zl$#+@zo(rNUZ6`@{@XlKl6f5$9a6wEMqcY~H$v7py zelMLoz|ufTGl{stFtJ9EcA%`dXJHNc2)!MK(~DE{*>FK}LI`0i%2=kfLy&gB1^4^i zS_F~cwA^+GiXCvt!*0VoG#D(xo57JB(9?!Pm3(8M;$zh(HUd zCmCOc%G0lrl|wBdBF)!+>k7$rMCDM_EO>`HkhluTRc4R?69>9e8jU!4h2$D;lBQ|% z>pTxON$1-$+$3GypBreBZq>IaKh>!l)9v=RulOITG95RUE2wC2s$1K*n|41~%))5V zk^!AZMmDM^9F8J69IBd|R?m~8O8XqDuXU8^8dfDtt(2$Z`8cKHh2$~Lj}rVW3T~9b zs~MAI^r=dCS}RZIuS<9mdd20y&xc#=nw&s4xZU%0wY@I4rK_hc->0j8_6vUPPkc@2 zYE}9jM;HDZB2ajn@G*xST_6f5h>`9xnDDZryTyn@u81IARgs&=XP>DZT_ifx(FF>& z@n3o8>@b`Wk-s{+n`S6bJvXUnK{heBD`rRcP$n^*P8N5^HD*UQCNZ71Hsm1$D@PYY zkO(%RlkH5hNisXSNb>*~D=sm<7`}J@B}G@4FMisQ@dGaL0T9_%GKV4Hrm$H|7)nt) z&##9|tSb5<$m4a-8W_wKK}Auf%gm&=?98OwcZ)yz@!$2^pM3+*ssX(1@(3EL+T!y$ zBM>?nE%{)(kj`LE!3B{~AkYu-nL`d0U|TYOIL?Gw1gx_XCAd~RYx4Z;mJOuFXo(d3I;eDiLx zW;A5M76Z9@q82+}-^`P&lF3L-kjy$c53M;ceefO#&dp`zBFj;ym ziHzf0zWCgSUc<`Iy)0u=vGrgXbd%w1@}mptt%f~xe`ZEM#+I!g;h9gpMLa<6iU%&6&ABbD~@wo&2U;34$k4D3TP9#?<5v!GS66UZX#|lwkz&yG(~KCE@bq_44CmhhGRZ>-z*iBdzw&u5=WQSKGk zEf}%`HND;$L05#NDayS9K7dSK5JV$pTXM=#?$rTwiPC(5ZdB_WiX|l@X`9iifIBYq z>iL<-Q7+ab4F{uKv>3G|H0doZYi>7;a#>7*M_a|iGoK1H0pzCwo44^)VA=dsa5HBK zo2PG7H~cZg_by*Ddu>a%g4`8MI)1a?6?&eZ@vVR5o4+h-2-*edo~QLhJ_Y6q z_C%tkXtzOoA~i?!ZqoB~LF2?`Gb#{=jUW|}3!c#mE;WP$9Fw*&o2uCb0WaZ90HmP+ z&Qp&dPED=if}Hv+E)LpUh5jmEqbP4}mV#>m-cw1%pqjw+P8Oth)S%|L$Y(?9*;|K%fJob;(+i(3$Sbbo=Ny6uA!eQJ|PzP0Wz zjHuG2esrEyAapW83V4SM)g8$ULO-KCAoYv`YOIBSY#Radtk4{1`p*vHVMzy9Ms{ZBsiNhK%BHj~x6T$Ab+0_dG|l(JQZjTVf_ zZI{8gi=bliAh< zJYy*-j~@1*hiN5Qa&<|VUizDCy}@O)lGLO{@&Icik|3PvtVuiPl7|hbjZ_jN*V{Go z?E#{c-a9e38|${RMOd88_Xq3-B;Mn7I`An;<{JdY7oHtIC)%w#->AAnha<^$uqJT! zoo|$H5xR|Oxsk~PMoKZDe)dr-LM^X4J1mH92ZUX>&6qe0QvR`O{NYlKu~4D8eaCv# zZCDrmCa1`jCvoEHj%cB+}c#DVbAi2T7PV-F>U!i|NCG1o`3g$ zdoBELEi8CG!^#YJsy3V&Ak9BUm2JDsY7{|dq#JAgIc(9YqAP;INfcPDNUh{67QQw3 zlmLnISqMQYr6Rb&JSv9uoD8c;g%G4pazWGF5QGCxO_Ntl)gq8Cuy!Xa!e?&;Q?&@u z4~Mu=ca1gw0163a2rjwabn%NB6N!dN)vp6jqLWwH)?8CkEM;1!`+sZ=IP*~>K{!QU|V!>995N@ZXp5ERltbY zs|l|_RS!?7Z8bK1+Nx3_8Y)#PT8$+dJ`^YwINsbNoTYa`dEI+jiQb~pBHKyhH3onn z`KnSfQYnJMuG>vv0IEs}1dt0%=jV2uUoswPQ)1_$fB{*DIhn73?a96^1w3u}J_Y;> zfAKxPdvu1k=?!}0V_o=6kkH8<>%vDO8CrvaD}%Xv)UKd(+hS%~fz+d2_&XHMWkuv{ z)hCS=C)FZk;6s_HtF}5*IT_-?F zvSQDCaeeM80fMOziuFL|*&1Gb%~2Joil>V}RM)NRloqd(AN;>dZfGd82`bPR^S{la zvg!N$Z=X#38>NO27t0K9=;K)mS8Q}rc#@|Kg(vDbr8H!BNol0L)A^C@LB1_zIBoep zW%xaR@WpR=>Fp(lp;>G9M!hV9esT2Mu(}53uDUFvt>`WY$BEHA1-nGb@-uo#$lX>5 zc9==UTAAQ^L$^&<+=Et~9+aI7j<95dO%T*3bl=F&sHE>%P?Vvcy3nU$L~C2O5@qOu zXS5l~ipQf2lFcjD)wKa?=Bm0j$jw|;*9OU%tLhr(8Cu288{y*@s;X-sP$ug!V>RY` z>ff^BM+RDp;Rk+9xa{q3BsmPf<8Z5$@%aQ`U1!aFgFxms!1$c+P>Dc#8K3hl8fJXX z_h^9e`PpaGzw_G;eqH%Jk9!U~u74#BPEFDb7V4Ti{2Z0!Y=cE5-x{l8E98TkIOju| z%9)}^Spl=0ge3oAVB(WXTLCkq3ze<=?{Pte=C(s}ONv|lM#m0k^>sym+Zed6N8joC zlss~Futm2d2UmR7@W|QbIg8Jvq1I)JWll;%sd&@*g}F9eFa#=(T(-j^0eb}xK8^=e zi@AV^C?HTD&+Rx~x?t00W#9mIN{9%cn-DH|3ZG!7dK0k+{lUV-IyL`d3SzFsTM+ek zNCu~RY~&WTUcB?H_{lyj-x<;b8|mtz$IoE*SGjd&c_FuDc+r zOQeqP@57^6cfrA_ezfW?_#{L9ig`y~C^VIz_eQK3vAP)PJjnE|Rf@csW-Py#&!v_{0+6D%y8%bl6N<8`J_-*h+4P>7ZY z7$ZeUq7p3vLLntqK#)XGRItD_4BUvzI%WB+xy&e zPT%g?Q`K|6eZRHey}$jlexLQMQ%znOt5u;AZs``i){W<{{pJ7p$3Byw7lI-v&c}NaKl=Eb8^}#c7ESy z{QUjTjL=N8>uy~v*ACVZzyPHBM(BlvX7-g?mm;X+ELck12r*KwzDZ`r%XEq>fEhxG zQ1N=Pq9nylaJvMonCaQw0_~{Hp+%jTAZS?)sUY;Nb@5G7u72pniiJPI#x`hssRc#p z_@TkdibGRyZE(goM@hJvAR0MHt%cMPTD;p8>k1)2hXO>~fxk*)Twn66t)z8R%M(Df zV%A!7soOP-S^urWr7HjYs1JhHnAmc#>#(!2HdjkwTsI|($O2X{MKuCz&Sph&e3eFmtsxNcasr+uXakgivDL^|-Ofc5EEeiFWn^V=7QJ^Qq8;*{Yac9kZ zd%!-dHS0ZRwYR@0*Z&LAI!WH@i!{nP5Rtt0PP$7)oX%QajmLh@Z zla_&)X{|_B<=X-kf`?gaDZ@>=&W|bX0L(PMx>Dr<6+-t`yJV%x6I2MXSG%T^D_oTq zs1VwA+uu{E@_-6qn0$MQPC$irU_5S*p6^dUg^+ITteJ06K!x@jkag7$k(P_>7bU3B zu49$;buGW$hr^Rsv|Tfs+kgt~H`V}QjtT;MEf(3Dpc6Hy5Rg@^8Pj;gBm*i0a)(;- ztQk{F5ZKl*I!ldxy;jLDlG&M|LhCzk(w5U4W{Pe}Vd4xGs={=+JYnLVV5rc|TfE=( zhBy4i4@4eNtFj)v6b!K!A!zjV zAxMqtf?MTVBmhyPoP201R2Lj1U#7)#L6p(SQNu(FN?6U0p~Z8-<9fqBQ~LTxK}qIA zXNU%x9u)L}zEoq9ur=_j#p3yrhdpR&cx;dxBXw9`NU?Y>IY{U%!Ap@GWOSxK;*!IR z&iVEXFgj1x<*M6Fk6(`0=V1dTq%JjX=;ux5g89FQD&kZAo}c5JzE6}$jj}z_$lRy( zB=l+73vebNn6W0~^JAdH8^Nm!sj(Jp+%0Njwi&1?tk#cR4g94~`R>2`GvNhjF|e|XOr)JDg35@?&hEW6?S&xCj0<9Ko7RY48iovcMS1})7@ggK z0%&(%tVl1w1-CkA2lJAaoR3qlNH4$z5%rS$4n3%_$cl%F73l>OL9paWzaRqXjv&1N z7esbd33iW2X0|Je7vPfU>?WNaj*a$N^#bD9;sv-QIx~@B5Xp^|B9kQJUYFcrCYu0H zaYZ|WgCy>CNu*-gZiIGmfL=8d?Q5R3?KF65VxtzR+dXz&zc^QmRH-L#h>aU_t4jg` zDcm=UnSJg}Y&DtEye;V2RF{-INW@>#y6ul336wG~ExGA#u{Haau!}Ri6lq$!c=k2MDVe=AJvqCQ*~37%o*qKhB_GvewF`IFQ-2*p$kv#nbEyaNwp8bG})J_ zh=1c*rJP0Ay=hTQwD!X&q=wst+%QwzgAWFBub^6xHdudF?`juqX{M<+N5sx_BwQh! zF{E>PW4s}q(;FuqrtY)^`e#r2WT)To3xE6avm(8rZ=a_(+?)+No?y z3;6?JzsArU=BKiy4j6!?L{oBY&~jXgoHiN3VRS(~J!DHG69N2JE0}HR7N}?e44~iD z_@?6=vn}1OVME21VpdOW_!J^%yAhyBJOzyI5rh%VkEv|w0Wb*#3>h6$eOwQ8?$10njZx29X;5fro$Ia<@Fp~fE z-wx_<(8P+v!4VJ5(X+F&2P>s`MTZ3$LbBvpKSG1z0F>$WbP$5>hw6lS3OUZVf3dIF zNaY@Z?gaiR6>;2j_Bb9CVc+SL)8OCpZ=X#38zqv9(T(hpK>;mA^fzgwpMYa6&4h;j zu>+DdlSl|bthCC!6u=AKZe~Clu;ToQl&g3-6y01QOQyOXBQgby(?(_r+LSwy(O>XsPrdlvkuKKUy{mo8BH5x{M(34%t&5vO02 z?+{!8fB`58E_ua|yX08|L1n4x`E(^)zn>a*>RuBI+F8rTsZHe~3|r9m$?QXG7g7k? z&=65wL;nr*uS$>=`V|HS`abU?~rWAsrcP733Y7oGlBE91r6a@Q_H$i_0l`@%w&>r}y z24k*s-yR3yxLed3-5J_;JOjPZ-1P~1!HZ8s^ zJ1!ssCdLc42{4cjOtY?48uu^ZC~S5basAei*EsGp!=)oz<$l>gJXU$7UQNVS%oM0 z?jX!U7bdkZ`ZjBw35-gCAjY;T+JHVHfX0+Gn_L@7u7MzCPI65P zf<)&htpPxCK^(uqxIMTh*aaqZ5 z`mrc%X1!V^lXXm(fU{XIpLmDPbgf~8GRhhzOu*T!7pEeP%6dtzkDV50vR+_*krKuc zBR5(zRhs}zR#6ksb0Mp&*A}f9ZY*phcl>TCcDNEYI#U`Tu-P^@r^)U!_H$}#PE9?04n%DzSiQE# zV|?Eye*VAss0c81-mY`7+Vow}oUH-igF)V{y{*u`LK%wQg$o{0P8UiA3~4mTUkK8> za6w=Ukv~GDwFL#MIt?Mn0Mi8lrOe7lwH22FC{mk0_wP8JmJ!X<6^EhN$Sr~rvr zQoM^I38RhN9VL;RYYr~nMUey+Qal072eyU>39g7g71EqtzFwU~{nsz2gN)!C>DzrbwVl=M~G*+4i$mF`pK zqg1Y9hATp&4jcmE4s#`=hv4FTGbcRjYgPJCW?H2m+|0O=JVcz1UY>v>$i%SeX4)Ru zGYPT|;&E*LZQSyG`L~aI?h8KVBV%r(di0(A+k!+}j92tFF#bHzl#UFOX3J11mzO#O z>)!DVh~i9F80!Fi~6)ZSI{! zUCzH@rV=Xn%xfW9t4rhvYWR42poA%vm|ZK98);vU00fdb{{{|g=E|6orH28`aO#^MtbBqt{yNn*$&GFXAX^bqkB6__eIy>2PHQ4ZuJiVn~f%F^&t_ zX1zmYQ2;=w5P8tyl|?%PK)}#Mc6O9WR|o`wB1k6;f$D^1J4^`r%>c+t`yVebhc@re z7eAf9bg#w!xM0=Kk;_vo63iF<*4wHG&4J?_IDT*hjz9b(fAMR-I4})L|L%dKk-@`0 zl6P7HN0j}mVQv_}Rpt@`xRnur$#$5V7Ql`OXi@-(`cwtq zKma&t&Z0QX>2$<67ITM-g>Z7ZxwA+2rUI~W%l8Fff9c`Reaq)WehSVpDkvU+=@#zp z2Ji!!UJv3aEt}X^u4ZI6WNc8ihxS;4`x139adSgZ)Qky&tzX$j&kZ&(Z~s7Ldq@H>jPntmu}s7*6;wa8CffiSLJZWNqdZT3A#Y-^0TOpMe*rB_ zouiAl<`;c+u(m0qc#R)4O>e3`vuqX>kSf2^x>LW;oi(DxbRC0`*@jLEXp~%%?$e^W zd_M@mwW$B?TRHx%(WaKzf(JYkgR!zoChF@X2D=O8kKpPG`cxn38`GzwmhaQ2fAUj* z^lN`UXb3c_cYW$HzG)UDw6@DENFr!m}Rl(G_-%nfbE>x$k`I~7g{3;l1nW# zA}31@hrOjhP=#-kB~?Oem=Q52v<4Uvk^0JjGO{+S2fWyzL{O({>V(#gqsJvp)!xRd z=~LBXODmSI!b#OH*7ikC(x}!%Craor`Q+-(|2ALCrtWJBm4fyU-qh#{HH0sBJ`i`bg1xdY{TI#B-XN56FZs~=uEP&6KEvo(8^r>)G-Sqn_-THxkk zln)(6B?nkx5NY>lxI^iNQ&vNb4Q!Kg6_voVNV96D!f_89giU~Zi1O0n)C zn6ouI2uMb0hu&CP^Za9Swg!m<;^T1W&C+n>zT#OcN$U~T=h3~oS>>Kjj8VIF?@`b6 zv#><+$<3kvZN9FK)iI3s9>M(Cu`avD@zK32n@5W?;IL?%;eYX5NT8|D#RV#XD6z4A zw>@^A3k@_~5?Di%MBLom9nJWdBq~$dGK|7hlT0(m$nMs~cD1Iv19yGDkO58kj*DYd zBrz;kYJw;Zq9eF|HZ*_a=Lt$GeKTRdG+9-JYTJUeF*xP%5UEvV zBM4M^JlT%K845!HPQ?Bwa!vw55Z_ppt)})318Gu~&CoYo`&UrDh~^RdVg-G{dX65 zRnz_`)K$NK-Q;0qJMAxJ#G{itZ4l8x0>8{#o~XFi)u=rTFXpEenzV@ zJDse0?Q`^^Rf85=Qd9oiGBpJUFWL;N&B|_{ve_I1Y|%lvha&6BI~$VU`{IjXN=7VC zlQ435ist31N#FlHfAdd&YiLsC{PH?L;mLdDC=>^o5vfW29MP(++!e?N95t4+uMnJ4 zle*vmahW77fCIG#fe@r7bwSQbmlOm4s>%&PYEl;*CLDx66_SI5gA7#4nx_c|E!-`V zQgDXOrQ@mAD^63wU6(YZE~6D4Eq{_ik!2;( ztE0j8_3J41RaqfOUG0Kf%wT~ZEqP-&t4z>^ zAa%71S}_#K+r5sr&w|v|E@&-mOfc`!v0ASvUaw0YF-TVkJAelq?$O$zB~25KE6m7i z*JS#{*F+AQ6U^cDqB*VoJ)*0ND16>g)XCS4NwP%!6017D%<>y#(0 z&hpZd$T{ukNk`qYx5BGCXAq73%eb0s*6ls|y`%q6$>>4*8^C&W?>rrM8sA|Hhm>H| z(^*X8UTIXGJ=8a)d&e!`r+dHQEr01-pLu;LobC5Z;0BBCm8~V-K!do!-M5LKDoBT# z5s7U9fCn}pd1tq%I;qCcH6SRu*H$#j2&h!>A(=`of}(r<&{$H+Tqwz5(1++X6CCY_ zl~=2L(03?;=<7w+8MU*xI+ zsn$}sEcuhj5Tot{>a|EILp4+tg$@##j(Z9=8)7zCmD^=z+tom7Bnzs9y1com0?@q4 ze39eF$fYT-weT5D_o(c#pZZpx%+X4!Su(Vz6TXq|t;6#EM5#!73OqwAD&Mbv-;(&Y z;Y0oq4rf{k+3@(kMr`OX>yi}i9jJWmL!rjqqE<7`u#D@0WqkYd@BivofEYAjiCZ0w zks*-@pY>Fr5_hBqs>UW2K#51`L(VHwv$!C-logW*S_83HA$Zna(JF`?5ED>i zEfN?}MBIXueZqj`uX?^tM|g$v0y8maWvw;LPv)97Dl8VGz1Y%1MloQt#c0yLtv1lH z`P-|3;)EFVx8D65Z#)&ty?*GC8Exp6Bs0T$vJ`T zVFRMI6A^sQ2@DdvXe)l7-nN({BLHWKL=#ph%%x+EGQj=%MIRT94Ai{q+h7hek5r9dz1Xnc+oMWz@`y!qYFB z5MO=kJ{))1?}~a<54Q_`H9ZvatM(zY!i?6?m!rdc;EXd`N8v^(6zDj|%^U)j-i|qT z5Z?XlP1pLT15Xl~|8&5ch9}{CtFHbhqAg}z98ShKhx2lnZXq&Uf*exY49V$BZ^*u~Fy941_M!M#APi(WXBMP7b-_r8 zV$e9l{47Xy>VgKOMVsMzDfrkdNOf8S0YH%M5cK+?)v;btay8mIgj}sDXmqn6<5&wy z^j8Fh=Mq>iuxz%1eCYkq;KSscFibr|lVy8owa2z3AP7oEv)_?zvp%*)*?w^JZi~_< zy0X1M3M??m!wS_cIXZB^tOMpNr07pS`f8)oclMYH-jU3==dfBZ#VVvZ);eTAmdV)a z{;W8;l#&OeZrY>g8w9>H4rs$wLglRORTWTQxt`9*j6APVwWg!zTZB2}I^-3(3#nLE zzdhe0kfhO+0O$wgYkl-l?zbxIMQTD{rq4~nNOk_>=8E-@-nbs#`K1px>mXRqmGAVt zjQ5$g#{Qwxq!hMJK2bCcH}iPk|Du~ky00UFq!`nz^czfN2Sf@GYDGV$kc9AgWjnlF zl5Xbw7Rh2N5F`Zff~Nhso%WXjVd;bcqOGi4iyD>f*qvA2{2iAt-qH z;VbA+-Y!S1XsX$^poDE2B1TbmXe~s^8D?z@E-Nh@E*3(%l~)uUSHNum8YswX*FpD- ziZj6@rd6sSTP~Y(ln2rUF?a-8ldTupnwbwR3eL}QyJFuyQDacz)r#ZQ7WyBO;=-1y zuFxpx-#8DgS6y+Bvlh$8#;e8BP*?WtWyN3;h_KZ@M5FpMwuFZ|CI7f_-;|OV9loscVL5lrQ

07twM(z0-24`|bD5xaB(To7O>;;SIITA3edUm04$1rr8%X&y)j z(gkqAzyMyFe zx%H5QAVYK)w8V^{bvrm^anW3Izgv=b91Oe*%xDpZCYBTztw^qxOt@gpJ($;9Nk&~R ziOFE-vZW;2O*G4%*35SYIUKgeBK!m9MSb!l$q2*OM6)e#IM(dk@ClAr)9*nCN8P9< z>ySMk73hVese9gs7Y^S#KB@Gr)B{`JtLQJ15rLdMqIDF+kmF6!wx*9#v=3{MdrXnC zx?Qqy_)JxLK&W2R3B#$X(-Yi|^a8RSXabHO%zVm}^hkqn(aYoM1;eVP_K);l>pYWP z$~H)*#gh*s>U%WQ{sx2%s$eDA{#+^FZ7^^kjQ1?zk&!a1sbG&7p5--1w9gIzPuZ@k!61Z;q3m!rtqQ`y5Ee{#-Y)l$s8PVUz+n z#v4I(&IQD(zyFNxmhwoba;>kr?ll@HQ#98`12r!9O3hrU+Zk_od!*gtZc%HpX1w9` z;0^!fm;FZ{@!7%tsQ!bSeRtQ5Bp0@Kx3uOP`^w7PE=ZPEr0*e!AgPUgasaSj!Y36$ zP-nN{M-`u~*DRxAMfAP5F-4Bg&^@jd&poVu8^|R;4x`P{#4fQ1uu$!aReZMIDkBjF zUv`4c9G{`QOln{_6311yN0KS@bbaWXFz#V>mhSI5u+J-wYwZZ@%UcYv|r>H@z`4Q%H;v%SzDpjk- zr`jQh85&2W14`JD4=Da&+MnBD+a7zS6V4Y8NtvL*5w=z1!5OTO_=RygdU@Q`-o+-$ zrqk=B_Nbl-E@oqT{~eeUc;^)X?~l7hvoRfii@=kkchYmjJzW^=`(U#3&wuZ~`r1#5 z!OU8^^wuydWP)vFr>q0O{m4w+TpUdm&!<3+&cqwv)NaL7VrZ*{qkR?0J_;f#uWR8o z8O8 z00Pc4-=6Jag$4*7y@xAEF=MtSr;I830kM4j+ef@fZDsa-j~>HBovWtbTh9;-U7trg)iT#-S+UbER{cyei& z$K9gYWw;ra;WPf$-~WH!A24%m|MB?STjW4H!LA)(bm6pX3C_N<_}c{sCmYZv6~Up& z28_Rp;Lv0Px(qG|0H9Vg0XMfscKZtFNSC1q9+7mWfj^>0UOV1HkS>D@?$>KA5*|?* zs0GhjL)r(i8|8x_%`_Tr;LBzUUcA~&4NJf|l zYr@!fV;R*5?Q#?bS_2ZXB2qo#op0hwDKvXnSq4ucUaLXDHDhZKu`bpf#`+v21F>wQ z3=kx@hdvP`WAWx3s~~x?FrF!NjJARqw9UADZK3ZP-B3B49%V$;Koa6?pU`CQ|CSnk z0C0EEO@Yk&4#m3OdxUtBR?HXh@Dh7NsMzc4Fq?S|ehxF*_lXjz*y|?M|H6Z*@a@M9 zf`57FR_o5(&zw)d{DT!6AMn)m%|A#F@&({$PMLPJ=HGabb3WnXxL%=X&ovKwmqF0#ungpmcX6yKsI?@Y~bFe6eK*qZB(Z@>G4%boBHzU+ng1z|*V? zCa$+@rpNgLv)geQnITyno0oX}Ln=6Yd9r|=w}-T#@$KLJAq_~r@8Az9zR3?M7Vw9Z zAD@JWbYNt{+->%F-Dd3s1f6J*%06p(W25W?ggc(vsMB!dK~-lG2z=_cYa#JC-`tbE z#>j$-;R2HbDma{MhtIlM$ee*vC&EO7P1S7&fogx*PW#J!z4diMSj~d@@P{$QByTwq1^~HugucS}g-g)EGn`Eu;+{IQA#smd!x-d7Z zw!pia>Od6~uh8Qc=yqW2Sg9&1z6g4r|D0S|un!B)rDueG!h+Ww`{iPnix^j%e)pKW z(l1vQ?7J)6Wk%?yyTYQ?KYjM!|D^vq5c&$o@eGuKa}w|q^ORe>qP%?uEv)7#)8EYK zOL@ahFnD7yFpNd3EGSNlgB4LdQQ)UQL25zqVN4J-2Mh7=F`S{pHXtsHANoKq0}d46 zEHaxQA6gWyA9{_E1mf$^#c9q_92gS>{=;YiP_%1*jQZmyX$XBzUf#;^s(kDU__+go zRnheD$yf}kBt-%GX|6zZ%ijZjSRhs!$$WRf+o1G6JdF-OHQ%0tb} zF!_j7u0-@b4ujZ1wp5A$ihOEh!k%GvT*#7k`@95qiB zs51MiDGz`kdI{(o>Ig`wYa${9`S$yY7$C5btO|1V9l=~4*sa%VQO>AL2+LnSbS@77 zYNrG*DF0gyBo3X+0|*+~XN7Y_oY~eosGR*AZH57QotA45-ZEs36{(zEkbPL99zlp} zxcCqhbHCt?1SR-JC97YQZxAM6fFwsU6-xM4 zNmh4gzvXX7{sCACK1G#eb&JqoFXxFTRMJ$HWWGm{slYR;mNu>@&~$M<@u1nLZH(g3 zE(e!9YnZ64Rfl^z%ku=D)UqU&=LyoZAT<=8Ca9AHB}H&5L7)en3X{;i`U%nWbo$yG z!d&%zGJE9?b{A`jC=F1<2npHcj(02wT%gaZkP<2wEm&y>6i%ub_)J?o7-Fh9g=D~~ zVqgf=2jq5&LBTkaTiQTyGl_AABr+Lm2|^o;K}Q2$2Oa|w0Nzi12gRfh_Go~Q`y|*aC==2<8D#w0?b_w*VE4}819KY0w;*LWSm@yS>8zwu4qCrWiY5um|K z)87oSc;z7$_G(NuccY^PaxYk%#_zT!7O6Zmh{`E9gjWif)eR%k2cn`y?O zjn=e38G@kCY~z6(4&V(3!z4o{kUq5Gz=>$hM~B9tb1;AdvdD*w(heD;<{Yb709+VQ zGmO?iInfB_P{63R7%(l7)~bJXjsy^)lCG5SFoaHxU=^)#z6ru!E{O}!O0sZaKMqbV z*;yfpQKRVnY9f~8pkXXaiES2^8jLGcMQiv$0KCi5z11yA=G%iyZODU-0^X`9DBmAM zpXdmny}%e+P0#t7qjjr>A0Z>FX_c(K1(P0#4>X+fBj_#=o}iihBpJ0#uGJ1;~SAmMQ4i@W$T zZ(?6&1SYd^+LL}p?RIi-a}YBJF%O?Z!yRgSrMjmdVJ(X1Rz<|!+8}qZ0qOcN?<=F` zOJT8|H9s(heoO=4lhnV)kc&59`^dAqC{|hS@pYr$RwBqaL6D1Out;agf zLCkdrF#%)I&TI`KU@8Sg?xu1?1VS}dIB)y4SB6T=tR>!_Tl8~Je=ac}W4d@DHR2Z0 zK#aUa6n!Ob5szy?7yX#c*=xWQe%{x=@UPz=`8*vW;`uxqDe{yJ7Ng<9R1L95_LT+N zE_m1ojX5ynATZR3JOpV9i=Z-s5NwWeK(iuEp$mcsM0qL!Ffn53SdpgC1%V1i4-}LB zi)Cj;nnD-EaCJ~W(ztH-g51bsjcE#95TPTI1!4+8!`Pf71MMQXLzlP0b2mn4XQ1ke zK~|$*k0Wx0-eZ&7QK7;vma0$+0goyaA~sN(MA?lTDTCCHZHU*7GXj!gidAf}#ti$a zvY8sfIAPh25EEsyin;9w;4lLFf7If8o+=c3=-OMd(K@2 z5M;xQV4b$hsjC2j0Ib$#40CERU>mEAg%h6Rs{2F(wsBYrVkD={a%yp3P#YjkP=>@< zF{c){1q=atg;r2Bo?o8;LtthGe@#BNXgrsUgdtdeX=EzNoLbxl7y??qk{qoYMZvjZ zR0R{+y`m2Fi&M-Fjgrjz^Hgl1N9<#A&_ne@6vnI^CqwCO=>=KbQhLGsG9;u6)?GQC zlEP3bjZiqyNY%hIXbj}3@(vP0Ry$;1!DEv+HAo7QI8D)TKm$}7o*H);iNROAQHg0& zVs+P$$myl`9Dxe1?ly9IxRwBQOxK_GTHKWW9JhR*{(RF<|G|&>b5WX6M4szU!{ z2y;VrALDhFZ=6LXXwrjMEP}3&Ke0L6T_i=QriNKpitCGyO2R}sH^A4!Wwf9l=iC-ii zlZJ6_9RR$t$@z81T5_JeN}Va-|jqnH}A zG}DxgVz!ClvY?@=wVwSh3%KmtR zW8Hj3QZFmg7=DLDkXo z?FpCVumB9dac=Vc$&+0>-s}mNWw-23i_Lc^T$U{cH&jQTU6!|%kpkyj7LRKEFSwg# zm*pB=7CI%w)lCMWjI($h5X#v6-?-&lgUbBh=ltQn{yY9jG6v#(dBwx3=M}YVhmBQ? zfz~Av-^zGs0OFvSTD-An8xsr!Gg@lv!g@_D8wlnNE;r23pXaw5Tv;)D;@x1V~!DnzRZ+_Abo2W3kw{kluU#447=ko+F1SB3QA#Ah9^FD>7ekP-PZ7i%cj^5F zbijI)Ls0aZOIpZBi7YUWR=|a6`U9)^CpAzSfy- z)i26-2n&J6j7JCGM&}phTZG;$)?uYVOa{iqnrA(d@HfWyD2vmgS=BbZ8(GsN)R)%P zWNZJ(7hT#~u52R@is?u9^OxlRnp27MxvW7F2978`O9V;q>Z|FMfCQYu95mx5S%PC{ z2~an0LDuq)7Qu2NnA#xBeW$k>dE(pbZDy*Kr4cDDukXBxdE&}@fk53G&Fyslgz=l*b{VzZD?*&LghoN}J%toW&?H!mQOugiS z&>)d}>f~$Hhh+*bAg$(?$Dy-6G}ntIRA;*Q`Z1An9)sB|tNyayQpD2;~S==4;zjWxS1MCW3AWw;B3AX{yg4Bkx zr4#kwl7(Rp_k*u|WfMiQx+I=Yj+%li0Men(tz}^7lAv!u?~^zU7;WFKnV#tjAPWiP z!Gi_TzV?|Gu&%F(+7RDRJXnA_b{@g>RA1~t?1i8UYpxNYwntBo^#x!?e5|o1R&OPx z{_jTzRFc0PYc4kiD7UY0l#=kRgxrLD+KB_R`(#M;_ z9qP`omJBxzIotq@WJ zO{H&+(^0nObp1fLTt!b{SFY)p!N*j=Xl|O084Sspdut6k=IZw=?{OejWjlT_c#uIq z9X}Xs&0tMVKUj&9)Bc?cmam^aUhX{I)vHxD@$JT)C(=1@FE$P!6*}jn>pv=+)_8jafa*F(&$QY2eIzFzAiWjb>}^F!9k=u^@s~T4$!@L1L{Kbb^jC*e(?Vq zW$yp%f19smQ;*=9zN_bpmVbO5@6S#9_s-qpMb@`)5|?-ZBRwVlWzu(TI0%G$^?r+c zQ_JTUTBFC%59}GLV2TQP4gDb7pr$=x0*v89U^+!H-%g?yw`=YlW{0G19O>a4;Ie=eg10k%$nUPs>h2I7&>2TmzG%@7>tX=Xn&X@iLkhOS=x@1UBYkm;Q3$(_g1 zxOD2B>8csL8<`@L?|tQ$X<0kH_f4-2z)8bdCq6*&p7OoUejL?Sl{Q!OSvnpcm$ZM| zxifM6I>A&`)(pLpl^-j3=d7oCG)p?2I&FT{qQ5=7PoARr-M{@)zU>D>(P%j5E{X+r zIs3D=LS}@4DXWIE9?D;uPa>079aZx=@fB0rd}VWtVnr$%7fflQt#L<(U~)4Nq3#&^ zGi}aPOnqo78ej2%ARVcF3ToF$&Jm=58r;%54X7E?Dz+#No#JU0jQOjXCPIp!IkZ?R zKXw_yeOv(UT1lSOU3}5ywJ*w~hutf_tD|ELUwiZ6s+X)RSoVK}>~|=c_(XyHUwjjv z^7s54-}HT=L{eau4@Xv~H2;alN}h`-sO%~65zKFNtAb!*Z&L-erAl~4KnkT3mK;ku zVc8CygTx9{Zu-Fp%`25HnU}mjl~n96DY3LEmnVEB$t+CwmFyY5DHS$u`95Fix&Q8m zKJvxjM$&~^6}HECTxrHbg0PeC%PI^#edM5*=++Pkc53D;*%n&SfC&&mG`uOyAOy1t z104hpjTO-`*e3h33fmqwWM#muN1d|Hp=o=xAKC_qFe!+6CzTp`^D|k6?Ms;sO0N~H zRM>K@*bY$iOH+7Oij@jGf+qtfiDUN^6RS)&h%0r5FAAB4_Vf#MR@tdiN(n*H97UN_ zAGpE)u1&}3!42|IR(`azdLVOvp~&>8_|8wm|At84CrS+^w(qv#-dz2AMMxp+iJhwT z=1#TS>r@+ei(1PuqcyJwt-1Jnzw8S}WGZAFC^HoY8*m!w0$Se)?tP{Vkb!DcL$Jovh&G(AO+rj6Ct&DVmj`S^uMp;a%VwiGjz1y*3m8l4x;H`KFdBrMI zQD}mXYm0__#VZ;>wM?(>OvM1;WzJO0nTq>gZgj4hJCDVbZ~bl0J@e=sz;6p}fHE`^ zK&ZgC4sUkz2a$j$G8M~CslIaa*GpFaWy)y>ev;k%!-f!4#si>AZOiXfrUKmICfH^R zYB!%FCM0G#0Q%BK7}?FIsg!Iiva%h)%FV~bbfsSfk47hxQ@Qzo>-d7CE~rS z;_bsu_c`}f0AU+9l=mIbrUDmCSp*@COIe6cBDQHm1qx*0cRG123X)ZB&hH`4GF=BZ-zay7#{bZ`%Z~3Ob`v<@Dtm++tOlQ>#@R4@p zAa5dF>^awvRWCRyS!C+hs9E)HS9|ha;$|-}gSAo@>f^ay0ecWK5zMe)2;xwZxR6;w zu^OA6-|JfSM!M*TaTv{^E7e=lMLn?YO7%v*h!Z%PB`eh%2_s~lJK(ScjN`OhWWAtz zq4=!=7{8}dy@O!!42%OF?j%}#l#&+B89H}q6|z3>R&{9ZZ?S*zluTCG^3~B(@n32Ui=WmQeLAZtFUcPCW~4x&jJW@(;D`%AA$I^daH z3p6QIbL>ymKSk97&4%KC+8?UF0wX}6{=q%EIjL&7JfW%u3ileyaDT@y`_7AH=wEG5 z^^Qm<0058`Z#J|gkeJq%XkQ_5P5oO0mH3Q;90Nc%ECi{4iy#EBqL3&z` zBz3}S{P0n_QWaLWE0C&1ziWJ2`Nv07HRc$-c3m=4^kV}_P>exRR~_t93nBmrVG7vj zu`76wt6v2)k@Vwr(tqB?)q=K8uB<3qee=*5lfE6_2V)QVP5G|lmhbajKk#?{w?Fb* zqB^JzhORqxYYEaOROYFdFxpvif6=t7y3++QtC3XfgfkrsI&WWDb+8DoR|uQoX@d3z z&39C?A;|0Pg4^{$t7I5yW*LhRr0y(&=s!@kv{DYtydrg{3nI)WttPvgmn&6?u_AS+ z3vL14Ql+%ogEX@~N9s-&+;7<(Br5{p(Fls}bjjj3!^YTLHb3@RJ*O%w)%;YkrOp=X z_S1i_0S=)}e22rfn~dLb70xj_3O@N$20VJe>1+}Nx(PQ zb2?Rn&6U2}tMrY#MXeQ>D}C2f>3i=R{_l5wR74?qGg?b}7@}KY6k_)fLqoU7Kr>wF zVNqce;(~)LDwQSOY-yXxym0`XN^Q=vCEa7*3Is{!v9`2{9SlZ1ThiTrX`{tpa)&MH z0O3itq{DnCdGN|G-w6RDZDHc38i`PRfRN&aiT{lgXs zNv#XD>aheGXXjEWN*U@Acsm6j+o^Q|jRPBRA&A;u>%>>;u>>0XBicqzt4EACAjuTf zqw>6-3N+9Ivh|1r?acLxInaoK^q9rm>d~wokxxN42?LFi!-`*hBG9U_tQrOgk=t?BqAnd^K61$+wV`kc6Nuu24PvqQmT&mve-?dsn(ub+(W^+% zC<=%`53GS+?W?xLw;@XgH=AQheqCT3UW(H_8;a0*zqus+dL&re7Go+vG->>tVN74>QejM!C1z zcdmzBPd)5Azv7us`{Woas}Z?dFi!`J?mNd=YT`gh#oBgDD@Tv7SUOkshz8Uhj${BW zm zTwt=`QIu1kVI660cL5sjK;``(1`pE9lA{fYC?neFG^-%@ zFok0Sy(l$1|I}s`DSst|pU_{X6F!50Mu!QkdfFfHLB(+*{V5qT&Nn_e34E{9rd*zg zHqg4WzXG3{y#!|r63H5Kci(LpBp&zjS!xw&F}!^CxHxg9FTHU8^2LWQJ$(N1p7iVJ z4i94A2i3mM-GBGXSo!`7VpyU+D?Wya{}a{iSU zx66UZ5vC&jo`Jg={AWYfR%zkM?CZQqtQzHGdNQ)Bb zV?<1AwX+}aYNy=@y6sQdtopM(I4jR%<<*<=~AAF!2@7$ujx z4pCs$QN23?QYULJFzUU!kE8qmHO5UgfHqUVXj%l_K9D@J^BTu+d8N(s?8CYHAdzB{+$TNGfPI5I2f@!BiWJ5 zw?Quhw!i(+_kQ66p{R9;y9aDG(j71Mf{Z>(P$H~>Kwt^bzH(d!b--Hy#K*KBpa~#| zWG`c!EJ#J|D{eQNgJy$43CenA7G%KYf;JxrNDp8h+Cx)OyWn=U1N4^pWdYIEEAocA zAbKZ|UnLq3gM741X)UfA<1>zqfV#70zCT;xj^@=QOLTkme1l5C8O-`1lFH|KCFQR=zwVw?W!#eq zR^hDwMWwqE_rEIU(VlHxsXs%{WS`;E`%ICvuX;f6RhXD^X2X$S(*mw7-6_G^^1$mr z*~qApwYeI=bJLs{f_{tRGs8S3qf0#uM9fD%hocWL_XsM&MC3VA>12+ z8U-qAk~aU-dOO@3-ML>6L8J5t!JM`W-hE)?+Cyh|y+Ey@HI1nwR!n9c7So~D&_=Z@ zS`#3F9*K;5@NUuD(y|rhv>gg(oMZ<gqRgzd~YF&+{ne zJRXg+x?MG87ey#)P`@frjmG9z7r`R8)UY zPbeJ>>y?D@HY!^5gksn*&0@4sGL9*N9_wK+g;8CFARP=B1d@h?tOzrgI~z?Af>bvy zh=I~%gGCemZiykw^`WV0TyTpq$oMfN7X#NZ4W*Am)4^~-@am#d z7Ka9;-?C>RC=P~89+6HJw}C@z)fGvSxwjtaR1ivX&FvA_zWzDlVECFlknV_kgGsm& z66R|z4u(r^HxfLezjnQ`@@_hMzCGLH8h!;kap4dtsyRvK`vc|!68l1uh1vEzs~fc1 zppQX*5yJLXGT$LI){<}tza4vY*37pE$AniS=c!4E>bK{6glL&0$->cKbeaB(&N@Po zr;H4zcqBb@kknH#KS(!vxzJ9zMODCiuFhH9qI$1um=~fyZ)|&Si)m7fQVMxH5o`-W z0*Gk}4Wp+1QpgDq(v*<%v1bko=qeo_GkoFdAZ$QxZN0ejG=>Nxy%V01Y=?4d!SF)r^n7^j$6Jjiv6nJ_jkYg&qt4$I%S^A^iahbB#T`B6dN(;nW9q!a~Sj9hLXvh1q$9{Ib>eju3c&{|J4!7AuJvO zpOi>WbG|v^ypjT|qPVniK7?~+<9{1fmezVD0=7KA4bNguOu-nUGc3KOny!tvw9{4# z$E{LJ5?iO&x@{|M!JtA6o)5@pB=67dPzy+3RqV7ZCrug5z*HlW0woj2sHsv*GVd)N zU$)cnYqg}8$JG)7wp6f0z=o?U4B60m$izVySxvQD%@<()@E`vJFaFv<%xS|} z+Zbze74gH@;A|DACi*!g#qZsz@c4v50C^+xX+lsk{3f_vVmO1kr)#5S3_&U_+hXey zd92`hp$AQC?;$9GxvdCny)r_ARo6V@5R?bf1b2snl9cbz&#Kxj1SNR)b6g>*C5PUu zjnTygN9m%ZA{siU^v$bI5qUG2{mqJKo-Z>+jY-JVXr5}DvN7J8ICQp+1=3Bv z3JnoLFx$rCaicStF;~9BSCMTaxXUm*1BV9E%@}}u#k00iW;l0BEZ!_}z;Mt>hZo|MuzU_&3wkUY_z;#If}Wx zC}!L(YE9!D#as`M#y|Vq&sv|K(4s|CPG|uGT~oHKYTw5d$ln>9AoZ`|j#n6!N5i&| z`d^{Vl%+^yV%ySgU0dCN8kB;-ShrQ!Tv`QXJCV~!1+LIW(wrmJkRN)xI}$k!K~Uti zD^dd$!4=u8q_DcMNGpC!uB#pp?FbedNyy>aHz>70_bI<-Z_{Ue$?1WS+PfNKOcVCl zv5{Cr3zw{Qq_?RgEN6UjOY48*oA{(l*M(I#wmpf%nKyYFJBE}jk`0y=d>CEf&X^t` z*>gHIK${D)sk$j*DMh_Ab{;+#lQ1XGkqKHShWLin`cl(+c0mqSSJi0P{S4S5$YCp&jd6Z;NS$)w3rXFizn!5b-?HY`` zjbwFy&}E>K`}KkjOm*~pgLVsyeV6kDcX>xL-yw{0*YWWC-2o87X3czyfa+k~6SoMB zXKgE+wxjrO-!Je~u%+vOUtg_x)`toAWD%npfFO&1Z&38&Dm?ZiP4i`}t4SP@5$=tO z^!Lo_d07WlIr~TZ2Pydw4o`fdXkza5)PnyNL_yt1B<4E7CuSHKVP)ao+zgD&Ufp0Z%30j?(KMj{uSik9HjB0F)ina(IW`n;TH7}b<&o) z;2=9!%3MA*$j(J?r>qEvL@Km>>|EmQxa1%`SKX9{X(oajM*^nHcaf)+4D)l*+wr3h z^K;P|b;)5yQF=Q?a*&^^xu;!;?zZo#XVc?D-M4yeLH@j zx4k8^`|;$uALDM(?0(#g`|;m=*I)U(7lRo_GhYtAvOE~#`XxA25YwKY_c+koNBib0 z4tC;IWs9K(J6bni5e%IqrD0Fjao2W)<+8e;@g<@#+3-b|lV_j-2x;>`5ZHy7u)oy~nOIE(D zOD>*t@Y0KK&lFy7V)I_r^m2v}98D^fhafq)D7gqL*R7RYMqndomi$dT<7BiJjF`0N zzWCSu!}kaDRbT0@_C;gJIPJ^UVzxK;bXMor`=Em**=RC6Z5IVzWVo$ix~&CQ+V`-~ zdUanPbk@FuLv*wD9UP*YweNPnVS_Kq(4}j}R3lJsb;I-)-OV%+XazQWZj#K}x6nOf z2)j)Ob;&D-N)lqIVrq1te3R8$6OHIjeM5Ow8}&nR^MXYOH!F{k8Pa&J>HIG=-aIgE z8sU~S)khXqYaXHTt~fBwp4Kxe>B=bFam)8A|DM^A*t) zz}k^0+cOg)r65GdbdTa)eQ0VtUlDmGIIdJrXb)(A3^kq$Zqa%tP##cB*+^ph$~B%# zqG>|WL6|3p+FgBYE$)>ryd~F!7TuDwF-u-qxv?;G?qwrEgUV4j>1QK=V4S6H{v&ZSc z8?n5&fA+GS(Y1Zb@bzExpFH;yp$s(>(#`z~+bX-G_wcd!W?IU`l%Z`wzYL5t=Vf%k z!I9=wZW)8L#Qoc2D6MLwI5d@^uUH8A`WU%$?mv?w2z6}!F;s@W;!R8{Ux2uk`V}*R z8~VD2KbwF?(ZnEyFtr)KTTo$sn#0r2bMAk5`gy)R!_&{J`|}vn&sCpxGy0W`#n_^v}oNn@?MS{kgqOx#-i#v-v5Tq?)%i}@g8*K+>$VjsTDmOl^z#Y z#`X9UO~^M^vD-_21;ze<{_LCngU=4dt`&Ay>;=)3__lW~_DQ^4M|~9tTMv!4*hfbc zZ9w5EK4}k)ws^U|Vy4k<8N9{IHNk`9(EDh#B{}0O4$)}Kqv0!R?m-Y=@HlHEm3X-> zxWVuO1!pa~oYO*ykO67?&%%5FXEVt?F|-)YfGhwzmaL6*G`p_94ht z`}A%68&5TrQ9V`Qe~~hfnd*sXMl^9kYDrQs5zTa%ZNQ8(rSVm19nt~OK6R^86NSkq zjt_@s}&aMAw>jT^N~GtSHLV1sBk!5;`L;Zv*_C zG5{A3i4aL7us4$FSW%Rzt%w*L&ant0Zy_#!2aG$TrF-Np)%W=|#GT`+ zrTq(4GcyECQIwKBPl`s!aYE4~I-Hq1$2eO2nL9`4OFjCUn8a#|X`+)ncb#5O*XghN z(eL_0KN$r?y%}vfeZPT&EMWmkWVMwzl}-m|ZcDP0ZKE;O0T8lW?6m7N3{8Fxy7hIkUDaA1@Yym2LC^3>X`0xXcjDws8jrI!WT7&BEqWgkVmmqh!g!KJb|~ zpmVN_s1*=xKQIL?KwzwrMl|h9jxx9EUUzwa!<#?bwGpCc9sh8_;}dt!|HU`)DSyw; z@lD?+N~8pNPhw?mJDnC@jA=Vf3G(FJB0(-)F0Yvc`Hy|d|M-a`225fM8P(fi0hJ){ zZ)9tEbv#+S14>-^=&0I~CY&J(^q@|K+FT@#V`^q_*am)ARXg=_bzw4L2?OejU znj6{XRC7x8xLR?{6(>=GQxQrdQmV>+;wkj#+5VtPc$aQuB&RXutG+%}!uz<~a;61) zi~-_qC9gfMC@-}NhB z_qsc8e40)jbO;J#9=m4 zojl?d*X%+FQW?154&+Y)J2~Q_tIrsM^r~HOkB$b|2^Q(#6GCfXT&Mh)1$6QB+0k|SbK#DNmPR14KXJCBuQoMYXX%`He?o!px2upE?%`u?$>Mem5+d`bR_fb z+3q$9KLnYV1r+J%_5DGMjT{{#pfuo0lKBR0_o#7Gk~6zEQZV~@@wEYm9dDq&87PRqo>ZMt%-DZ~gaxr50S$`D*G zt024NSwkgS{ZT+}sK`~*cQKMGYhw#^qqjLm_wS8Jvh|8XIRqyx=H=F-m#GaidR{j{ z-XUp>R3ViSPpKr_sgR0yopwM;^;Ty%EjhC(9Un8Cs*>_rY9~?BmF+04tZ}~Ev_D2X zsYWd`pu|^8`*S+@WM)8K z0bpyho;jJhcf>28&^CU^R~&7A!}7JUV*17`Z?>Tkfyt-*6+bs$r?p;bWGi0iY@4)W zAsJIi*e1A92att?Qq{!y!92N4W|JW^B~TrKJ>Lbz2UsxY&&nycG?|2 z69BT+xDGNCNmNYXvKAd(c&huOds$Y&Hnbh6&&7&=<4JdsD0o`=zqc$< zf)l`E3eqLZkQ|{-=i)qBy2>g@4#M%D$SNdFaOWx4knY z@ZEB8N5y=J9w~x{Ng=cNg!lgMKk(tDn4@^aE&>#`lvxD~*ll2r7jhn4UJkP>WdQ$idH`Q~S6c^xx(SjspNWE8w;V0nZ%Uob}#ymz)j%uC4S3GM>iv@giFZX6)OS`jDcz^HF`DB5bv3rjy z5*KWyHdicNSy66JXm#ZY=l{Yk_I)y2>DVB*k^UFy8G0plwJwgRnkj%7<*^nQES{rF z0tTl%KwESU)-)2%Q%hHkz8HA)=G(yQmonebg>MEyPkApCCF%jilg4T+)kj za7*`{qo>FB!U|}>{4PP{(XN@E-wQa`c)p4GMGf()57A}y5Mir*h%QGzM3Br65jWFA zgr%#8h}$>)5WV%}Q#8+cBVom^YV8x17j>NBId3Z&>=qs?%0j4dXOC50(^w95B-EW^ z2cXU-)sfaH{-B(GpvQc%zVjwHbrRg6B#iCFou?rHy^s#b?WjYocNch7(*EmEbFmlM^;O@W@*s%uT>>7}xw{S4t z@nU_9%{9IStQFXZ1;6cX=B3uv%2nF$?GGP35SOpm{I@^*uKQmu9w2?dqH~L&Tm5r# zWxTFx&ST+ z5g|`Fd@ros-ry4n{V}h z`+;Blm`^{KvWIa6zh<~76@o8;Rv0CKT!VrDvT3;BiBWy4ZHorGpbR^LRZ4ihUL)0t z6+w=tAwaCi+vY10yeygrD8oa0Xx`Bxm{5jBmlZ4WX1gHhv=;&*gHA(SPMT`9Y10sO~L>W2@Y8+ewFP8+%qmB#(;10y8 z^=Vcqd(;awcJEE@Q|bDepk76$o<~0t@!hPM?~l=$33kxNW2N)VHwbM_)c8#9^J!|X zQgw%b7}fN~Vk1Fkb(N}HgwlhYC!SF09<3zvJ&HgU&nTaxELoup@t_$JbaRmYs*pTu zN2t|RD|g#R)q-@B`zmi7+tnD3SZ#cMUstQ>WAwnt@7srh_(hkx%gSMhH= z>27{M*&(gHhjwQ0RT&3C$tB}};HyI2u|QC%bBWIC9kMlQ!!wXuA1tg(Jgc%tE4 zI$?=^(*6*rqa|*KHDk&hU2w%5F@mYAsbuKW`O9|fFZGVJDY+CkNXYM}`W*rwARExh zA*mL|L-i&uEqyZ-xbRXh#}DYRS9+@XI_dCB+#>G&>F6P1hdM6nci2l1^})*UFzyz$ zF2IZ$ydKox^26Tn&VTay5*%sz)Po~SrZOSJ(VXOC@KUsWWx_bvDyF z>ny)tx^cH~s1)NDE2jJ?wB14L`Y2d;Xp(59{m^JcqwOWl!rE|%u_BGM3(_Ccd@pQp zo9`8cYUj9LE;(jYN()U5;;cPYBQzA3g^gSfCDtjH|5MB7Nm#L7_D@47`>=BHDX+@U z@lD?+N(^^}&D=*->QtvsrAU)bT^K_tYotxV@4Ichi!c?_MW>rPd&XyT7X{IQ{NR{c zDH3}lYNc)ZuQvQ1CDVqv8ai`}W^;ElK)(@5^a(hXKxm7%>Dj9A{U~(iGfDSwv)tv>ixx+kd>;FOk+a5ZbyTb-iz8t!TXRtDN>n#XI z8NH&u*shq(9a_&;Y8R1+(9k)443(E{p-#HyBu?1H!@5)L&*fSa?-!s1p-sjfox-lD zJi9=s=aO1hB)dge0S39}fU^@&9_W-Bk|bmzQn?nOX-v+N^JM;@xjQ-t!`D2nwU2PO zTU!5S{B%X5o8)f2C%%$C!CFXl<3^753DjPnj}Ylp)WQ zHJj1Z@XMA}=30?6DLSSn8sRYN8lYT{%ri_M;^CCJmcmEMJj-_4U)to-{+RA8?KqAz z>Ok@NyrlhczPaek%>8hxpYM3=FWzO^6c<0Dd%^urkM8XqIb#QAQ$5}eTzhms?iS6a zdi*V#0#^T$Ke7JTpB<)Jdn(;jTZbh|Oe@mhumxVKNeJ4#y;hMvE?D?HK}Q3d&FDS^ zX{udtzXV)IRsheSQuo^Mz~~O z-8pMk#TtUEMl7gqG{kD@8;gK12NUJGNX9PL$YoENs~x^^)UwCBJ;rnMkR!fzhn=sEdpY-26Ui7*)WHDm$O{%DY|(9sa6@My9f`wRLxZAzIdqH5vjo9+mV3)$wV zdc2jHqv{i0WfuWR=f%2i^dlmyq9F#`o^G!N{5SotAM$md8IFKfBifqz9>Z&_6n>@! ze77c=8a4AZ3b+zqMy-|l!nQD`UaS`zZMs-8Q505_HH5VGinpM%Tr)qe7^i9}+yeSI zv?vp=@-9|;uSN?xJF2{z0QYmmkLC~{maW%DRU0ejn)zY1CGQ^U#yjxSG{3s4nIADY z5bXevM8UqUnP1(&(iVx$yEGU#ddhXXj;ZzEa@txfo0?0+*7hNQ*ryDin@Ik>s!Z%> zrqp9@Z9$Y+WJIH zjp?i>OT;;98zi#NLEB(KH^GD|53;%Em>PhoQ)KR=%vrKOeF@@XUj__Lv0g4? z|6rxq!e|kPB4xP?``@re@yWmQzwu4qCrYJ&(5;vMy>pkXtq~n*D{G3b)J;e=)23M! z;bT>!R4`m6pQEwI?p1B^TQYV!pRc%7>G<4E;Tz63b4f59o>C*GdzDs`R;CCBrGPvK zgPF47H8K%)T)aM8u$!@9f8cfh=qGVuU?nSzxhNXr*G>1r5XjC3bf$qgdtF8Qn?-0 z67VMgxWd-}d}%I0GD46_&jo`)W_Pgp1}=E^ z<<}Q*s8dlTUOfyl?oj*RJ9lRWnNp&W8cM1uH8qvmMVI!V@>)xIYWiDkPvV)^ZmzG4 z_t&nyzB29>wVLs^dO&zwBQ?{HxkgfD7+;x_KXPq8FERUu; z&a72_`#iIjNg)?ZQ*hC&FD|2fW&C6=n1gs?(4N;5hr8LZEL8-5} zprRd+gjlSaDXTg!u^bxZ5P0(#8;h<#?Hh=56s2P;0)nWtLwk(HQ~x&vrM}{a2JMfc z7I)fUna@!ZCnjm_5*+HtiWObzSW@Z1ToOb^xa5>v020|qN>8Gn=6b{SPD+BWxRuOz z$1-son7cAIpLBHbGHp#lNfoepSOV|S96jHk-2(6pIXYma?HyNrZ)<}^hZIl9Kcq60xalg@LOoRU#sZ885aN@bNFRBSp3KPM zx$b(je^IGwJb(4%v*#{}tOzy0d;`}!Ty?toRhqBEI>hHKd~=cpRU|%WjhF(u(81zy ze6k)@p$-JdsPRZC% zW8CtsL1n1%wLkXUr+&`4N$0PiF#y3D$GE~6fNn)|7ootiF&I?cm8uz1-PsrnYVK?d z(3d`-xa9#FrMM-AHpyYdosEGJ%L$j2L~WIU$;JSc5ip3tZ0x}SSgZJJQnJi^sN%YT zI=|}5TS?utdepa}Pk|}R>J5pOGnAQ)0%mX9t)^ZYeNGKFNuNuZCFx`6^C=)ap)&}5 zs{TQV@vsT&@EX#m-}2+%{cn>#RY{@F*o*=rEXjhip5-EbP=`f zHuTVjAgw43x=kH^l4PwG<_jDei{t&wxsSGYXlT)SjU0KVD00zrAkxP6fTNKX!#d>|8C^=YGp1XIivwQcAPYcS~AHloWLMpxRRyLc^wpY@p zw){=`r{k9I^G|>MmwnSO{$$ku6i(!+a03@HM`6530C~1(NAZ9RXt^)ENC-`9uw%^^ zSa^|k%Z2GGI~Y`u1jD`}#;FK75jrSMTZEwar;Zve6NXm1LrViF{;7jOofipBo*hB) zPhHS?k@gGB8WQCfhZg_T1q&?_g6o!PNs|?Rl7$wjLv}Pu_o?p}RXyF-=`i{^@SvfH zuFBKXjE#If!zU3=_{PiP-s9%2v`HGZkh^o(ZkZ%@j{yN`5j%ln!4!{cpH62rZ z*#XV&B9Ipxd;!7T&W2jKZEJ?8Z$K+A?jqEe655Agb{8>TO~<>!bF=!U3!YV4>M)J& z3m2`B)kmm_&R3^2iyqQ?!6;<^;P62A(PTkYn!9oEz&IJxSpxueJdAL83)MCWQ!2s| zc}G*0tP}U5fL5jTB+jdM$Z0{1lhXmGqW9V`dMbhe=L_dQelXI6IKR|O*5*OEos?7! zXwb_OI!pLplFmv21$0*WcD&9S=QGW9%vZ3EIqnv}hpObI-}qYF%B!RN_0NWKfe4^91sL&4HUuN+vj-=W_ioa=J5>_>_#a zM2wAPOj8JFD)G+n!q*5A{%T>)Z0@ed-2Kv*e#r0HMWt5JrCwQZ05@pA73l)wgArB? z!yJ~aWMeM4FT=esTv&5LA;`*t3vQQNglRB$>}GDU^)z=bh$4=LVaMaTGhU14&INHm zQiBmPk2RZfWT@zZn3HV$D7%#;;WBQWnJ^b5jkY#ggQ}#3%rjJU!Qe8%v)l@bUUSLd zGC|>4K`!53-l?KizRAf{i+k!(t5p{Kv2H61S1l#jdN-A88@GHLa}nzD&wuJ4 z93F)N)!@w)sD*M*0s78f86cn*NWV9EEM9CEENqR3)oR<8J#%Rm?J-JP45q_Q*^@WK zR}8jBjGb?bnN?|aLwGe5D6$vmQaYV$!vqGe;bNjvBbZCGLF%s@Y6Xw*RC{SPXxLqlL<*+1aMg7C2N5myD zJ(AQLyhLzKE6KB}Ho}`IWR!qLgP5j@kz)}RI1M5!t3M%iT-UPp7m2IF7d1m&t{>`> za)jxXMvhQ`Fj3a6Hb=k!nFn-Da#O0;Uam9C_o?1*|NX!Dt3ULd>J6gYqYtpQBQ~fQ zaM_~YkzkiCXl|;PTdTOP1xBmh;J?M-m{y~v`-HLG&VSpZD07*Er*KkHX4N~WCo9!E zs3w`MET;$=F4cT>vA1ZutCHz9xt!$e-U^$ZYe(0L{ujRJ+{1bO zJ)B89CKn3YQ93+bJHG1G;d?*!=RWHqrh=(U>z=4NxOnoeeZ zWm0%cFTgiAl>ks%-(LaLZLiE3|8lN)zk(I-akr=q0p=3G^^^cU_fP(pANbgFVbpfB zCv^>@qcted>m*6eV4+m75oT26NIDss!TG-jyxw{iW^PKXj!>A2Mok+VvCmol=sFdo`(O`MS97gRBTPpqxR5$JAsWMo= zr*TFoGg$at&4sKoSo_6V*@6Hn?zSN$ED*AaAlZ;L7hA*Z`V|_Lmum7*OS7M5wbhs! zbB1m_c8_Z@-uB_eWcPF4i=X>~wJ|cWAEoE!f}FDl;I*Ruq3bcFe@nP>PL%-I&W3KT z>HSys&`vegBO*824$n`VS-v$hO!EK!Pk7IN|E1?uOhY8CWT5@93t_=>fbN=%Kz~4_~Ea6-JLf+9qsI% z+Op1l^k9NDQORZ2ATp_WL8yOSaJ#W7KKsq4=~%aZEpM0Kh!O9rBKipxi`Xak)X&61rs%h-pi5B7w0962vKr4Jjdm ze*~2Q^{*e=`d?w54ydv=hZg;)J?6+Q7+IkbYSv5-_yxjEw7rWYBB$o)Fc|UM5rWygNf45@nnFn@{kMa# zRZ`K16+zPV(RKW;NgkIAgu8rffg0;brU(4uxTB*)NpwNAFAZ{Lah{lQxso-ZE1Fl~ z&ClqVAv^k3)di2v{-%7M<6oM~>ZQR}dTFp_@Py0LFAaqJrQye+mj>TgFAcX(G>*$l zg72%BhTHk2!CB>(hMN;!nzx>OYwmWKgD`}7kojl5yjY_aT6%&|g9qVRO8Y_pw>Z#M zvK_X3y}lq&sO-O9T;N&B`^&?W_UCrme|y1qAogEfV6;o#Uo2PJpWA7FWS!xf$Nrm( zb(O_ki$P2Kb367ICZ*V1A1>DWwA=FE&*Z83d~9C);tr z&E{f*_n@5rW_huxs6`-9@6YYD|L$UwS!Flti%s?WH_h*t?X*A6H{buw_F_{}O>IOG zrXS4h*dG@xZwirAxORHA0&KTQzQCQk*uul<6-{p9T9-{MwaGM7cd^(OHKoEkb$9S! zRha$uhYud~v(!S-BG@iwrwBf{|AOQz-~Q~o?teLC8oAM{Gd>@)dX{4h|J;Xt-@8*| zkLH}+hxEEylt+&X(YU9O&WZDTi6O_l?6w9BEgvXf5njwjY~pc)NE(89MZS417;`Y- zBWlDQEAo+ZL1bV#e;Lm>?rggvpQR!=gvRD`sgp<55&UcsWI{mCEevx?Av7BBWH>7v5 z3B?kourf!d3>|XH_Hc(qs;GR!)-5l7?~5;n)QIIJWj${BzLfQ6yzbur@OM(mM4COj z6gNooGGN!+nmteF%^UXAlXAuGX69y%zFrwJaGFWztL}p;4oW0v1ErzLu)he6#cI{F07BFErDjr3XItm#K97+`i zLT=)EY*_3$#S$P<;pt8v8V zFQ0$u`OAlIliwpht^A~uBU%?2UyW-ZKVbA-$q9z;70a$)U&5lCaNnin(l#uD$rNs2 zK{Sie#pRk5BfwYb0VvZ;`KlBEjsPyCaK+e-+%Ysc2 zaE*$dNN&LD)<{MbmTf;a-i;MVP2j}OQIE}zz61P3%7sW0bZ1dicjv$Xc{Dk@g(Vz( z%?aLB_N{U)Bhm*Q??%0@QpFgqVZfzQ`Rx#XO+`?>un$>Q{)v?f`!GC{JY2JLemWso zGoyIrp=f0-Jd`FMAi2J9SZYRbG_{NGug@`D0$kl)B-Y6{zPm#5z#i#H3tVsVVBGRF z7&$jVRlE7&pZVD@j8-DWg}AD9@D-}9govTqOXhKj)a)xHgZVPMpv@A1;E|M*bX7x; zexnQSfDn^(1O$;qtOa=kTrfb!J1p4u*3wg~g@%$JolSAZ8Y zI7aMifozhj?ha;%N^Tc+O5t0xiG5j)Dh1LiHW_n(jpICo< zQDQy|H2nFyQ<!uV#XI9V*CEv-Wg$@#6ove%;Ufw zsc!gIUkMM%Xz^T8p1DP>ah!XOuBYed_x$u9{f|HL*AzgfzAs$|VQ2!4pr$}46E1L#NO zlNtJ8j!Wx_WCsI7a*eR3huKGBIXB1A#st4XbJg}0-G)rv5KI&xKWja(%E90Q@cxKG z08FjrZISx{uQL}yT(b5b! z^XvX^{VmD&Vrl?BUPc0)AW-uW_|c$kzF5w@qwbS4?@w6yCc9}D7%suy4S2^J;0CMf zjprgg<`-y+K&FC^IRf&I>zAJMi!!zh^mzym@;$_w=|R7MStaxWxrjBeF|A~J(l7RF zxbJeF7-Qd_r$8F)3A#W%3O_{L-flyPKpI@~fCP}{BDTPQG)EUmgG(A$A=1~Fh|!*> z%q?`uBW7kuVG#@{;H|HJyTqF=iSm+ZgB2LTTFIn|F3JohQp2?G8H)bJj`!-eb0PgiJjP;aqk(bOYWevf+ep3{>|Plsbl%S^}c72b*KhEruPi{ z`g_KY`8|VVe$Tl1M|$IRNXd3O+6D6WGSv zg?$2CnM-nneOA9;k(%-QWjp;~oNtEx0woHtX8ysF$4&clJMGV^RBY(~B~_btCUs$BYxwvkZ@c+kq#;i}8Yy^>KyiAJWL=cuE~?FFCc zN=h?XF!>|MC;IH3o}T37j-V4|pfNakj56EjWMgo07{UKA&=;KiMHJ_U+JcknL+W~{ zEjUL;2=8*@xzTvq;B<)s!>thXdRTgFqVT1j zf=pFQ@3rJs%eU|n5Q3!5$}Q;6)6r17lM*(lN>FAym5W$+Ml4VBGje%~=H;oVf5rEH z_wW3PP}Ev%b46{XxA8s6h^vn%RKe}S*hz+YxiBx-DyyLn;uff={m`foX?d=%d6|mZ z1-C=Z%eQMeTxvxCL=z?Z*&ACAqG?=H&{GFxf!4Wu? z66zOTH?CFPX^d2bgj;r=!`;jE?K#=Kj5YK9!O%>O7bRVYj%%*YGv6R^e<*ham}$}Z zlb?+%DSuVqHw1_XU0)EUa=b^T`EBELbEXR9W=?PA)brj~(}vOI*%+Ji&s8=MZ3K?cOtwR5BJ%@so!FmbJ5!(u+S-x!mrjUu!jc9`9i_6J zj*s(2v{yPJfnET5H198Oc{+b?$NrMFOPg|eJeItRt97LsnJJ5#QtacF?^Epm=p%mo z2j6fG{7^h_Vj5Z)K(7bhSKROQ!26&I{T_G^RH5GkKL8C-IgK^hPf+uaYOE3L_rSr; z&?aL0J@DV(KkaFr@W3(QroD~*9ym%zQ)?5m z2VOD=ci~WC#AhfYD3_V)2d-1nzI@q}y5%dKHbqy5_DxRpljVWWV1{Qe-+r$LrXXO0usEE$MwlT&Y5U`6T>7u=WT&26`9pV}n^7e>6kSO^F*x42X9xF8tZiBZu0dM#htSLX|e zG=>o*pk|mh+G1hO{`9!{17D{_ZzbhqxIOqQ`ds_pJ9m#4xef@l1x92i-&>>H(Ee~w zFf%*sBg|t}2GqE;lCR7|i~C;P@~w@*}mPLeH(X++F))5>s=36?^g{I^nO7TYu>roM%@zmM0_e^)+h<=nSkEPg=Z>-)z$FLfjy_ffQFC?4YHNzF z9^kG2R!}{T>B+{MpH-~xQuiKpJlA$Fb4;rJeO-rs)b-yo*4W9ne`+tGY^gu9oEarr>5r2xbm9D&>jd zx8Q=e8&GOBf|c?NMThC1?Xd;vZ0C&1KTcB)-a~#R*+iEt1D1~fAZXsvfB0GDsfufn zW1OXFI{W*mM|ps1sxf5$-$w%KJ*Oxj;Wg_@v*U2ZasaJ@kp zkyDd=5R;qV=|o0uvBD83IxOWT`8cIOKn5U2YLmIa3gX@ZFJwFRXB?7o3`iYLn~x~D z&^SJTACqt#l6sBPQ96B(YwltbQPT9t#h%tPp#?LQ8G$w$;5KggzR2ZW|JD1x>hDL| zryq$te!Mn;ZB%3jG(7$P?7a)HZEIE*)@cEGMu9}YO6gIF1zcBHuelzj(&D`eBwZw& zd$F)d`qb^decKD%eUIHu+l0_oB}RdO*oj~%k)V-~hRTD&fOG{2f*>ux^dy!w)|{tQBMdSQ z0l?0D!DU8YhUw`b-3!nfgmgC=^SCHhIdqRG@-f7m4D?;XwsBipOR}s&*F)R4)2l+Cz(KFOp}@d4b9V>DsQnk)qm*q|@XA zyS!tnDW7G9T{ikzz)DeW(L|Rm!YV=jbq9+*E>?SSG5^FVcUs&+q5 zVC9go;}yXg&{$QHRP8Ql#)>HCmT+!TwNuT7Dn(y?g%x;!%$e%nGMZ+Xa@mEv0-^o; z<*$7O?O(aGp3fnsi$8EgGf&fYd3YG+b@#7(Jn$G( z`t;DF7hlNu@xFm;yZ7LwryfiIpmUHnbL#~E>2XSr{rHS0>BYAl$7eiAFTP8H6q>CH z@D$Kv)jm!Nu2v0#M$`=n%PG0B;#i!gmL*IQja{U*!V7X(_vbOC$9`qWcls#<)1cC0 zzrs_GK$vTyU!c_u3c|LdV-BZI)WDpK-Uv16#V^;cQ>(Bhu>HMjuYMz?8o{dsa>VwP zm-gwhCZI<0dP0MNP_2GFwOO~^PdQI|ovcqbl8m#_x`c?TgGmmGuT*WE^kkMINEZ z?u~(x{{9r5_ZXMk27XXJSJC+dMKT)Z(Xb!UF&p@+=o|ug93r}x?Ffg_^2%r#e$0KUkV_K{{Op=X zPiX0~Km$L9E2RTU&waXovK`moZP{j@_Q!Chs>=id)%}<4w7<-$iv2ru=~q?TPP~G+ zVA+oSWh_=~>f=?i8=y15!F>}10!f43yN@k;OkTvrChm3F#8O?Z>E6d;UFOTYKYFmL zMq4`2OJ{iiw3s6)rmzV1Th%MM*{jo!p0j$EV*&ULU;K%G@~c0l_}c2UyRU67{CLu% z3e@*IQe@Jf@)WAAVZOGnc#ekdO^*$RKc)+gCLVH>KALyPX_V2lLr$ZNW(aZ`<#>I9 z04`6}PJ)IHO}M~H_F6w^(EPf)&CXLZ+lCtSLW3rrskwN0W+EKTtwjIg(9w7E|6KT0 zbV>bG{9if8YyXE*<8wiB15P4=0*P7gS4hwE3MB*Ug4~~bDYu+>3KA%|vXY# z!f124X>=0%BBM~rDGV0poo_5$? zmWXP3quBddd1314hZUFYWVYMsqe9D+ypXBsqd4E(o`q-u`I@|cPO$UTPBmD@_h)(k7qrk=ha+3pU>X6j|) zCu$2jKxISY}U16wvdbBdiLpAL?9cj~w)@rqBg3rV(Zcf)};( zXiOL@(iHlN2Sh`9=rXe-1gYIz(1_OHUTyaVDG5QELKh@ZLXswU@Oq=0ssD=L4$Qrb zo&Y8j+KOut>BqI=(>MfV6p>!agdHjpnv0K<6k|~ij=|oNwI{wqi+@RyZl_BEFVFlw zW@MuFr*#OIoV3_#XkUFqx}L|YG*Y9n$!cV?5pSXr7)2o6!iW<(cZn5MilMZ>F!H1W zYT+!r2H6g?*<&CA=S~%sO)(IO*AU02Wv!wTEuE#~*HKC9lr&+81P=ZLe#m$9eAGvM z z$v^()pLiuD!vqZC5r6?c;8Z)RZ%5Q#0}a7@TLcTyC#_y(4xs{u=JddN z;!-Fo1&q)jn2{pq0o0exijoX7L1f=p?PE3c&^t#-hM8cuUn_qy%BGSG%YZe>fcT-e zKtSuEJA?xDRSN*Z5547x8Xo#U=GIzJ6HK{m>^$_j~ja5-q(f zR#-v6a8X2XJH0ISv`(mXrs=9)mc{bko#mbP6D_$nomhXKq+W$ue=A7K>w;x+D`P`zl9WT!=+pAL zAQDRK*}@~Xu*7n}?-)znQSwbZIPXnX))+E|`BChDeS^xTF!H{Htlze^437HUwJN166; zF19X(Fi+Tw*LgqDV(V_l*8N{U`YnIv@1`a*DeJnevl1W();RnCG2vn3;RIg$~f zKD0!cCU`(Qu)qns!$Ag0CmSSAm8#QL7>HR&>F;-;)|oRkHgEexcXVxW%&52il?0Q=TJ-l2)GefG2~&m@Dc z1LI11KWuuQSLY4M`}vp(tTq2!IC^@Z(VDeaW)JjJSyy_zONtZmqocbJ*dVTnu~yY1 z;4Ne>x!dZPRMraWhXs=9nO=cbgyg|^00B%=k1!oQJ=7}<=Te$suqPUtH6*nXW=BUC zB((!zYa2Y?&3S4InMqndBqX;SpPcW~yoVZa*D}b|AL<4It4>;ZsDX671_&F^k9_j| zGP(&%=ZBj8NYz{uY};g$TYIP%*lScCfMQ)>uc^Sz>8VwLSzxXSX7(z=URhwqXjey# z369lqt^|>x(+kOI)eHCTv+v7=!7r(8Ul#KxqAuf1K!Yk|g=ynde;3s`03 z%9hEcX+~y^1MJ_#4Dh?WVQXL%>d>#kkYSlzntl~Fy8X4k^|Aln`=Y9(B|fhzS-}sE zl+#3mfO8yImgXh2CQIHATxB&Jh{ii==tAPGjD;VX7vXqFlf zTrcy@La@R`pgbkW=`&m}b$LRNzLBqZTyH@U0pAGmLGP;R8o3|_G?*Yb7+R2=`1>x2 zc!>;hSQ5Tmd+Z8VhIFDr%P}Xyy6fXC#eMZP;ld#hQn#=nWSw-P=%%Qn;}L;H0VNt3 zAUe&yjqdCnab@&-7KvlPSyK7#?TMaL{=^cLeRz8*U^O0@Y_=;8$;96%J=Ot7{;vw? zy@1L-bU+!aq$w*R7r z*pRVmv(*?Ry=jF;^6w%HxwcLx47#LT@&V;NPZ)G=M~bG`S&eSjir+?QmD2uvhSL7R z7MqTb&gu%ozm=Cg?a%Glza^usMpNA<{^n7zs`KC&J;Y3vnve#!l118DAZ3qrq=@L3_ z*FA-p^$c?1niU6mS5L=gH4{_II{+`5Lsy1i2M#RJ#URFRZBRA@r#;8Hp2QT#FHQ&kr3Y35wc8<)FQll_BUrlpz5xPIyya`FsT{ zwVCSX~BvPs0z={kIl$+}3 z9DwX;UqP+p=!3ID?ULQ?U>gA|3Uf5oBbFX;GMFGsA(CKYZX~sm<7;*srPTz;r{_Fr zCC4OB!2d{X3a*B9!snw`WPljNjP5u31?wYT@%lV9_$)_1Q3o&&+$Ta*+5?k3f(uAr zS>$Zmy95(fV9zU95|p`+cf!#dPiOv1gSt;{obMB@$TNvz(XRhC*jMGHA@j=EOQSU= z-)s7%fxN#o{Fq-dKP&f3aDtb}Lo z@`nH(ytF^JV}J2{VzcE?QBIV6I`R(3ay`-$kfvNz{Xsz{a~zQLq#`-cGD|uj)nc5m z7G>i4!I1)Hl61ma(nJv7x6i5LBTy`IeffX?QVf0{?n>SYLpo8c>e$+6$o5Wp0 zEhqKmitjj7>sTsKZ$=d^)jF06RPIfB`FhNsjOX@euGoAqfhq`@?zj=$OXeYBukGpw+OBeMo31Gxm>a{xSUvrwt{?a25*S{NfC?Xo~xGK1zI9Q=J9_+@F^~&VG zv==(H+TcmPz4m`7ow~BzuK2&mF#)K3NPdmxoRVGFup{icCZWO+Kn?A(2|rDaidmV; zk(($0Bed97j*OCorwgtf841|J5z*V@p2vM=+>Xh2sJw&WBoK|azcS$< z%wt5FvApD%>^+-UzAe~LU;nvZ|Jt|zLQvx>W~W66L%XnblQY#O5W<*;ECCsSzf$K8 zT&sW*k?fhymMr3T>ze=&6jDqK4XvLr*FW zeZPr5jCXN>QMUSWXX)SvO)F>fs?K?xZ%QT(ZE9g8DB& z29NpU2bOSO)%2761CK=T$S&5bam#2qDbXdx&+Vk?>Dt65?M4o$$pTv?6f_f+mJ`#E z3=a(9CB+S7JgN)?G78A3BulMRBjSLv9Vg6;M<%ZaD=j^2%rb*E+^z3DEi|z?gHc~U z5-Kp1asJHcREB#Z10y-SI2|os7Q?A`t8C(1+)a(qkN1clh09kq@ht)8g>~dU_Qv<= z52LGBR&xp2-}TN_3EZB4SK_p|)8KFk*`L&q{m0(@hrjGsg$t;@WE-;EgdQlA?g++o zskFk;TEB6PxH$)f30-Ad@j zSP-C6C@sJ)dJxYXvLDf)0zU?a-h&;uSuuy~M+~UfCLJ83jqP*De%yjjMLZ)!}+>FD{_v0guT zaBC14V$BGz4R2D`JbEKqJ2s7?Mt1xL$CN zqvv19esf-tM;z&j@=sKdKCl+jbyQtZ{Ts0x^Qvo~=RlV3q+BmDAJW_G(2u0i zgjI`lBPSW?o2zFzAIp*)Q}0RAg#olJ>peWY92+IOpohX&v4)RM(j|_%R|F43pDdv8 zyn+Q5cm|#QrA-?k%AX)Z5gPw+H<%|F_pkWOg@5tbSQM#frQ=HhD7i~hEdP?%Nc*Ge zaEZGn1=n;re4k4f%rj3*xy&E=``E(WEG3O*6BSwLvha>7|L5kD5(^S{LE^sj@>`@Y zs`TJ6wJk+b+lbeC(^N74;H_`_BmYBC#pvtU zdPc#%3?yl7R%*{ENRGWFD1fl*{D*hRo5U8Na-0IoYA*2wC6dG+D)j(Hl&b1X(U|@bdNhM>yZEYQt=$+d0rb4rY95zcrdBd>tKJ_1)%}( z2qsRxvKkJWpy;c?R>$L7kEwpdlUQzZb*3Mj_O1K7?>WCNiuJ?ApYs_cB@C7klc99Z zFf=MKj4izabCe7|1yTLcs5%4%gsGW)%=kH@MlUYwsYkp)t>r6X#;+Y39HVNDVns&& zE{H}3m;v_}wk?Lit}N}Mm(}Ja z>z<6bysK`+@52Epv(IFbP1l~--fjacn{xkd@Qxp?$e4SlO7mT#>Q%jp03 zTYt;pOFp`^YUn&Tj}&Y=92^=J#i94mlm;)G%Et%CX{6wS;Av#r00hrlYm*N_YElmMUMXew;sS6(09mdqlIpWyOiqxbo*lnQisiWW$N@_G; z3^i#H)CxWXLDEb(cNU~3ErQHR%8JO|s-dy3*bB#^u}hwC)uJCUF%)=%dP&iwMH2a4 zF$_qd8a+T-lbX~ek!NHE7gZ?02UL$IN!mG=>_C?$y@hB&6uf`FAbCYx@`!me+SGC6 zw3y~R^Y3$9!-UDvK_$>W8j?dTYaZDm$@4_syq0`qjjuX4GMOd*kq@e(a|+Y`;)5S@ z9#fYBZ?(!?ikX_D;pfOqS%H+fMBGvhmO&zNe7st35)82NRiSli5=>iJMskly{!*yb zZitAan#!tHq9YPxs`V0t6EmlHnhR8(sI$_;y$IIUXu1@O6EVhc zur@GfbvRhtX(xR|7|QKq>%*xrV>dLAH3w@E)onWIZObd>U@f9LnEN)g%E6j7c}lz_ zfa$zq4%Se9BtDT)62w@|?Wuz`fUq@KLw}u`?zoXvum=7{a{C|vM4_|}DR0amt5v;# z>hK{9uUX(WwDPXa@t(~vpz-M@3@=zvy=2%XL^Z>i?4W{t!VdOQm`H(EhHEN?+4S2p zg?Y&!)wae7&*3s?w4`j4;YD8a630O@#K{iJYZ~E~xD}ThUVE$;!~5itXwUnJ7Q=fx zd^}(KT|fG-{ZAh;5!-`ihLc0l!nz@wb{*QWkth_DAMN&}TbRtspse#b9HZK!^k z16f(8wRkF4(*#cga>9bfr*`G|X6O^8Q=3m8e#2^DRh^U6X11l`{3Tlo+t;NakmbuN zh?Jy53Ib(28J{L!t*g}|Y1f}VOA%OgToUm-i@nMVWawx*is^47jHF7h6+uu-z`rL2`Hrx64b_|l63PO(Hlop z%=?L2E3hQ#ZYD|h+duAWe&ZK?e2Eo?5rYTxA4rW2z3@T=kb(VlL>!H0UNid?fUy^w z;utB76bvv53vJFt1MCGBTF#|rfBdkF_o9e`-*F(M|%a{3Z)GLN37wL zl4N?eK^wMFay1a5;YF+;S;-;#(JiM9S8ancZ6(vwy((jn5#H{2MfvH@=tdB>p_^V_ z7)&pwqnITn%JHKg5CDryiNbgf2Q2Z8OX5ASKBb*Puc|d!=5|Tgd~>BigvZdnlFYx+ z5&ia(0moT1j3JYOxIB8%Z(W}M^1%h{MF6>3?W)@Mww)?S^j2x>sZN!anhl*QRj4ez zCh35(9kDU`ewo)Q_2P@E*>Jwcyg%Ais(SZM64?>z%XS>0qA6rDj9(b4Hqznyo^9>&TpR!Mz)n@1OFY zc&g*R-Yws>(LJUuWL6Kb1ts$V5;)xJvWcZY@)ltP7u!Rjl+4Ke(Sucqjipa}>C;Z= z_5!?SFsZFYeNw$X>RP3(ugEtx>w7|xb{!`ErK^mWubYjo$IFlOAr->3IAX<^_Gr{< zKm0SF)cthSAvDnIW$BbZ3+YMF+N)<}5n-F9Q(|Of0YIG7=G5veUB^@z@!wD}@ECHc zjGD&Qf9kviL%s-Z4j|vJ1*3DseG&mQG0gS%W`e z5>b8VoTcMnYfTUqf}&Wl%F-RS$Pr574=zFc$lR1|2R)R+8bSUl0;l zdgVY>gWA72&;0X%@t=GXs7~+Eq*F=G*0)JjHhrq9!5S6^#;yLJ3d}DRtNaYE@8O;o z*`16H_95V0jpA=MdAg(Rt3*HLI7)HQDGqJXRK1cdaKlv`HC3|3w2`Vtjkxv__*0LO z>r&jbl&B*PByPHjqa@Hwac~oOwiR#*Gd*x3(PoL1r28ek)@XN0-?ICq+MJB{=6 za;ctPAkqs&`on{-;fKEc2mk!%hrgh(IggMGM28M2wy`pvK^K-f_V$%UNJa3tVFFY_ zsb-#eO=b!NHNeCa+&ZyCtVn;sSASfIFrWK0LAWHgq^mLfzst?UV0KTrj- z!l4{yyOAqWhG~?$!>=vgN8EAor(6;PY3X^P zGB(gcoFU{Z)FD7a29E||E@Ex^K50$*Q)Nx?XG>WQPPK8NB}w{IMRJdRWF8%@XI5lP zl9F+?ZpQ;0NIYzWxWE}}kDi`ioG1FMH6{kdS|vIEAwp_B^Jn!RBH>=`{f_Z_$tQVC znD$Q(QB(o!+d|A;wlB!(`@`e^HYd<*+Cwyw_lJY9FmYE9Lro4x-deUJ?=JplY+_1B zT}A+UezXkIBSZzEYUOWE5u$>HG&8`WEP_`wImi=ri(a7ub(HG*Cq~L3nO=?5AxY|J zp+i#n)eXyefB5&%4#i*9qEXqzw~SGOlQF+Nf+1rw!fKIu7|Z$ z&h3pS`Dd@r^g^jdm(y?>liWETa!f(|2S4*|AN6N~B15Cqeq%SHY)Ke^C9=%})IsiA zIWJG^Hgr{&!lU6)IC)M%U|0hKY$i1@GhI}&tpRT)ryxe*cD~Om&4WBEe0I52cI${=f7ap84IOtB2>M0!nv=*1`nH{k}JnQygd=Lx?K3D0PmC-1o*1ivMha zsIw`p2f*nzvL+@)iu-JW9hia;gF#nA#VYbA1SR}4!89)wd0=SPJbzKbKVK2xDA6up z6cHys3yQrr!2^ab8WV*Ey;)Ggn<9vriVPc0hz4j+vY>`HE?H)qVsS-L#notd<7ZiB zo1);?UbpCK+mZB5L?_om^6+Y?1OWP$H_J3W_w*wWF-Uu|G1-mkk#Z(!;brQqq-6KS8}Aradl4 zZ%_EpG9n_-FEccr*;}#TCMg#v-8`bV@a}Kr=#l0T-wUcZ>i;D zsG9)^;$pJ%ds-h9n+XLCdr}{|a(+GW<`akWp{x2EykoQj;c5U@mSJv{BoPOGCqbJ) z6a%gsKw3pw#97wP?}24Rs`DcT8aJmYkX&CA2_^Jk@#v>>&zrBD-{T$_6OlY`L4=eq zeNy!nRTr9eW4Xm`Zr!|=Jzce|^9uz&)ai+?{4384l>Q^3Yoj2jf+D| zVC9v%6H0j|xNFNnsc!TXa%s#FqCXfXp;j|i%ylCmH}@zv(h|cYE55}gXW3T`4lHft zSVGz&{UV?(x;@l*aeZ)8*72-Sn7jCtO(Z|ZH}PqXOf3yl>N%kSDB;o|d|4;l(${DKgSLcXnm;3$VO2hXM)p&e>aTb;)b?$figNXLpfl)*@5qdo<-X$$sn$z<=_+fo@V*wR>T@1$d>t z_0p|jW037D%f=MJ9bvX~*Va23Ta(*5=!F%*F*+BjFc&;`aBa9EaKwfwa&P7z1OP6R@Y>Z2e^3zB*#wAAyY9wFjlH&|D z`3FMlugxFWZ7geKi{K%WqLQz9Sj%t-_{kgi4(+w)pQvQk9)a~Hw0(HBs@MCLK`q6# z`6DhBI$1vH9#2k1RIhAq4^4~8R(9%?!|$ck8~SMyHeZqh2TBL9>6Al}H_04IqWf^3JU3TO&oCaLY2HuN1`i7}&do66{I>6S@%?`~iu3AawE-CBIj{smG}ERxt|13tMj!$`087qBXcCDoHJZ}~++47)u-~W0~j+sLDuDVHecB;f&N4Od4Q$H%~na{!gI;VKvC_sapCF4ZTE78 zzi=%cU9I;p9!gIV1^wdCJiKK3ZkzS;Y#5MHOCjmz3rR|I@nrRK%}tcqOElq*w6>0b z*MH}a|MoxeuIXIAPjJIf(>6UWnMBX^d;R&aSp!vC=h1Vn-&!EpL(@;;=UhMZxHi6G zNDwOU^@=&y-yJZ@NYpPzzO`kBoa+ZNmWVLKZwO6PPkX-oxo5=}^<2M}84&Cs`&Z}s zCzVxsZ?}Vb{%B83HGAU`>$6p5CQd(1bvE26e1RGKI{TW zc4G%XjjFIj>_!R;WIO!$o(crFKoyqsuuObd(*BQ0XpAswlfx2%RjaUM0vaXBhjco1 zSc01c;8fB`^0hvfKu>wlKkFUgDk^@-T}6iq z-Uf~n&WL2hF2Qk9sRi~>bM=0Xz{Rtpo^VFsZyG^SL57e#Lj#%M#^y8I8gQ6I1v!** zw^z>!5FzQ&&xe+BqoXqqn8vBVpS?8RXF)ADnk1m3gb3l;#W^s^R!PcpR@U4Sc0_FG zS+e?-q_nB|X`&Qtup_jgwQGuja7kyC=4nt%S8IxeFv*hwscz0?874u`1K-7;xXXe%%Bme1CUDb-Wx0*U|I0H*_JZG< z`qkHFV)-HU``!Q1{hxVraM-FI_d0`Vb>`>bD?t|kcy5Vb`^xGJE|`Y>Zmbj-g4qKM zSV*B}3c>6Fp2z|(dU^vz4V96x8QB8_F5UPu4~KONxyT+MYOgwT0<{q1mybhd4-mxm ziRgyb{s&`ecH4qH`3?AEnUjwSI;E61WayL@`MbK!>2GxU>uqLRx0 zHDAl7AI7?lLKT9#)8|VDZD-!)3g73|`FZFF@i$70DNX!nDXdu);Bg0Y-22heQ@sMD z7qB3f*|xhL*h$JW_M@#pl%rj8T?eY$=CM}i)f_g=TyaVEW7jW$lxNDCI|NDkyrSc< zl}t}IThPgQgcfv};@zy79_fpq<JfeQo_9eiP{UyL*Jl!PA+Afd$5{&e)vsS~b z9%8&Vu6Flczi`)1^nf=MLcNyog}YWPdt?EG%QnJbX_1djEiD$5TFqTcve~_p)pqDo z2N|uC)iR5>Px=nbwxu5dT)#xAV3%s0Q`(_iyjUzd_SV!f}AHg*_)-dO!)^wEP>64QNhr{tF* zi2$cW{4zjTT0deq+Su=V>^Q^G#*wXIwFfmEZEVjy$avCGnEK(-2KWZrt4=Q2QxRuV#>bZ`IlL)-O9&L0$LRA+&Gum)B%Oj2KVigC=V+@NhZpgKI z*g96>Rt-2+Hy&5qZ?oQ-#?EQ-#z3NFwi;JY4&4eq1Az)YBiqS@Lcv#gdBA6oEJ@Sn zp`R-F4Y$+&kIUjHdHLi*B6q#3jxX=~YIaJbeqR zUT;=*dzhbqwz0$)#Yo&N{eg!s3y_i$`)R%gvyen@A%@9Vz$-QPH+vl29`KM9%E!r$H? z4mh676Y(2Yx8-1*6Z2xIFN42Y9i|Y>I?FP{$aw(sq+U=6W}O8Wh<$(}C>6X6j>k{PW&sJaM;E9ouovw!F z+TcYh;DkkI-Mr4ayas0P(vzo`-u5Fu|6gyWm`|W&l=um?>z;DRdI@75Ns&Sj>eeWS zLyJ_t6d+3|20`#}wxBBnvt9~c3h+%0HbXG$r6a}`BU=x>wC4Wv^%B@Y3TY^paH+K4g8VrPJf?Iz3FoO_-I|A6+^Zs9MZEQwe@%dJN9Rm|v`8GkvNElQc(4EhCR@I4bg(u>jHn3s&M!ZIQq z^C6PaT`cWLMlMr)f8fMcSP-OcwzR&lc$!cmZ#n$aOzW8E2ZdhElcVew6e&I<$BlfVFGPw_dHf z5Exi_@8MM2T+0xo5_7?BzgGfY!rRq74?!xiB6yG~V(`wlj?4%_DzOj*C6BoAo3lCm zAxI@w1h*X+!o=V2Gxt!5x!?)0hC^L5{}J%Shod#WyX5Q;LR1yC zgen+qmekMJGk}GsUUtulrYNnDvp+ecd1N&z&O4@4x9prb z960aD41hxeU1f}Q;pOLW0J%!E#IQJq;V5kZuMP)}n4cw*Sh9_)B*Eu_Lj)}yyppEY zS5dh{)Uw}=Q@NmlS;Lm0(xqVW{OBcYfj@-UDOVb2TYR;bku=opjrEinfT|c<`SxK( zB=9TU$KeTA_*(3-3V$%fF$0`ATWFwHaJs4ZT=?RWt{{v&_(Z~eF7 z1t7ddGS9sL-2yUivnB@`hNxjm7tLIdHaz#N0KJ_D0=%r!C(8-Q?A6YKjHi9Yn6`EV zPPh@2GM}$lrmbz)9m{-qMS20gB1WT;JeDjS98gpX(hG3Gu1s6&x@P8B&C>Lg0j6<_=r8%@VZur$4;d$suo(0E~m(((!NdjU7&x4oK9i;EIk`%0| zNggn0NG|*Ya#o@sE6MuTv9t&V4oTb}l9RSQY;qp^TnF=NoOf!exr6_AjWPGH{a+QU zMuxwd1Z@`LXS`txd0r;1$xEGzg4|A^Xuis6Q(oA>NZ;+GwZ*94ol!SsyBPI%zVa3C zP|N-DOIPn)d0#L#9>iBS9oqkzzxX%)kB|JA*SrSp(!u=H8ujymlP74@w`iAX>_#>l zF+vqg?yY6L#;C(~HY-*}U71<{klh>wavO%Irrzp+@e!mAU`A&q^0Q!N)OD5~BX-QS zYXk+m;}#fJQddOC-ue^Ss6+0c=?BoSv{zjj^)os=-BkBx(N;#iL(Nc=03_9D&Qh^E z#i;M`n=k``5=Q&uD2a(l+K>(qe_`ZbdqEP~yW@itg^`JMO81y=rZ(&NO#;5zXvcO! zI8sSwuM5CrEyZH!2pB!;A4nT`Y|Zm=jb9LWt<&i!XryY*>L&uLzfhBa9j!MvSNZpB zO)!|T;=vRV`j)DrPdYT}tPEk3>5ix?awEMHdLSdFFKd(PF)9r4Nu}pjFI3v7Xk+b5 zS;ic>+!i&QlQ6o%0Qv!Kduq~BT9@PiwA>VWdv zrvq|3TnzHcS1e)hF{-Yx310b~%%V-l2e${-kH%UERE@Q=osLh1n>NK$caP_pr+1-` z*Wk85*DQND5oo-Tovhmx@r+%^7;7`XFKnK%?GX&}@eoq$YBDsW=R z!!gSF{+hKOrq+BHIF5C*#ybns<z40;xV zw4E*pQc4X1;oJ&@@8n-$McPgm#GKu&fPp*oASv;6tjJK+1v?EZ!4?3jBeirPNZaXx z0OpZFoPwA`CnnJaCoLT4L#NQ}2+JS#wm@gu?hZ?%cDPgHYe2M68+6rk2h|?=KVyA1)Kj9 zZDu(cn>D!!KlM+2$_qdHam9jZh~yT`1E!c+h8fOG_vXkdM}OUZM-~X?9MSmF2~Tc6?61=0L)7>&D&iM8b%A|=ZI{$8YZCHkuj=Q%+Vir(Cjg)>#bl0x*fs2 zu1zXPNY_DK9sL0@$6^8V>)?ZE4lRnzPckNvt(owxPqG5K#UwJ2Rj8e{MP^bvKP0tt zv1%GhKERl1S}os75OXk`&*+hP@X*Yzt?;nZ-qSFO@ySIjU0XI&w<-?mp{AeGV-a|@ zDca)E*JIvR$Kz4U7l%DY!nHp`dZ1UZIDjJXfdJ=v2DJm1A{dzBy z6cFqXtJ42*>Ww@UDBW}#Dt!k^PF@alZ+cKC%moNpe@iwXlHt5kxJqC(oA+p&Ms zmh0pRDtmcej&E+N$n1p9JngVs?J6%90__g@_I5-^tx7oA`Dg zRHgUv?Y^^$jSd&H#cMjod`(Bc7=vk>4DDJxOk-z+wf(D6Sg)u zK34SkKlgBa_wV`WNuR$ox6lQuJ&NQaJ=G!S1>;ZVH%_Ch1+&kO-VF{6qTr!Nzm^;% z0AKcWCm;yFqjkEH&Uk7xib(De_;A*6%()``xt7o^c}L*OS%b%oHKgurJ)Pn6QFd9vYjk;>oE$ZBOP#p%0@lRI6m2Wt2E;jhDDf&)BeD)R6|U4 z07^Q4zVUJXf}2X4a(mn_c;;#Nx8;QbXapGKQ^Jtcn=HT~bABtfhYQ7v`NL%>pH}dlO2pO-UHM(*@7WCNl%2y zntlswHejO!)!D%qC8$oC=BW{aYVDgT_84GYO)BEwF-VC)R}q`7F^94>#|#pENjOP{ zdx0m`%l%{rBY-a_Obck&WT5D42J&U&v&!u&R2VA7C(Flk9~^yq~-P zZYQ(P*EwzSr7h_Icme5chZlgmnK_VVnD1+VQhjaw{SeF5{Z@HMUCIfL0ZN^Brd0O79R7dXsv19zKtTaZSFY2DUl2rKSXI(o z?PL~?Qpp)#==zIBspvSAbU=XktBh~2%b?EqA_Q?Wk-$V*f$)n&%9u*>0+fKMt~ zNma{>n*z4<#zR#jI!rSvaW7GwxI98L#Sq!TB|>}BfQ$2fq9sDRoe1sMe)_#%^G#C` zTEITe;0kTRg&d)k6z~aU>NXu&MQ8wVNM;xGf4y9B^4WlybRY>vb+pOI9HGI7V1AQH zWef~y&M`-5sNrcX{ftv=)6_Wy3|u4Tb0dm8!(z5qom0T*xR)I*|%F99Bf^M6A@VE&$;hh*Y!lmPJ4!#MkQ@ez`b zag#m*bL5E7(zv+vUQ3R*d^^7_&~g-)dI+~4y?yNawqO4Z!8xPn>Cu~=HSWdS;@rM7 zrj(ll>*J$T{k>6d`AkH%OMbEO#Lai?D* zL_#jKfOJ?Wx~Rq`(EHdQA>c(Jn_~!}RT~tHY(FwUo3z zJi4Uc`y+qwkA3UMPGV+A?<&E_5-_dbxJIogo8={7B02F}UU=}r^Y3`xEHY90YMUQi zl}~7m^S_l(K3ltlCngc(un#z}ws;i4)_Zk~A1jL3>tOtT&?=C^-gg#Cd79P#Ww9}( z1rl)oxbNehQeI$nT*E->s|X}l8%QVjbA@y`%cncEI1V%eB>yut1MJVeHkb-Jf83$3 zMb<%b(D`nAMh5~z?&GG{WFpWVk5o>Wo*>oj(`hGcLV>z^?petJyJWWkV4t%N zP+7-#(i$Bm@E(^m-XRA3#~wwqV0&FMS`qe2xmX=N|3=Y@fELjf#U|h$U$fhR$5GA` z44S?3%)ilbhkTJHCRoMDS2VA9A#DTlcmteo?-~APZx{YnJ`KNTkS%qK`aQ$G{+{t; ze$TMea9@F+NcEm!?^}P*#ySZaZXn?9C%AopBqw1NDKb{Od#~RD8DAmEcT%W|60U5A zR|_;aAN#UDko|aMQ&gZ}^0Ysnvb6uPMzRyiUj+#}K0z6}&+W9obRMMRA1*`k3s+Js z*8=+$sGlweNc-w^fM^HuJ{=!O{;DpckoUNL*-i%(fPCx^;Qqb>(g8tVACTK=|I=!J zOveYLeqVJm?4@#=j?eA1zjPj?odVu35c9yI6Wv#= zR1uu$B$&PWFw(uhdX{79|4+X6AO6z&gO5OGNId!gpbkU5X+x10HXG^#ZggycZ2>Ip zg6jh;57l!B@~w5j!fcF&Nnx+cf_yw(@H943i0`or0y2$pq)Z}mF;@1K9&UwYwpP8V-IOFY4*a&WZFP{U*@`VdHKAee!M$&{M`ynjRGHQWbD zon)yQsLK)B73w4x9Hm{MPI5v1doAq>pEnmQa251R?t7WLT)Yjq%6i}A&L-8Is+l23 ztA5k4Zpt5L7G^_>8I6SQTqk>OJ=N1{xuosHpHKd>xbOM(ZxS~>2OW?8T{`GJ1TF9& z2q1zpK{Bc-K#=XwS5PttNYVu()pbcZS6XjlIptvseJ2f-mpl0OykDxsmXhmIa{cfq zx&CtZJ-_}J(x47;Av~E?ylij@2>z3u5Uo#~9sGy{b{k_Ja-Ud}S-v6$T4_B9$SE7v z8G_ltk4OLw^NRlr!R+9lcd(L@qdIBB%h_Fwi@ChiIF-T$YI90`l>G7s53OSkU)GHn zkKmrqapC94#GxtOXU#;;#2PG~dp3nUD-7cRp%g-%ZqNDMOz*`#P9e_#(TQN>HY8N1*Vo(wd@MgOvXQmqJV!*z zQ}G)%l_}n`>Pv5le3F0QH~BBxIwEMHS@2+wg$L_(^vI~N><@{Wii9Ofb7-Rp2Z${^ zRfZG-RjEj}!{b5qg_Mt_R0mpxiP~TtA+ofWNvlvgLkyBm7y{MtWjh@o=bK5ZSev}0 z)F_qgSWffN#etJ%(b7CB@H!`+I${iolqoe}#U}Pel`_0NHkUFZQ%EEzfor*D^(q7{ zWuu#_FZCC^j&5pUs!E(lHQ9RqCPvkdF~94zKDXDDT=|)YeibggFjb{rMe->>_E-P< z--{ftQUyC0-iU*rvYDk&C}7~!5}w<^Vg=;&Jk>=cHz*iAYDKgJOi&UAR`d1gWHPgH&&#>uRb@eHX&3A; zQcPx(bO*HcG%M2Kalr$!L-3HfA{wt7L2-Co5-A_FP*HN-_3n>2JT8eF1ZSJqecs^) z&^p*x(;h9o8O?|^h1BBaR3EqzyA-HR1+=MPHk0I?o^4iNx+3nZ*k~V*w6k@4irQG* zAEak)91b4_xH7RLNo0FqL!Zwpu+CVXs@&g)iRCGpNusFqzV)l#{Tc6%xL41!ReDAu zOr?j2rg{Ulu;s6+&0nUw)fvRkUsa8JU9bbwi}pQkw+7+}!L0NSnE5Di(U5k`O7AGJ zKGTk^V$MqMd_=BS6wd+iUZbKarMEe8LI%RY4&hrPn3WzdpIRz|oDEcri>Z{}2}Im> zY|gZ-HFKr(0K3#tmQas2zdNl-54POO^BxsHQI9YT)sHhT9<0f`CH5;y(Nh4|Mfvu9 zK_w2Oe|?q2l@~F3d~&hUK?J7briTdYJ5wG%zCD3dTzC7wvF^|(N~N|+SD5}UvA|5| z*iBv?wp)%<2k->+NA*pHWHRR<$Hr81k=%f7zWO-~U+imk$Bj`d#>$KEhp={j= z$$tBq2V|-AjoEN!dVQW!nsdqSxZX>FhLq<$$@F;p{lvASvABH;1z%j&+^orV)xj8Q z3MH<6-ir{4k@EbP5Atk6;JR#xeP3RLVR){uGUr9W!Td$w$L2-A`u-yD<72-FV_@BO zkf?OXrhvLfqS&B&nL?;APE(UVAD6bf0SlA1`;rJA;NB{O!HhzMF%w?uR03*ws%gL? z1E4hDkgZFd6}CT(|h{Zn9JWGOO<3&Fw_*jfp-BV{Dp z)eXz{Pam(6Ov`z;u1RblfxZ ze~0`sUAhJjyHnOixl3~Y^-o50YftM$_(`Rh!_RvQy5R261@nHQHbZb%KT*m@>qlr( zf9t2Ft8xb!smkYzAM?I1zjT$StG;v8h6uKKt$;|G_{1sZ$JC zqcl_uMhj@IAn*ogsAzdzFc5f{zob#CeFa-i%j<&hWv}O}qUCkLQNAi#UKbo~zoz9a zf@5@5w7f1D;J+cZstncR9uiy*vQ@ET!q+VDKcu(&Y*nexi(N^N%vM!`NPbWQXC0v4 z|0#b&Y$+B|H@pM1>a&jUaylig2YQu&fM%+32MuY#N@dlzqd3151xfF93aXH;01r!q zO)TVBb!16r)H_sR1N1?zBa_&vilQWSk@lDEh(aEl3R60Z;{1s|uA)#$UgYER9gpKn zRw-@reT_;l?iPqOBXFrglaWNUJ^9yY*IZPrO!bWAseJv#npmEqd3h$Hf9J>iqc8k{ zsJ`iWdLr82SLB~r0s|oppf4Iq*jE;uxFFi>iO>P|7(MYVU0zm^rGb2s#$6M#GA{+* zI-{64bXJjxe{a*9xR+HVn&1ymQvi#uQ37mM%qsGLCJ~8ZkP?QPt`D76q@~}nA_#gl z+=+9P;Kc6_OO2YPJaA-u9Ox!J;o)tHVYoQ)M?VMo|pR zk*ai98AUO`l9%1Q(;h<^sBw@G>v4+II_yB!bO>TPRI6vR4jbj&t901d2&+nmjZT}& zZr(WYUUu`wiTARb_c+9RMZu_Adb|M>$rBt(#e~v|PenD_hqhu+>IIKqEod__i<=j4 z#hY~VFkB+N=)$+RakwBG+Tc^CP5OixE;vKsF=lo@X2NM((l_&4i*I~xz4>huKT+#f zFXyG)50K9R<(*}c_8o_MW(XYV53Bsao*PHW{?fP+p zqMMu}26Jn1$Ic4zQ?~0UwKLs;cy+^)etj|7uAjR-Jp~8?##aXt4IkfF{8YszqXCo@ zPW(96!078bAR4s_PR!+GKtB{(XS87CSxcy(asGP*hKle z^MiSIsBtVlI5R`;BDAiNuwsUW`6X_GH*$qd0|NN+rRgqs<1 zVh{a<0qXe(GhC3z%p1M3|H(i2^^*grP%*~q4`QzE8L43clWZi2<&A6$fsrV22zDm{ zFlIsOW*01E>r|7=rwze8sMV-4GRZIt#f}KD7MAzM>}U zNrJSKPV_8D-Rvu#(fY61GGwo*o3kKeE*Ia)- zXh~AYi0mv)0D*mBi*h3~hsq`^RAM?zNqm4JztH4*Wt9%+xz(u>}eX?g| zWRWs@q#{Bur!W2Tk%ULh;G!fvG%wQZu|JCfm#AtquT{-tgu+$4kupL!7XkPjg>FZ0 z9M}WW@zH%(6+xtVF7HnT5c@Y|DtdbyJ9QtMY^EsfWHYq21dR5UU1|)LdjGZ2$c+b# z^M0ZwV4NS*UsCV?ynpMNU;NGCl&JI6CW6Z#D{zZgUz2%RO);wsFNPqhHgzzT14h_p zpxj{fKnN{3@m!*hAR!*jtQO#-utAKHH zz#L9VEq7fTCg((OSB4kEF=|85Dqt+ri%&>0_at*7IHnixPrY$lRlpe2i*fhc)0EZ# zzjFFJxaln8bvM5hur@PJvMcAv6Bd!3| zlI6`O%(ptMIJ}VB?YYT-@uG=W_tl|sK=oXQ!QPd{VR+{&U-1q#IZqnD=)9k3aTspL zVfd1-_@W>D>EP1Vb_RDCths?ed(AK-g*n=WY5bz-*fQ?U=!B}RP{6Z5iz9$F3_?PX4ucC8tjZaka%wk2kPbr;JRNWiFq`Pdv@CcC(qV8x zbQxHGBa$}?lX1aWR{auVvG}^M8kA z;%}5nor?oVyMNb-YI2qR_CKgvRY^@X+N;dV88MW;ZT`b;{Yw_m&M2p3{9gFt~ zul%8J_^C;YcifUD0DJ<7!dl7`W`^BJjG9I|(=K@2?tzTtihH2LB~G=k5Ogy{cEJv| zQJb>%V8R};cwl&Q8+wv}Hk-z5@s21hO70vHqvem|(Ana_YI7(wOd~1*7g{{ETShfY zQz3?dz2gDE3j?*ivDki41J>1V(^lMdLl95nEu&;#}ppZXjBjh6!) ztO%Nl3dSJxJ*51U>wG8d{b$dAk=s6X#cMkEs}R)tUyacG&nx~)vP`uX~C+xqB#(o%~>r2skNr55|j)dOi?1JWM` zLArVU<2e}wNuL&Lf~^2|-h0wgtEQr^v1ZiHf`eR&gclYZa zt|>#1k~sy3{GMcvHNGKW^R}L&!Pcr{Jg@6p%XX&Y|&NKT(n1@4tR$LJ{%X*-*`~z_wj$At& zEwGz4@U;8@!azO03mw)+ppda9lHlMh%aRxQ95m8H{)YVRk^0HAgAl6^bH35z`XeZ<~K<@5+kc_*DXfgZe^wMVdPo97U1QAt{17+j$s8 zmce1?>rrI045%0wT9ooZtkG42p;8l-k=6DW&uViTdp$eIrL8b>(()!-h>U2fh31=H;@G}xDz+L4X#80Yr$gm~OHqMhY5aNQ- zCGRgjQQDu|DMD@xIw@l=sq6yYTvN^fOnnLLOWf6TI&2hAm0W?@bowjQTAR&c!-Qw-CYAqY9M6ZaHd*~L>KNbmpr1y4}}Z@ zvbPOze9|{i^WN{v6*H?}nv2l73M0l`_XCXwWo#q2MGbSbso)UPk$h81>$YXhRQWXc zIsAj6Pn1ZENA4^5zwjAa6D}q>n{de|g$dV=tmI^%Vd;|br|gAg;;KNU(V}z-YuaNs zY>AJNj*ql_HGWnJqSFQQjZaQOE%YNZu*w&9@XY8L#<~l zPkZN{`h@q=nns2_fA4F4|409w0Q~6SLua41F^EX&2oWU;AyX4Jw;060m%KxRimC%! z1BFsa^y#?7r}8{Z<3dfRy^#{hbjst1SQR9f~OtU6hZJZwTBju)>l05 z0IKDRsL{59@?eV+~!+n1B)1Uq8 z-X2km@^8DjGd6Ba)B&L~>p(OR+7W198QjMOqnZdRAZ-B+L7F=k#Lxzm$iyykY98I> z5TvJnuJ3x_mh7FiWAn7=in^Br2KOrwSWx(Lo$r@;EMOgs(KpXJjv% zHJRdZ$qr^27Y;@>+|%CC8O4O;xq~x8$^Iw|X#p*g`y*jVyqVy=u8#hQmR`&>8d}`i z>$Z<6vVR{ArZB_i$|v2^!W3Fz`-A5?-4zJot8N~aHyeL`ZVsFltOq}|HNF&=EG?jy z5m6v_+6z-sE>mbKF3)A!V?AMFdS1ZXU}N6$tp#JS@l)USg`fBlp?x(q(AHQ$4XqqL z;A`vktmiDnC^LVqv6QL}h~;}UW7NK$qd;F?r=Wrz6<3|j;7{d(XhYz<8K&V+7Hrs8 zun;+iWH3e&vF&>KkrZZv0tu9EvLdcu^?9tAb4VCmt7BjPg9{Y zH?!&UPFFdkGpvame7ymxBCmP5{!^7V4{6z`oa=R6BN)2LC%J}ZL??ru!Yy<;xT^Di zmsvNrGV3O#V$ypo%&JH`-BFwSTyif8<`YSHsm|>3G_2b)Xjj zTEh958=0sMU2t}@RpeeUj#6Pxwg!|J)uFEl*HYCXd@du*3PGyFBB&&3Y>n#Az@|EM z!NYn(qB01cw}R8VaA$WhgL-Mf948z!pGO$IMo_8;22zf^%V~>Y&Gm{>Juty;dm!}|+pIWwrWT|H^Ft$t z!mI)T(r_;tL8%`2ikKrU6%Kgz()*k*MydxU2&PM&h6(zs9xEobRXH?zsNwmeyoN+g z3m~t%r+|u0v*OU?e=fMMS9t;u5kpUCOCDCY6RgUq2lXh-?^&7D>UN~XrBb(JEiRS11@*J)MsOjuS~RQM13Gx2 zz>yW%>p*~fj+MGao6bmsOKw&*y8UY!Tm+!#<^$a-YG;NTT&iE^`UaO9Qnyrss##~v z15@4j1dhG&WXwQKdeOEwsBJ}`KFTDUc?xd{qh8IbwCbk`lh@j$C5*b6FzQpD{gM~H z=i^`V8eq?8Ry3w{yIyiLVD1@K`tUQTP$cXi1!-VpTG#>kQ+(?h;8-yVdY`;AP1 z%*6m1Bj9VIja&WU4qy%Shht5^f#c{M2xFv7*zJziot-4WlcH%B|JKoqvGU=ez0W3695$I6t?X8)ABS14qnS(XAz_e6r&U1*brd}KfI6`Ca z#kqz1aCe=6$@yf`@Cqms3~>H5S(ZzKlDw5$BPfTC0V zV7EbcT84xlR!H%sz#alsfkL(;lHcuC!iC_F^4)sHw<;0?5UBU(cG|yNN&UU-zg+?9 zllPa@Kx9&O+)n!=Igr`Mcl*_@f|TzhU`_jTJNB0mA+fnTt#)}X`W}~4jgvN6F z?P{M39=qdeU-kV#pt^q9jsx!Zt386)0?FTRR{JWk0)cveZm0bZt9_iYN1 z^~-kJALpBY|9!XGS8arQiR04+b369O4a=MQczI&yzTPe0eCFwW(wFz{V+#+b*MYf- zdtElM)IL{xxL5}*RtBmN!;f3zt2YO$(l{@?^x}(k^STqmDE&<_Jw?zvv8UupJN8gF zJY%8K@2498qV|q)-L8e7hr4PA`19|2Csus^ZQ`&t#5^xu*On_n$9 z(q24KDT2ijQ_p#(pDU7Op-M1X*k}JLj8W$ND#LQ+gokb|cQJ4*Z>;`A^L`ad^M?R^ zlJ3eY)4N*^`N8+AFemx5t2bO7q6DNKKtFboVhHpbstB!rMZIp017J`<5U>~m|G{Qq z+gEVelHc%D#4g*tQ1WFzEV&JQ?G@W}Mut%FQn6z4?7*A1Ll8u40+3bhIp){}^Fo06 zq9cNVW3?kv$1ZFzkz^mZY{dV}-db|&un+`zXcTJ%sg(t**yR8mnJvjqo7%CeW0wPl ziON}myikdJ;<~HY1t{KwqF>h=qtbE7d5+aoJmOB(KrB)s5xP?;IG9`1(NN;mZpTB7 zBb#D<9}#2U$W($OekyV>(!Ia?E82e!~>-vx2UMJ@E4mzI5xizlbxd^3dr+Y2k- zO@e`R!Ll8;U!t_MDV~~}V-$7Li!Puq+|6R$aW5ZfoVGpEVH?D(yV(hA-8A@Dc4ycV zpl){sHnBWKGk*XT=oh~7k^kTur-(-^ltm#M3|bn>20WAs)IpyCirsH|vy^yWU9f;+ zF_miF0#5mZxF9BOQYDl9Vu=su-#XE28MEod)(5 zlssKC7X;E+n;g*N(3>Po1zH662W?3}_#n((`5Z-o7RiFM1*u65bwy5qA&W}}(Ds07 zl~A>@=A>F|5;7aBv-Cl+qVg#1|A|7Yw4(i`kLlMhhVg2ZUgX;Lhv6KKAKd?qg80xU zN{u4dsLpb?FkV?OmZZ>3-v6W?di~4*pH;DhBv$Gja`4bAl1saAK424kHNz%)?a`MO z$ptJI`kWISt5^;Sw~EDNJ1M|AwAI^_^kI6zp$~C)Sz+B(#-GP60g!p!#jCG0_pqa0A|P|S%f7-wdY#M7AjvI4?TzbD`m*1^RC)#m zb7t2=YQ|3_HpMj2#81@Pl)L(gc-#-=R>42|Nk97q3EQUrLqD+bQ5Z;0s*!Nt`MGCv zV1ppUVBDLXv1Nu}@^_xXR7JqW7y<18zZSC-f>mHs=1zg8Tg`0<=D;R}O50{|g*L0$ zZa_qEkR<~fBJrBwA|+rT=mV;dS64(|96AR!F`(*5^3x_>Jdd)-d(bom3SGWvz*kRO(U z3{uIB&2^*f|2ALCrmogzk|U3NH?1%W+TsS$7KUx}2ll40ZMNQoP3f8axh8Ag@~z2Y z81x07^?U#Nw?`gHnGC!$+jtGoHX>E6En0fPWGu?jzCz?cb?bu1J!(|cqR7FR77anF z+ad@&7!oQJT-%)35R|yo*g%TfIbhtM1`Z)8sd5uE<%kvcdoYqPZU{liiJKti3vYxu zx;vjN6bV7eP@5o1jvP@0rw_J6+ml03JX;q;q$Vk><7OiO;e2Stwz(vliBK8^ng=&Z zjYll0P-2thm|x_kD7o+5AcYc}ByxLOX<KR`ooD`iK-rAb<4r;by#|#oIa`IRayFi+hmWMisik!pSLr3Q zY|99vWXnr~wxQ%yL+amG^nZtB;%}51kKY!0shg*lx;#R&$M?2W^}OYWRQ0cX*H>L_ zLshHJZ$0B~y#+4?{n+zbS`c!AzV?i56i&CHnsX4=s3K4@pD~p&$};z5P&7fhgLt=kA0-aERq1ZwvvKS z@-@@JH=3%5gR7rwk_V()`UYoAfsL-U}={NpwpApF1K{a-(8oy zX|jn>qBU1bCE;e;J3j5T-}RsU>4=xKtmE;Lmz!tPUKJ<+3Jz!oXBcE_7(}Y9h~NjA z8)61@YH1dv$#=m51sLMTqJnk7(~gX}T=BHAyiFXss_^gG-^*moc`L>=ADSk=tO&k6 z69mU_b1^jeE{Ib*!<3RfeBCFrVkN;PF*HrF0H+ON&}PZ1!haV28#o6b$m&`gf|z_? z6SG;ECFJ#JcWJ&JG5Idpsl!2Luw9SGOA5P15`$eOT?R?%4~R7R z*}pz>EZg);U4&Tus=J2OT$l7(gKbp_t)b5PNK6fV;wg_Os}|0|o97%lCQUUg*%QcoAb4%Db32qN2dMe1o61fYwB7hDW_ z0kyE;D^6-PzU!g`BHpkZd}30K?{2z?%9Znf*Qui^8C85WXR2qD+JBe%Pp{tqYy~h^ zH2z2*TLOE@b^=Q=YEPR2t#Yu`eVA=PTQ5(x+e12`HF|Z?C5XAZAZFfAv;;BpX#~)M zqQEu>y$#^nh@_)&eDU9Y=Fk3OGLGoGTZeI!tqm;L2JBhzsFCQCu2YyY{mPA_37(L+ zg!9WnK08Ag#Dt(2M;G*v1BB=Z0BS)ojxOj7UH~tx+ZDw)njjFuTJa~>y2ej&Xfci^ z*qu>smy1C=tJysL3Kt{B(FEZSA;_T>-D4C~bB$SdY31VE5d}Ugn-*~_gmm@(;kt7C9D*2nOAsCXRxGr{{fP|Ad7&ZSj8&jI2=br}| zT}Fg7_Z*gzB`3|j*h%z`sJ)yhCaJKcI(1_ZJf(}}%%}aOkDq>2`=0GTKM$>=2OahS z1}aKR40H1_%p>>!`uHzCz&l_0ig&0L5xBHlhbI6MZ3=7RCt7@f+wlRmf8=j|&Zm8J z;i=I~RzH!sCkPEmLg2vEcv#BIe1MVwLlIAW0E`A4O=KQ6d*a`Qo1bzbv)gw@_%YD@ zloOdpq%ZZ*$1N~xG+S|wDdPcE-DwBX2|Sq^&W2!4WLkZdZGamaOA>-Pk$Kv~N20}q z-L?+b5ELK4Hh}~Ocu^#eXkBeivPxtg0H>4w(Gz?Y^?lNs43Kj^)SLJ5;3 zeSji~h)j=uLY}leI(-0_Ji?KZ{!yH!)L7$Y-mDK$jBVfk^Q*q;7t5@|L%emm0|0pT zVXXW)WaQ^K0v`t;}F!ejz=+_vFgnop*@QmU;;o~SBYBeFp z2v^6P&bCYP1gF3KIAY-Y46G zxXQ>}NjXwH0)0?n)6=&c&0KBHs255((w^;5q=Qh-o<*OrJax3cWE0D`5i2vUU-?%* z={sI~i6*rG#b4H@BTBvW8JhI4BhNntr#hmH^K!By3ULeq1gtoqa*a zstO#gcJ>rtqZ?K4J#hh!J0||`GAr#aW~KSde;*_|k1#9kiB*R0{It(J{M1L3)RUfP z>sFpYj-$Taj_sedkHh4KT^=UU0H&onZr8Xt^_+SmGKK2GjD)t~G}$5~yF_bpX7Ji( zO!`mp+_TxOJnfNEQf0WYs!|AMWr)la2Nh5mayo5&F_kh@G(2=1N?WZ*TPeeCLm!#S zP>IVb7cje(D5q1!(FHu%Aj~+)x;?bpQWH}dl7c6n<)RFqf5DYs`|qL*Z$%kiUh}cX zdcr+>6}-PM`lWyTFMQpU!aJ6zcR)R5g=bl3x?p{+!(^`A2aqenyOGFzdoU8Oc=NNu z1BaL9oY7iodF&9(3J)Q^GCUv9$ykSzbsT*-Aa^cG=WytCg=d9lO;6N0yGGYe>Kq1= z;yV^UrCpncr3QRtm*>l$EfbKqvv63eb)24d3n3Mu)*6pUaW zqZU(|RTX+_qG@7SjG!8)@8ScL@~~|+Ti62g@#OJH$K!U|AEO{Ml`@-vE@4Mx4^Txv zhIaOfidopdQd+rT1Cy{IosRha0Wgz+S_*-ch%|);2tm`gj7Y1^86o479g%+fzx;z= z`8%dDK=6g;VSu(9<>;8i07+jUlov2S>InsKg*wR*E*)k-Nga$iz7{ORhs*^JNbNxo z8e{+pLno{VVt$??VSoj0kucOrE{F-*jB(*H?Y8E6+E>UH(g|}xo6bQ&Fz<`Q6oT^T zxg?@Xo%Bs?O)WX8=2X@6u{EMPiVoxl)jW0bP5+ibG_s#AI+vPtj(VIUqbD;8rNJvG z(2X_RDp77p*@-U8%16v%rF=jynsz`crs6>dn=8y?wZlzntHo-iLi}`O(~Dfs4m&su;L6Hs+enHOzwvflx9X0MWf|!--HX&+BX*7e(b4#{xg5^SCm|{ zzQ(O?#!LrAFP_%Bo`TG8e}EDZp0q8_`Q^vJaB!E4w#O*0M(<_aTvEswiDlVqQ#CLP zSvMD^k|VkZYMA4sItewfmae!#HKN+X8u6N0bMd+sjg#N<=Ia}kiM35rxMpboy?6h# zs!|Qil%%&_vge^C+Ta3-g^K1|>_ZL}2)#_cb(2?t;knzy3eFc+DMAG#RxY7gr;(1U zk$Y1D1sPL*d7NO+?Ziv^$m!R4%Ma;*|L3#6>G%9#q+f@1z--QjQBNi70C+yv^C4s% zFzTmd9l$fc)=kO&8EBQY0M2u0)lc@%PCywWc><{O8DOeLPi6mXoL?bxHjMBqOe!kX zLD!DNqz33I7gc5#_&1?Z;*%cuPG-+oP8SV!60||B$iGXz<4MVP%u_V4f*$+AKk%df z%-2WDh7tj}FJrVoPuW<}4aRRF=E57D`P3vKWQ1nqg-5j)f~Ysqp~!;NV`attTAM)7 zp4bzl9&^DCl=H}&BE;RGeV|!UGH=eM*0Cr=ts}V1`q1Ldn;@{2=qSaC;8l_SlYEZU zV}9rpdNyQ5d&Ja<|{@yDlP0_?*aX>j1E^f9F64_8O;M8P+4jQG?zvu+fUF%T~-96T*Q zC4aU@tsv>$*nwOOmkjb!#AWQyF!+)Vj^5N+gu#_ZDpOXIM=jgogKlL|PdYw^x>lt^ z2vo^?*^d32%Aekz;?rUiiL}d|T6>r;b!wO7_uVDG=lw)&V6Y^=ZzlQu7e4PZ|L^xs z2Up%liub#XM{Y(9+D`LKK&dVC${;&QkU;Hiq#K)9!?6BpHi5bW#wfVGcdDFyijYN%EHgqx@Zi#uVJf^`Gyg{C;~GzeB(C8fRg$wj8TBgaYJK=B zlSxt8{UPR$>N>aR+vowDCp>vX2lt4KC%l~f!((qOYB)ObY0}%#Txf(sB%7&<_cD_^ zry8Z3JnevNU={lz`B}w&vK_JCW9K#jQh8c1`l!uak+kf#j-}3LK zEQF93v~AFav}G^y#yHrcHBWpY<2*>+&6vFii<29I#n`%F=`-9hDO(GQ8Z^OR*8!S@ zHY~3wrq%?5T?aH1E!~3nLMCY56)tAIGiY;Mj2K!I40avNW~*r#v;)U>dkVEtW7j$C zEngffsxM?q2D=W2$~IS{zK~x|Vb=jWM0;@ch5R%NyUt$6UB(@nwDM}Auj%U1FU`y& zb)nlghRxIEhEf&sP3dH;%{k3-{;#px&88V~FKiB=U0MX4;Cyoex3S4^#p+%{WPGav zq55iJL}fb}LFnzOcM`O5l@aY#RB2Sx{*nX@Bh2ocaj&C>48lu6H&X0RFXoa0txTMW z)6sOb8*yXTc?2`9&+?M8c!JIJte>!etAri!PIsQOGA)m+66Ji1(bFW#AGRBg9|}wMi)d-p!gq@0L1#{ znaecOE@)Lo5c-SJWJD4x(oDM`2GZ;W&x8>GR;LI-nrRob4kWIKmRVeNUXc-v3vz&r zluR+6%-UaLMKRMw5(B2S?H5yx759`R8K)FUgy6VA;8fXzji5QWm}!?hpxz<@euoxe zMM$MJ^RII}qC~*}AHNPtHT4CF{w-_n*JuF1(E(92-U459()80bs-X>{{4lkck0TqD zN`GxJPmQ@%lRnhXt4H#hg>6-nyzUhCI#9b<8hkFxMo6A3IRfHhha(_!y)JhI?D1V3 zfhX4ynD-Mcj==3W0{{Crzv2165{|%d?IKXsur+n!*Ek$4>x|}5hZl6CwTpxra64x; zEI^_pMDjfL?nv%-R^)fW2cJt4IW>;9SVE$R?mhI*v8r9{_MEs4a4+ylZH+%C#!2!c zE1nJ;5Khu=#yRG^IA+-qDosH5RvJ^XU{$-oR8oZ?BMl+Bf=V(aET8H&B*A4?9_G6} z%c^#Pi2_Q9giOD=3~`!O?E>25p-b95oiGHdG`nnvB}QX! zm7ySP>XOdi7I7xGCk#7^20~PNA%BNG;)`K_at-^upJ*}cw`17f@Vh?Z1Aj4r5+vQy zCgLN%&iSe^agpn7$(gro(qzdDK!!%JO2n%z6q-?+J{!5~oQSuwv#^JXfy;*$9bx(C z4&!R1Z3kw(NBEZ$@rN^py@_E*5~3{@?#otPA$?BLg($t(p=y2DiIIZIMEoCTw4RbuQ|(HtGRq|^1pylK{9hB<%Vz$b zpC45w_TwrEQs{Yl56|ISszYSC$1{i#gwO!KW$!_d%!u9a)fod6#T`Q;r&kTqq_wSi zf>WuFD@Go*bt46H_|f;m@rJz2`P`%KRWOH1V$1>3M$8JK%+tQ6S|Bh2Im(3 zBXd3Zt&(OUzaqR#x+YS0y&9Yr4Q$QBjuj(W^K860{v1B{tQ6tPnn*O^e)5TJOGl7o z;h;zY1m%)FGIMoE?C2-ldN|jhqr)W5`emK}7F?CL;Hta@_*;4lu;rDh`Ypf?{$%r` zcfiG4fIZ`{eDVE#gd~=y@*RA$dnGOQg`O9`3f4^PrH~E0))xIjzL7?8hF4OJz zt9{1W>^G}@b^Q>i_ph&i->r5T>$Bgj_7&C$0`>mfj`PP2%bPO!s!YPVuXn4&1o(D; zK!?H}$^;yx_;!!MSiZT(x1dCUrd#vd{wB_&EVZxFh!r!>3opI+VvW{#;VobB{8tJ7 zgvO&tbbXKa@LrUL zr1hg?dM2I?)b0Q>?xhXW1H3vTsViwvJVX8SOi%C%P0_3z;^>&EqU@XgU|#qbNmeDE zDr{zk25jEoV}#ZGG2+LTry(9Aq+lNZF&astAVpU}Uy)uLhwk<&d8mC=Nf1q@CC`gb zRRq!MsU^?t(n?<2Fmx#y5-?3UiNOhO)g%H9>(lwyQNQFg)8(L$nYm5b4>X!n+KH=` zuK&oSQ1V_lPu%CplPPi7M3 zN51sxn=YXC0k6F#+?~5d>teQr29`ZrHISOzZlO#00EZy8vI`!8xPZwfQ$C3G8bK;$ z7Yy!XfJL;56)W<6azU_3bVlvNIzoMDx)m-6fGA-fWQ^a~e5+WIkCY3Z5A;v?ifmYU zs#oMQ;DWF)I!gv@{+Ixh1t$%M+J9q1)s4yn$%f-5%|tcNIu42nPDxuoXxT%+lL4kJ=2wcS$LT@t?= zl-*EW^l|lJvPd^tS)dPRf&rlrxWmNnTq|QQ;Uid?=lUujP|^$yPwJja!=0dcFU8 zUgi3~5>=+qTPI<$9=!L9Tq~<7s=dHF~fcV`SS>w>u94=Tq zUJMYiP&ovtIb5(ilW&1(V~}2II3I#^eq8W)rnkvdJ^7W`lt;*6uQ{F8j1hT?8%gU^G1vPs$C&k@I4jMV@%XP0k z{u9cvmm9dFS}>0*97IaqBxT`}daBY4eMTbg`{G-uVLr%-pXU8UZGL5;%)c4R{9pC4 z-}!U@RLqOjAEW03bT)b&W=5v&WP?=s07y;2SwO!@>3y)D*P;j80%@U`O$hF`GEye5 zSor{$rX#rg?u30ByH)!DWX9&9H*jAY!O92l92JP4B%_oMUHbqGm1wX*echVO>;oJR z$D?B1L9*XEG?fnkc53$Huynh#35#)mY9D|HHOXyzIg+FDTZ!S0q<55#94j&Vns;ii zX+zaUIv9_r`WrRDk87q~xB9=}s$Phno&@42dtfg$({n?ldCRvZhAI5t^!pC)`=JPt zG}da9D~Mv4B_=)2*1BRmAE6Mo5Tp<8f*^ZSmKbEmt$QW}tK^C{ zroV#SIM%^7V{_sw9{; zxP2CoVSVGgKc8bKOzUoi-CKyTBYBMrF=c0rf}Flw`6F7#s7J8Ij+ zs2&cz!g*DT$KYkjp2CG-v9Y=siF5qeL70QdrS1I@L++A6m~+-{`M5!o(F?kNw|e%+3e*n4P(yi@hfkhP|~K3|+qL8{hxEZ=cTW5L<|M{{Z67 zHqB6Fb^t#TAS5^fnk<8&k1WQ54&CpRas;IbDv6w4$(fxFU_`9QLj$+i2VtwH@(RJ&AtIp1peEt4iuHbIgwXd&QhEc{?gr_9`f$4Y z-p;A!?tAL?rPG8;j4=>tAr%VqFm{9@RH^BhpfR@T2v`Oqod~v(t_p~}l4v0bu}n(9 zgvQ@*Jial$Z_YjET>I?3@41%=b$hRQ)|lU%Yd*%~H^%r4AX?3_D`e-mMuESaB`Z8z z$(-Fe9MK&klBFdePE#_me$jDEV<*AE+uJCN7)26AW>S8N{Jk-*`X$iLbo24N8=%Z)>TGQ#vW$4ttE{NVG;O+p9 zP`uYE@ut7&f@Nkc1Tk``UXj|@1$SG}*ND@HqMTNc+SdhRxGkEUR88Ag(3QN2E(m^R z6uv|I0)9u$e0^wY-y*1Grw{}jt`;Izr1mX>yCpGj5$u%ni}rQNBPR8V=Et}y^o7;O z7VTRkwMqd@J0Q~ONK*T{qoppJH2RF7(JW;py z$7#2y4NhiA>t-OWx8D2Sul&eZZmP_9KtY={T3j^@A_{*okwKH#4pM7?XInstmOAJ8 zxCe8wSi2CU2`hqYG=XTg3uS7JV9rgkyF_#R+oOz}&!xmvHNGO!Vk>b75N#PxbsU;X zs0bp>Zh~7Ic^HCJPcB%nFT~l>OPvobE{01QpC!`lxTFfF#gbKS3Ui>qHOL~v?NJy8 zsx@WI-(a50cvfFr2>Us{t6pdbB!atU!ppjM?3C2r%Wk*C2E^QQ*4DS4KEbP~M@ zoqNTM+lOf64ig)nRLC2@a}Qt0#3z*od5(REwdEf!4^HEE$UFnFe`DGWJHr((-F$6* z^2`D)Xmv8}+)k#QFLc@zBsxs{HCdqTsh&;y)5`)q?H0|ZeY*E`&YY*u`Nlu`XMa(82Yy-B_=El9XJc zUm|q#D#{C5na-M`p8Xn~P@2z3AC03{!;{verY;w0y+tOEl0Y03-EqlLcR_7$puD<0 zfC;E=Ho&*)%%nZmZ%0c4Rm?E}+Zvq=6{bHv@u2y?_@?iZxeT6W>v0*{e*Bp(Mqcpb zGH^Tj2tD9HDSx>DRsd|_d^Erw-`Qn&dR>NTw`g`5ZpUSK>Dzwn6ZPB;wa z5h_G`i6~bx6FupH3}^>I&d&MG3_e00ff~fNpc^*Kb)Xz&?Llz}Qn$F^AncwK4uhyW zT?Suq7<6Z)YLUDF&|S=tSh||buW64{TUgI#YAl&9^3*}=J;oIMz*T>)l;3A)T|A?( zEuAzg)JFwvODZHm(`}lu!SYdGc~(Pkrz=mO@^r!wsG)IghcQI$=t?qT^w&1`p5vV6 zbGYNgr;O#a{W{`r0R3>S&#o4=r+7A|Pp>hZc8g|XdOODSQ~%IQpZBv-Evh%;YGaDj znIh(P*I?0d_CB)HCufok!E8*eg`TP0Rfiic8`H>5gT%JYBWGh8HWDf7w!$DA(_$mR z;e$ZD&Y)Mu6ostP?8Z2~EgZ_6sS8N5V>^)0hB?88Uvev!0K2`R9f7+8y z6>BarFS0tz$#JdNPKJF0A3T0k+na+|`xmE&@3Xm$ah6TB(6>>x6i2gA*3s@B>)Vif z&=^)N-a0!eS>HDHh54=$!#eJ$+96v%rB;o^GOc+<6{<8+X@5RIVeZ&@0iUZRrWrh* zV?B{~ubS{-tj|i!i@A-{(aRIoSJL)(W_@orDa(q{kNxyt_{q;tsH2poyVmo9?VBGe zTi82LZ({^Ob};BsLA9(#9{kN{g=H1gdMWT^)QW2e;pcdC;t()wO5soz6x7jI1ZRgR zGnDv9RcjU$)X@Zwo1-Q@F@sgwakHSHjxM+*e97nq4+e3}EU2iXOF9!9DDFBH|DyH$ z=bTm`E$8n(f?KY$CKHM-Sz;%wDfOz=(Z#p+UoHdDaGuBQL8@;nNkJW5@&Mi@`9+BI z+J*zDkU08o$z&_EGqRP<7~xUvTokfu%5#&HT}55AyX$iPRWYE9_93Sc^}u(Ws+@60 z)SaK+x?O^{PK-k+dsanNq25RpQ$tfNMM*b+JL+37he}UxsAS4-tTwJfrOk$uJ>d)Vz==6j0yf0NBEyiK~M6$P?#i zu0U04oN>pJ)I4rC){qjvrV5qNqNmL;eU;z>EBVpE2S(BsMx8v39QsW++hJWTQ&^3X z@VX+kvAGu{_RCRLikT2$>0Z0G8mQllXLHZb5g)~;DKfQmDj4pGt7a0B8|;w3U=KVp z*E6bl`9L_p@czGExV}u~%%(bdQ;#m+Qwp+Qd~_ML*jB84`TWC|Z>C`Q-}y&B{#!mX z;(NUr9^bn)IHaDWQwuF-Q$0CFIB5K{sU9@`m8m|WVwk3Se_El$I9sf`CkZnEA^hNG^s2dy<(txX|4J)O^b3^FOH-w_28>xfcJ+S5^4;)y5w2SJu`zh@zw4k26 zAF)1jN73{^aqcL(;$iCYwGw||bCo!AM%`Mp2kicPzyxcCzV-s-JMY-4ztV25vR_X- z7ujW}G<~g>OaOer?|kEb^;6>x0@`-9;%6neb+$p-1c5REls62~QLoxSvv?zRQ#%mUUw6uOaS<$#5E^nIuoQvtKg0wUNtQn z7a=6UAKFRJW~k+~##-{z(eKr9CF=XL-l-&B`T$kLV zfLZYyB!4>RnSHnuk~mnCw@3#h#bb0mM@AeFbuNNZNVjb;rfy8cGg?y{ zG;wy9`>IgdhL7vH9dzPE<5e}AJhMTBqb-9%Vs#`D+q5*Z?x{*O3kzPV+mh`_wF2u0 z4_-2Oq;YaQE|_HQ!RoiZ?_$x7xJ zd2LLT4Ce+DEz2u>?}GC!{o8%JIUx%?`#QytK74Nqr(b;d-V|w9UrjNjv#;~I@^yaO z=l;kSeI)3y)ZAPRhCmgxCOZ2%g`fp3y*86M>~1!u1vNoEf%Wi&V78?}(6Vc3J?eVt zKus{Qsm(HEU#AeXa3Xd)^fVh%6kyZf!! zs(lYCEBQ63PU}p*u2eg40}oD@){&c3W)5Qy7}NzsfMG1>gk*q!G?Zg7BU+FjG+KJS zrlecdm{jDPl70=Rq;FqE{*^a;|DXBRF%=o9K$y#U5wNS<&#xp$=ktAHeT8g%qlYKfKHoriydBp^qp2+WtxKj zR0)Lmp#9yI_ZyW!NFH{4?|gSkB@h`8+uK-qzoilgo~jWc_)i~IyEo;jhSq+K6$H(> zz;Lk1Kv>Ov9T_>@c5O0jEpQo*k;QnSc9V z{^vgVSN$qvJwr8gkcrinl>khxAlXrQNOkks32vEIHY`_zS(ws0aM)}+jIg)sz79H@ zSvL>*nprmwyPDa3y)joaMcxlk{HXfy0hrZ_Mtl6=(yJaGM0Adimm<@E^0vU^QULkj zaa#h31-%H8A9FVY51RjL7B3F+m`vuY`fMmIs1^@ z?u0K7V{tnP#f;-D(ELa8a(V?z8EFw29vnS68VgXybKETg2!wBS00GJLG%rwcOX^@| z0NYRll1cF_01a93%@)kX%`2C4R*Mq1fiB4A5xX75n(2XF>^9^U2B8q(#ZJekz!#e2+uKZTCS4zM|Q00qm=5FoDHJ^tg}&hj61Vq72q|J zjoRRR`GB*{mMjEFb|J%*SPUQlgB#f_*-ppjiyfPr^`#KLo1%WjpPU z^Cc4E*Kc!LY^y%hEiX_yzHG<-xM2Boln%ON12=NDeDm37wn;hOyTBG6PA_%hCiS1x zMIkwerM6J9rB?a^i*0l9G$n9qzB<28o0T*3jz=%NAO**zpu|BoTpb{C1zjkDUX^l% z+~n1X_f1(n%duYN)8F|G|LO;$O<&piohGkLyx6aS&*P$vjyRD%bqJzQ1T1fS#e6h| z)~oo6#|=sN@kAi$VZ{p}NZaCqyUj*BD=@hP%DY~Xk9-l_13WYax@Ibe7PPwHevKJS zMnC|kKv=)m88^>|-UShobBa4>$&oLwJ~Tb0B8UJRb_IgyTx|sySRpk)t8k98qXhFa;FsjD_*s{7N2oS0dfdL!&~R+xQ@e6}6QA^G{bNF) z0;nRO4CF7G8WFP3gg2F{gz>FZrEG_)6pSNnR_f{k-XN4=sJlwTT&25^OR(oOluR{T zJ=E7~k#h-C3QHwbF>QH@=H;ouzU;^T$S?oa=$=ywHP>K9sK%x_zRUdKYlDyMD1` z)~0GC1SOm|K`g|9-a@u%sxAb@jGG{|FpJ(q&}#TXkQ&Uk?t@)K=R*M!1M4?cT?mQ> zbIJX3iy}X0FbD!(%#x!TEHsT}W1X}szVM*Wo#$GMsp7aA)GF&d-d$a@HAO>BkqLc9 z>2B@Utirl>FjzB_i+@(${uPCO}4~_Zsim)AYdPEi!Q|gD-;f)9|!8FtU7&WD3Nr^9jDxfsI zy*>g2Iw#EzgUH~$5P#5lhjH*pMpsM~t(1IFhu$phK+Mp!w)QVCRG|ge)i1mbJC1U> zbC$0@-mb8q@#!WkFT(W_Jj{GuW58SutO!_~f(Lm|3-kei7%15J!NW9uk!vT4rY+xE z4F(S{yx|Z2=z9bHQ1jCb9-RCiEDyEMtBE3ajti$haFPxWeGVQXCRm@)IhwubdP=}? zwK+&-5NP|X2h|RSxFrVt{;^|OgcW%;&+fU-{{(nM z@QZ27_o<-I`J~_T{BMtrLDglRt9B1yrVN}Z1MOKLp+R-(H&#$0Wlu}K`q1<^gem)r^Z;$;|T z49?V~t_vb{!pth?dmbg-kXNM1a=|@X6C@?FJCF&zJ~Z`>3j*h1Z4dIvE0PSypf za{L>my4i>ns+<>IyUvETtUK=g3(;Zp9)y+gpzV*ZZ?XUabCzi5WU8t*9$g716 zDhNAmaGqZvMN4c88CKUTxJ25T6@o-dTyS^5+b?=$yA_^|tMm#wv&aGlb%6=P1ZuKi zrB`rnC_jN9>iKJRp~WKQ&|3L|&>T;!7A*QXKDBy<3cz%Wp|6*&S3(J0?z<>%=#aio zjb3q|+5g_VnDxp{>6Htp8Nexbra5NM`0hMoQy*t)-`O+18PE8AU%$Km>jP}l%5b+} zRwoVvzGc}AN=C`Q1v8Rs{&U3&JzHn!KB?jtiEiy*~18x;ZWwO?y4$-Qpk>$szXc-0F~;_WJ0%nWFMFqiL^? zzB}KY(zMri(FITUHA~apHR!w5(mpx8M6ueJSJyY`u{x~*{dJpMF#mUzO&^nq{U|vY zSs>|94GV&6J?yKuq*wqp;W4M+U~D#^kZ9F)M#F6gb+(fOGIAD%sf8*HGsdwcX_Gkq zjW+FFkYi(S;lxbfd9Nxs?Xp8M9(n;>jVQF&k06RH&Z!Vrm7;uX&7@Wj==Htw(&eMK z<9dY=-PzW2?&wy2CZZUZwzJxzdIR6g9VjxOfOIS!yeQ1_(hyj_sx7JtUWC*O1_TC%>nX%*ZC%7PXie zXtm`R{X9pbd$;>y9%&it5Bl}gGG>v!bZ#m30lHN-lmEgvoX9;?8lk-|c!OcTr_Ahc zf)iOfkUP6r3NT!XAUU-#nk;4LE z2L=eApytkk6LOBzAlchkPAfG6Qj|V_e+)0Mg{v8mBH9-BcLxkiKrE@#T|-=92)sF@ z4^sTdPk!I~e=v1#NZ!cRtye#QLl098(J*;fgY;GukLMJv0Lw}$qfBB4pS}^yC8wv& z5dj<9JPt=8l#7bWdSGJIrh=)tJv7yAIY&f*qIEDZQ6?4T6{&7raJNKDGu17|uL|p3 z7L)=k`!Q&hMjHt97^W_*+8@JL!dJwIq$4g5>v44EZC+8-ZIN7VQAH+8Ze*ZrB`HNX zcJL#rcX4p6iDyq0vn}ES6?{$fzkmu6YaYM@&|V=;4)~hh9f(P}&8W!p)UB#HOVkv- zcUCE@Xlqlhs%>rK>c$Zjc$Jekv$nlSZF>Rbi#GK0fmVC?M-*NsMKc4fcL%giyG5-{ znt|4v0a}0m|M6+R__Yy6DbnV4-Ep@>AlW78t)V;&&ZRX%6L^Q9mv-G(3<61jxHMZC zx~+iL)d7(SgPtBH-wd=4GWk|O>kyM~23iM+d@G<8W#Z28-(@yo1+D+=VIE)Fb(b9G z@y$T%;5d7+>%QhNk8i#`hbQ3itzs;(#c4oNGUr#$6;S)_K~@E`>T>>7Z~Ubq{VgsY ztodI#o92J~JOA5!-E2}SL9Gt3_+OM7%uwrj1Tqq4rKn3>a*w(UYCYc%uvg$*KVWKH zHEsFUhOt!RUC)2QcmL3s{spa+MnNEz?NB^r{hPSiR~;s*{DSYnZvi|9VZ(ZjuJ^0; z?*XM`z`Od%*RuW{Bwx$=7Xclat%T^ML!z7YFS_i(1Ps-G1g~35LRINs0BjQ3pj@pZ zC~;M2z!h8fu_REe4p&yzzXsXg5U(pTd*GmC2+k#u@(>;6q<*Yekx+lP(W|oAuG}XR|3>NLoc5#yX3D0gf$!w0)nS{T z_?~b3(3lRJ?3@nzoLPs#oAm@p(6qw8hlP`wg}Cgol_8I=>!b8oZX4XS%)3AC}NglFE^4$2ux&DhpF~x zrW1=M&~Mi2rs+n1wlnlb+OIFeDT|PeH}Dqa{h-;c-t4Q zBXg;5Y3qoUz?l`IZ4j4ZxWNk1N{KBU3hgQU>{nt4`sxU-nSSO>b{u2c2CtP8Tfwms zMS`+x$-&~`~uHkcPM5T%-0e{4}bBA(5XM|eHEIm$ z*q;to2^#)-WjuFA{S5FKOieZLTN%%xP@#$dhC+n~o*s%7k^%%z?)75@T12tA<%1f` zz6F%5oot%cP7&gyM`Qqw9-O39Zv zw~|tNxnqA;QdYi*GUq3pw{E|XEJiZTa(O%_2l9~gj>9zL>LMK>EKs2BN7X2*F7M1V z-+spKXFu)ooBpSWCzKG|spyOz8+7@`#J>ZBzB={x6_P@{TrPM76+QG4d8{#mP1H>Y z@;bZVX?@g;9p*&>P@rB4L8?R-M2?BmQ_wSgV9j*UO+*wMxTmM*qo;autYv!`^#=1!FTGFn3nbRg;*%Aj` zyAaYI+jH||bEI>3kL`W zh;v4+W1zk!M>>OLGda>Z4ArCLNN2DdB}Y1g)hIdAdGcbE2al^9#si-`p=Oao+>&v7 zFoBpho5^B<8++-CSLG)U9`6(56J`#2la@(e;%ixF$`Rs#ltxbW%5(-whEh&waX=-e zICB`|AuQ#Q6M|%l2p4;l2uMO|kM5Ed^vwlJBjXB_FTXIy4@}Y+E!bI;X3WtUR3EWS z4B_>5WrIl~yv_wni{ojn!xAxsusd2XJ;)2pL$)D=D0*vwaiE=XbYrk*5fpkO#i8?~ zPjk~S$S*&4*v#x=*v!uzHg6E8#%lSw<7Rs9Fhj6%Bj9^he_lIk5Fl;^c#llded1Ar5=Ez|7(FHxGtin!Fu?$_ojfzDzS!jCLA;#> z@Ym6S>H1+5V6~ji5{w-%*^odMGEj)IHp_d@K%m{BI$%3$aC5h#1~D2Caci9a=D^W| z`TTi-()Gg*)%jD=#r4z2%Ta|3y;@}x-(rrTq6)iv{1#&geY0nfU=aocfkA>rn43Iq zc+@)3&<|AM2W9$SaWlL{5=)?;(xaVwMex-g6Zl!r{s-$fPxoRFe7A}<>3<*yn8&4s z7)$FocfH#3=2$uL;j{;wQG=%0i;2<>ps;OuT2lV#akp8{7_BP}=h^Tj-4BD~H6Y-U zt9AdI-;}+WDD8lA*3PicUQ8+Nz=+;`E10b_;S8{{vEpo<1Fyx~jSiXm0%hxr z*4Y(DqQH`(tE3}Y-JR7&hZJHiiBS>6-KltUFlFTkkO|qjz8aK#f6yYzJ5Ed5-tlRc z6n0ecfh&@|`el^WbzG=$mE@CpTjzqxy4Rg#fUorA=5k`P_EZc5Qa+pv!a3!{7j!QU zN#%<*_6Pm~Tjg60i>)>*rCt-KghEGqysZ{`z2Zs9qItOCUF8~SafHT2jgOGK6bn?H zX_pO#`_800h81K&9l0pvy3xB>41JcFNq2aa*sXN`OFsOi|1feN`o6TaR7UQA@{B#W z7#dqw8L$Ir4%EHq7G0(260CEljWAoodUj&QY`&sVb&JG8bLi||fT?z+D}v*?jcl`f zal*8E7(^Dfkb|Z++}XW2?Y79@P!MzwN;Txlsjj6uZjNf;k%RyfMZIF>UPR?R>~_0k zp0B4qR-t1 zE#IAGp667_-K9#VIcjsNTA@3+k|fO(q5xf`WhSR({ODKvVGo{MbPLwY&LNldx9_uP%vgGt5L>uUJu zH~jJ&zhwfb8f4_4gUe2E-O4-IYErq?V3n~pILsApR?Jb)Ajf!)dNQbbXo24x^(0WW z+mn)`o}j7Oku9(O)itQP0hTJ^(RSGiR#8u3sllLU8+;mpR2B6UmKsQQE_oI80BmM* zlr55(?1p-0Do}N>c_ybk?>|ZRjA+qcaiFT}W{Z(Bi)mYmJ99LMN0VxIxgBXW zNtCBe@m4(BHG`@%s5%+zd0uJ?NSMj zPN(%!AyB71)&^BSM-qN3SKrYSry)r9!Ua!om84c_2ikFFfiv?r6_g8F869ic50c!? zf^;uj5ZJ7CvVuvx%TLaPze}Q&NeSFh9xs*oc}a0EToOFFM4dT&Y}XlQChmnx?zi9y zrh5Udck3RuukMWoUT)w^@Kb-#ucJOZhdvfzc_H^W-Wf4be9{Bt5~R8SsV+ZyqT(Y* zJbSDuSk0)t z(Q1^~U$)c!s8w3!{ZHq0)UZirpY~_5)j73O;)%xT=;d*%j*cA05&j5XkO+O3I&x5V zbsa8UTkqWL=!E1eEsLM-$nvcbVpi(oKKoZc{579EHtujKb%)aSWJNE2fiym|t@{OL z!YDy2Q3=6xU6Db7sAyWSWNY(pz&uEJy{4H$k|%8BN8CS%G4#1>!d< z`7NqMBotUz~VT*Yc*RiPo66{r<(LfPT_RwYY(X8W-~#bz=>i7Q?gOI8XL(7P6s zAR#7_`R7y$^sw3x<6`w2@@>uUk@(DyjtP{;2YW(8P%D{l4@yCgSd;1-`nfSO^1_rg z%RJmIMr^c_`3A*6Ty$A=u2OY}%0%4dwmaM+-=Z=S7h`)ZcbBebzDK+D5`{~+N|;nC zxyWp~L_zs4vT-K7n_JkhY+y$X5-p5cmM#*g#=@$1ddRW#`-yqEY|7%G{n@zdSt;G? zka^<|SM$b)P2|~h5yKRPqF=I7|EzQwR^P&39`=U%{+!Ynt!GL5Lx)%P4g!_ElkG4N zZE-4UXE&9-gFv;vY^VKY;8r?5YCl)mUUrIGS?n77OM_|JWEnt|V8R-*1M;p0@XndK zyUWy>Mmp1~-&IK!y@}WJkgQMtZJ+&<9G%iW6PiV`t$LP+g(@I`g2VnZ)6 ziGI11d7Ih(WYY^M7|sS)1UH)E%(ez{f3;_{;Yce8f+R^n^AOC2w+xs+ViZ)nVr6&N z9{z5@%+~yvY<81pw3b;l**VEp7tVu)zeguuj&rO%qZ0ofP#N4DyYh_SWTB3U{thoy zE6L{ja?O{_p3>$oH=R-0m1mT~RqZ6tcSqs{Uo*m0_+?szoqkciKe#FAr=btEMG6$> zS*@9G5L&;K+Zf46A>a(jTlF}y6Cvil;)^e_bXTn3&&YE8tV{OCz)$F1@GZhqQu2_F zd7}=f32rr5O~Gk9c&l|sfcc)q@1X8WvhHH-(*Y%(OwqJ#Cv)Cp*%i5bASK0GYy7$>9X1^| zyCUy->1$q5DEq}nm+x74&k{D$wAGvLum1Rd{L_ADbz`N&gB8JaMJ#1}f3VOuC((mp z8;FV;??^k-(v=m}!_ZuEo0g}ZazUcy(z|=yA3Nj8sgjD|(HL7mjJ;KR9EYYW;(}<( zA#To++|{nGS{^mBYOQjYYt`dgb-3PSe{0p^-nMQnpb{5s&uG?RJ1iFleZ+}-P zcp30~Z^A7SaNh3l$SrEY`8kZg8E%qa_oIK~^S&o+r#doi7{5mu7|ab~=^bbgnwYW< z4@ac4`(BC7!L+7r3XWgP#5H{GQrt758X2RYCNeXbc>Jg4NlIAace+TyeJoK3tz8 z?YOT9*cc0ulXHXOhe~kNKo-NMrYci6y~e{@$B26j;&M^dFUb&;gxE?Hmk`_5fTC== z;u?|!K`md|k9Lfy)Gy`Z$>S0AQtcSe2GU0T#aZ=QB&W(;LmYNcaSgSQxNIl;M+Yx$ z(u*tRkh2;PBB!8MzSc)rW(|~Y2^c5g{gePoVqAO9M*^rh#+_bbd*d0;#aIi9@-sm+UxEt?*7g9JX`1D{qS!`XQSpgF@3d^bwZ6Ids)jih5~V5@Qo+Zu7C#cG$s5EF7(* zfP*HvM+RDcIPh*#*wt%F#gG5;?TKUJNkXv`R?9g0BxA2J?lLu_)=jGF2lS9M>W&?T zi2khaQuyN8RBb`YTJ8vu2G)~x=vfZHAt+8{yksu zc^`fd9r{-QhMOI#as>y(kZ-)NxdJ8whL}DM{#90iKx?S}F$4@+m&(-fFmzXfPhSLA zGV3skK zjXNtZnW|~*4H!{meVXPg{>{&L@5=#c=tAzc`CAOLk16)lG}>TurKTwrhGVG-jB{mg z7GL+Z&3*E>xYjg_bS)KRm(Ml5CO3sIiK(=BcJeD$DcYSI!+xREO4E;_W!hBX zlB1?yt*%uG7Avp+dQRJ)?(wwEh*TG%e{xw5$kOIKB!`i`073I2=b?4_QN|9?;jGHL zP8t8XP32-|Vt{u-6__Uw+tCUfRwIxK(V znUiU^s0|cE?+4*X~Tqrd*0Z~wGHf1qhB_X0|OeYwKuPMBik8k+pN(jU0s0oGqo zL1gTWp4z@Lu&)U2h0%?ZOS^RnxvBv9eC66kor29mjTHrm^f*T`n^=-Z97*+nJCM2pS&Rcdn|T$WFDfj@^> zxk&khN`L>in$I($Aw33^KXA5Pbb;Sxsv!&;H0ahU7An6A0z(d$R zT0e1;3>~v@pC+m@kyR-4so-WfFGNeKxev{ z;N_FQ1OqeO;L*VYOdg^5V9AB;Ok{z}Sq*`MOIe}c7*d8?mV91rM`8^$5a5+a2Rxp~ z6R{B{h}a)A6nGob2}7VdzHF!C<9rY4_#DDkxxgbc)nw#cTCN?jhM!Y4refwH~5+?Y~`YGm#dCn{BJ#zZGSd zj?eA1|8B9#_kX)tY^xApD=IMU&+XWML%kQ9`goNPK(AKW#JAghDZ9GBx7$46XPfjL zrnVhJm_yvK>B6?@OZ9v!+PEBdTLmUnj-(87D}!KS>JLK@6Ckugu$4FbK-nFTBQ)A4)R0qLDB&?f>zHfjzf@opa|{{3euq#p))X- z8o~t+@bd&uJ7VyFI(o4pb(9P4kYm(CBh{#$RtVBgx*&!Hf{_e{?TElYvAhtJXu~BB zYq+lRYh;{4{@K%Xmc~q|{@7ZRPN!B04Oo7CknNHNk0tFIo%m+fS0alYBtLlA&Y-Mqhe@M(W;$Noad zmo~-GDmD{W3zQ<~=kV-74i#Muste=V^!8TjUv?>K)AylP^*3r{d5Y%NVd}Bp`?){& zgD*zmg4SBO9<$0Tyq`YA1Qd^L@uOTIt7wcNCi|7K%Gw%^nv~K*APO9a?68uPsf7B_;%k{8N~q9yLctyC zuNpz||NX~o5KdD&p|w&bz2!qIYT_pe)<#+KxM!_Hee9~<)TXa8{jNjdV$D&FM=hor z%)&nef4W`QhlX4o?{&4G{mTo6X!Ok?jo2?fxjOZ~@lAa4@BD9k)Axx|Lx9HxUeLK_ zp$e50he-Oa4wXdzCw(V@QRusC)-2fTJED;2PG*I4S5UZy7JM`D|K{8l=eg3%{r+$X zG|am#`wn`xSH~1nNM>$4TQThxwKn6fZV`|BdZr}&slU7VTMs|AWGmD^aJyUFUg&!4y*KskPp)X=%4 zS$#l#$gXrG^ZfxdtuxnqXPH+wXuId=R$NY0K-O{Fs3#$I|8W77k+*P<;(_}V_&29t zAgU}zn^syr`2K|o`N3lks<5ipfn$mISI#T7-7Z7mamVF&@ObC$$b(DoQOO6r#P{x0 z_}{Bs3je;Gc%PvB8zqJu5M&+FL%%p+IFsfZIsdPHNYYcki0M_Q9mdEuk5hW=7px7E zR}-1FPQ)(JkUdTd^hv3^iMpfCD<_!@mpp6;P~de(vsOoehzH@4NJ`oaDdaQT7eq1{ zMN+Be@!%c0+DjT$T=InBev-%pw9s8MJ@&}BFv-M+c@0h1TqS{7%bGe|1ClHJq*gND zqf(}HL>S!pMfo1VY1gI+lvPef$?Xn-4Szcduj*%M+KY@NjJ>{1W=P%p@*+d7_eI9P`^(9XK5!#mWGoy1 zT3%%StG%k&t$mUCPvzU57a4os=8HU#HR>c`kQAtr2%RJf*u?WR3uavg(~B z)9~@0abg{~Gz^ zy#EQg;A;OS>$IywsU6NY-~Ziev8%Fn5U7vO?Kr+9rD9VbuM&!B%E>pMeP+i<&DGBZ zw(xK|G$O}72XpU z4Epaa8V1Dz#fmg)E@-S+c+^mtpr$_r>B_laA=<(zqNj#FLI~2N6~RLPjd~yT`9hEu z%>{RWp`-)xgxVXmQz1xgzRHfzP3vqfE5Z>4^r>Wg%n@gC3hgxR>>0( z#^&c#gHceeh1PH!9Tpn>jrBDbU)$G=GLI#Ss9VW=dv>QSN!R&{aHj3i^Zhx2msIi; zzyZaB&UakkZ$J8JbtJnQOI%QIT^)VYMANfWL+n0wpmypId(DQIEqT4h7AuF1I_doaed%yit3f!XD zm%}ZFgkg#l6tK=}-y-Qyqw`|A4aSc&2ZrahFunk&CK%ZZlza}+YDD$|rEzUiBBwc0 z_Tt#dHP8f6} z*j1VXvm_AgGEPG0dS^{>x9sS<6^jNnqO$H#`vr)-!~~QdL^DcB4ohsWrM4{keRO)w z!+zgzl#HrtRd*Q@d)pL;^b6^~Ml7_RY`T&lU<_SvA z`2yiRfiPgC8^8#yWO~qn#mFE_NMcT9=UGcn`T`}QRuh4CBsJsltZDvPpCH5wD@O0c zBUUzWGf7IgWs*QmSQWu1o&8hwFH+0ok|%tS=NCn~?VGE$q9$3c=MlbZ=RA`lS^$Mm zKM$U0hv-JC{rnzrrkcDxK#03*=6i&*Q)~+;R7b<;s0uqz8?A%ij{I?b<)^)8015JY zhRyap15A+KGi>#|X9ObLhrvg4S@^F7fVcvlh$lWi$$N$~Y2P#cQ(2FV+MQjl{hkeg z6+oY$Wy5*V3e@B+btaoNC8lfCbXLKtMDwXIq>1h~f`+663V4tYC~tlQ>)0U&yTCqb zuml0@Szw8j)TZMDEUCZ(pdVGRE!z=Y3tShQZN;iqpr)VG!A=@<16a&?C8mOg#QC$t zw5qe&%DWcVPqxzuw_piMY^&ct0+wv0q&6KNWv3O`1cB=M$#yzEv6R@<$IH=)3%y#t z39v$t7gY!MF0h62=z>mQ6F61b#8QA^GFSl|B^TXX3?8g$3@cR|!V((Oir5Ks*TD?*SjaS=ofkQiH} z3xroGADU0A3mVC}AYO>a>&qjaYLSH5!%0$d*;!INRhPt}*^?rj)G`@1A6&o+m)w;W z<;~jim-dx=szq{(^nhL>4j9YlIn6E>JYPxR#L}q$X8^u#1W=&cZu*Gf_c(b|d_Y~u z+dI0=9sOXz~t_XYm{&wIcA zb3PRHjfx<6;P0><_!}675-b`U{pi>)Mn4kL%}8Jd7X$kp9sTI+a|nQ26q4$+oMS<1 zq4MeLUxob!Qrk1Ak?Pb}44}5>S5uw3VBoaO&o+myu-}5yve!?oh%G|Z$8e~ud0H9O z1xTT4q!f}2{9W>h&N&I3Q0^gka$NIKB}siFnyS<-bTL&?njzR1H!B6QMjTK#us1}43}h5qi$iB44%Csv-4KM4 zR!lwwDLH6Xd%8cv#yUZvMjttfF8 z_GspJjhcHkwO6)!>DLhqWm~HjJR5rZ7l+K-x+PXE^_gTnNDB%EB-jH8UKe)vD5#B& z=BVg7=7OtQEiv2)&6MrN&ZkYm-jdl*Z#za`Am&V6)~Eou7Ne8B03$YK?vZ#E8J(ux zqS@?EzeVTF!uWJv-SUO|8^6grXD@d*B57#5}!IUzEGZQ5h)s2rw9kyZ(U z%Z;(m*jET*GZZ?=6q%vWL8izIg`)ENYNp5xh28|Yp&Ga-HWF*5=Brz2BwuEO+1S4P z6+bs$cXodg89nhDAsn!UGjV&B*J;b9WILI@I5caT zPP;|3t($&}&ari${)M0M!`~WdKdtL_oZ70qks{p_G$o%1Is(Onc>#Fa4=9}bX!FB3 z!M3G^A+E2;VMa(KLJ(;`MU`Vk+Bz3Re8=V!_5=F7kPxJ;b3p`;N^^KXaaG-JrfEMH zJfY!5YGuh}qRFpVk+!Y~dWd^M3R5BS5M+q!f>!&>F29YD!G)lNxJ44_Hc2_+GNO8j zzCe;BPVJJQS7sv*3Vcv`*O4Sn?UGm#lNE4FL6?8_k{R20Q8J3UgSX|`){Fh% z`E^}|*pJQxU~u;V+P{d0XAa{VBxGoS1o_d|HV^G$?f4Y#}0w7uKw>Lkk%wOEd^GLoSPO8`-;XdPXzE} z0|w*AWcU%*g`lel$~fP=VhulHO#uL*_5}c}By$e&aKOZ0U9&rotA-z;at(e&mu2&N zs{RrDV9d>e#^nI5x*=ww#;r>g(dO)`w)9ux-;&x@y~%<_`xM$Ynk=|`9+G`$I+*~IDIv~>Nu1a2O{l@BbB};R$d&) z6<Yu$K36sfqW)yc*@b!dM&Cnp*Cg%2QFkTUBdz7-&%*TlE4W7st=ha^Jih zja6E%!$6Dj*s7WAwi#%jv^*H+i`b;xKQ#P;C^r3IWq(cO#l#`23zj$a@hUKoHlq9H zv(HGav8y_g(!yaV^}N_b8++NrQYbVI_wWLXp-nse!{EUxckjl_d%Fwv6+|d$6up+j zAT^B(GsqkD=rVKIKDx{twpVjX3B9d%Ue7$W&oBI1^xaT;{jX1++7JBX zkALhfkweiK%VQUZE+*qjgK$yCl?G9uj4PdptI%ygHKHY39O2N?2|q`Wp3t`f_60hZ z8VY$W;!499P{x%8Fd%Du^h;!O&w&;lz+xqFU|h=zS7Hyp#CK>C?GO`7&6}@@!JrZH2tLe#NOAtpkmvE_Vid>B8nt+C~P;JOFG65EoSK@rZoRDzN}cgzCt2Kv3yc z9-V+n$pw?`@JQelR5J}Y)S+s4Qnw+UFrTEfKh8IMwD1t&VJ44AI>Pet=@O>>>%^E| z9(RE*uvuD~cK4orM%-zC`!3WQFD_8MS$Q;dHD>OZ_t$3x!0P1CCM^Gi_}JIK@jtou zAj41I)PpubP(wQ1A?gHzWIk!b)ISSy%q3|Kp~qHTZD-rEe~XB%TpP(qIb z?y_1lWfg|HKo-+M5E%r;@cb6mHU?=J#pTi3WDQ0km=&0fk&=`|hp#N>E)FP4P^2Fq zU3=&%cftBSDbFK&N}UcF)rr&!p_&|-0)b!AF4jdWLi|VnPqor?1*r17E>j2;psKMn z52n#wor9Ot?h`E1sSv&=12FTTkLhzSGn+xIZZql)-xshAcW>Mxs_?sz&fAbf=`<;)+sswh2l>BcU z@oZ_yW(Dt>$^VmeYlRi7E$u*%R$1B{ogfwx=s zy=UM_WoZW|o=9Po{WvJbfsrSoNnLVy=1IOgPcri)%z0DZ7hg1CkK6eW=-7HS+o*%L z55r7fX5?KuS$p}0DJT1oeS~}({CuUs&sM*+_P#yW!QX5hJj*B$!l{{OkRuVAzu+tb zDBr>fs5fuf1(>k>69zdS{iXl;`~J&Ny;?2T79&UL@P<`Tj5MNjm@l_&-7lrXAm_43 zBLt~>T`)?A_bZ#T8iG{4E?7#3(UQ|SG*zz);`Z6>_=B<;=5x%&$T2$}o}7kFacHVu zUomFK1FLEUsd|fG866Mu#g?{0RIf`GPUii7Z{biJTU4)0#^`wPm}$6}B3#J zby*?u-9e%i92kfLLCYrP0{IdNkXF{*U<|$RM+j+seZvJvE0Sd#JSzeGTQzvJJg=>oU=?c!L0j?G#pa&q<%$bgIk*yd z#dkZxFDTPhPKOeVI|n?I(uY`1FONra&&VdyckBz?Fn$xL?fm%79_W$a;Mxe)wB_5_ zgB4uA{n;P?|K2dByut3u&OnNk=DV#b0=(h}BW<$YSCx|A+^pWVpH8$9`n+xMA+th|#s=<3g`yaE6797)sDwHvka2BR({ z(F(ubTEUE~I%9#bO)RwqmxV}fMo4vh)(6V7tfJZ1995sH;mi{rV}elOn@9#UdR8{4 z__tGJ&QzB(3&eTqdXNQzoI_CNuOs#Np}+slU-7<35-Sy?1NcS^Ih`FhrUhb#kQR^H z8mNS&dh`|1kjIiS5^`!Ce5M1XdUQdMle5np9C2GO^o$j$9$hfX|CVbZ)XFPTJ-XoO zupyf)pbym5vy&nVitnIYb@*PWfdFn|GD<5*_2?@a$0d+{;PW&Jc|}o=F1ZICn$-Tm z;0iB%B}u;{BvG6w$yfTr?V9v$T@uqc}lv!lFWAq?($Nv5-gb3DB6jU zN+{nV8-B%Gv^0A5Bw5`fWT+)Y4PxNt@i^OvP)n;8UKt>gN)yBXEj5W#TPf83AaUYm zpwEn`P+uxVPpKbV(Y^Yp+I6pkLo$a9$;9z_Mk&c+Ib}SCPR52LXys+A*PPsP$r@z0 zymgD=R-fe-w`GxVi>oR(rJ+r3H6O9?gIm^AVixD*gi#e)<;0PKMiw~zU}dU|aIi7aWyd5gekC zq0V!`GTjPLh0KDA%4p3hyC9O!q_Sq$#ahCJEJ%&#g2rzNYR&BqvutT=>?{0gDm)k5 zV~(7zh?C#2^8N{Pk!9SQN>KHl=Q6;L#wX40vvJGT6PT`r~4TiVjEZ2+o(WaO;4;C zpH#Z9mPfw1g#L{ma)~mZ*i}B6{&juZ)xU5|>)F==lO%N{y%I_PDsB?61nfUxhP;EA z&(L4e?ojEkO5qbYxKmgK=bOvAp#;yWNfLxEevV!q*S`jLpRZEwsXkYw&S~tsOJh&F zMXgzy)7UqY#(wz0Z~v1&8>U@-1UK#GG2FLNj|lqKs4NwWWM7$Scfpv(0@S{Zl5)I> znl2rui%?Ejvvcr~<&^eqrVLq=`FYnjVnx0pzqM0SSIi<1;wp|Tz{Foff zU`PapQL)~3ckBc+IhL_@b5=C2%&UkMt9TP#=9qIYOKzlyHlL*Odz4^z%PF8(lc->G z$;Gt$HOCZC%(udt7^-1|uF}zSyjh@4bdp^+<_fFmCA>6+YBclIhe_P6YK5QN!t3EZ z<6&E8w77?H@3-slxje3#?fOmHb>ywn%Z^0#&Ct2o^FLet_q_BquP8~1v^9C(4K`Be z(meA1<%gH=e)P(tmo6Vj%{PPb*Z0W#cf9rQe&DZte4$a$d{U{PknGZm!y=IHhhf<- zQ2+%Kgw(r!Wmey|I9Qp22v}iPEM-;%B?)IM8YelTYFJjSmyQ*w2z*6MebQM@JF&s} z(4#@98kIigQ8f$$)mC8#N{~l`;QuvW->8h9TYu8st&jh`cX3$cl>2E>CEUe_NpT_` z=A3Uw`nrk>)$OR{GcJb$;uSp`7(hvv!=FKYagIyH#Yp>eI|XuWAdLFt3RjfCF9*VW z5YqnpMb5S}EUvQcoj96KVeDRmjB;s8P$^R_&yOkXAwAc+Oh5stcKjU}S-y>jm|FjZ z|L!~fr8j+2d8;+Ba$nx@9gNo_*OQQ=An$TDOgA`*A;WZMkfTf-un@y_K>?ywOm_Ov zJiMd+W30^%KL!c&_QzzHZg3JqhUo?;G1M?!8JXKRiXp>vruI1Awr3OrfoA_bC3}M? z0BB(Y{nap?kIa=6R(%^KcjRlv$Xv{K?5xR`tw@%Ux!WaDSo!FawXW(hoq?mDBPTPe ze^sDU?dtm1|EgI3hzFPPzbbof5~fen$G>sy!Q=}{eCC>{N=qY~gS=-L3E2)UJy;Wk zAR)c;2oi906Q@D5N!%0A`q{}BdkIF=Zl_>sMha)7@X?FUgM8xk9y45g;}3u57Y9

E&+-f!ES4* z{(BA8g_$e6yDjD4VteIV+o~Gmr>5ZYs{Gs>IIZ6w6z3>3KQ7!NyW)9lcH& zgFV8tC4G9)w`sSijWOq(+s)+MzUnJK^J^2qw4&7>ryF(+?$Qm*2w7;k7P^9*D#}@% z$hjS~+b9cGIX6^*BTZFJ$RjS11GCW9ueHPN6&X>zdS0v58Rwi{<5m^EOny? z6noZHmgiY~G0IPtom0E+v)G+hy4e4xXyB4#qW*U#n0}6>o)yA6($FLbY7>iRdWrPL zODpM35KP-Ecdm~x6+@l2d~4r`p}zF+W1sTwXiw6PTG!3qIetJZsAy79&)Ar3Z@q2F z|97mhhVB7rmg18kNZsr!7D^s~vpbD)LXf)I1%r}jx9*G+;GJ^8!)lA_a~v8O6wDPM zKo~1hH@o0&xnrp#(8*PoER4F@1?{EA^_rLJ{H-|A{rl^prjE@-u?TUED7&E52(jp}Dj2)F3 zM6EK$bOKX39K(O zD8yGmB<>r*3S)x)L%AAZD`@@}F{?WJ_aJ{%$PA6AZBwjhU%x^(fm=<|lp=I>i+%A` zFGtf!p}*qO6umb^Mt{m6fR&ndnA}G65xI6zmpRuiVOpe7knE_6u9>W_Y5^cn|@X_yy=n-L4vnwS~Dx)}iL-~E#B`>tOcH`A}$G*BQt zw3YqIrOHgNw`|H1Gu=rpRc3nXG|=F1*kWE;V|}xk4oaU5Ghwyiugr9C`hdftU9vIL zpnX(>zOjHNypGCD?{^q|bfxL1(shFlMpw(00h#L@J!k4}V-Tv^&n8G3G5z|rgIAUP z*X8il6x)4MGJ4Q{AHZQN|2xB1Hw#}~J4BjhVCHg==_5GjDXCX@E8Qs=^jmBOhJJ)S zC>lbhiCjMa@MW>(^*E7%Nh4FL{Lh(^`p3Te2R@P~f=h{aDF?BF?@~gJ4l2o$TvQeoF;Ga3sbx+*~^G`h4bV5(#LBSw9oPG9mcz=Kf($~qmuV?`D}_g-kt7_ zoMY?$&fy1t{{Q@h(paIsVX<{yfB5Qg77kJo=6H9IiZI8!MwCKowSzPwMERjko%Qe# zxG=kqfgxiYXdA}ncz3ryg2|DB8zxT&;$3uQBhVDdjf}+1$FAbt0Gn@)i7**nW06v; zYczz}1O{B3cIqSLR-1UcS-3OpZrjx*U0Mma)zXvzZaXavsI{!Qqcc053z%IcV)-p#(iluTn05x7YG{&z%?Z_OVCUalU5dxVj z87U|T|GhcKTn2%Qs`U~WI3yKa`Oti5{m_RkEP|{Eig8V^#jh4mu1Ky|%s--J0U67V zB%M5$+^sfR7LF1%n~Cjfj)oRgU81O}52`n!DOR`ZnsEKMoJg~O=>lo`ML$useAk)c z`xvy;jV3K;IiPKuT_`zRdN6Cer$OV{(>mhF&bZoiNA|{XwQ0AgwHkA7?PhXoKmL~c z?|3;ZueK$+<@HY8-S)u25y)FDmsTciU%{Z#^19%Dv)RD>vD^E!R)ZRXw7f1j*m6zF zTLjmvjpm9yE!VWXE{G}y$$E?7#b5uHe^kyjMUTOJscADol)j^`1LkUva`{$}#@7#RH6t*UAX-wpA1e~* zb3yVn8rbGDM?z4F2mR2PBkzJ%TM~kT-IyQ=lVQ%;41K}}8p;q9QcYsXy)q;c{T!V_(K^GnB0$U1({4XU z&ae#@ib^$!9eS`(l!n)T%y6A33-?`;^K;aeuX^f4X?Tm|V4WyaBt>$tPBh=0C#e$+ zn?2GbGOn|FJjy z#V`GDqHacAEVt?&1tRB9$`8b}8%~3-<3-vH7mT=jufy7MxLAeLdsLBXlzg(jMq2{` zdJdBYX|!^%TLR`EgLc{2Toe7CWR z)C^M>%NkK?iMF|?|JQtdqcX8Jk2ahZo;kzLE2NP&qErYYZ)lXD%5diMO{qPoBYL`% z7M@xNGi~|S46qR9XaCag_**~l$))s97iv}5pk}I67!q8Pj)R7hRM!)$utCj~RT!o{ zDiIN3IqlfxJ@D|qu%c29XP0q-!YSM=n$gW#c%$=Et%Zb&<1Wxe zNk&b=(x@wKjaf3yi^9dVJ3-mBlIg+5(NCax5t9o#o>np$=LOJnQsMw+KkzgfQ<@&_ z1vtYvE)Pa`1uE=TGCkW18xllG)Sxz!+C6W-9qx@1nI14rwLLn@8_Qd;KnqKasqOZB!g9}2RZL7ZZXZy`>(%yNKJc5{1emgP@%`ck%7F?FM;Ih00__y>HV9VQ6 z>bC$p_>;|#KHfCm0_++8THXTwYq!WOp49l{eue*yZ{m}G=YQjyzE6~b@9?%Z{w}Wp z$JKyfAQhDgA4@JOl?OGdXgfnrQ8!xke?S1wLA67!LdBp_3^h#$#E{c!m;(f={bf6> z^=8A#q_IC{pyI_zCk%mle{QG!WvFY~ACpd55dn^Q42M|WduAhkc$~j%$Ns`Z7Mq*> z0?%$*4nLRZ zHu3Fte;?)h;Be%S+QVXdh|Mj&#k|G?Dv0=PcN4#(EVY&U;G31#^^Qj`ydcder6tk3 zQE)5J$5`H$BG`7VG;)(yr@J;~^(;r1?&tpeE5CaJ#T(|y(Wa5&O~WoPv>l*$YioCT z_iZ<_O@rWvuiq%e+lHgDG0<(4;tgFvdX8fhZxUh)#oJL0O8gkwJwM0O7USl4Xi~hj zznZGa1p!L4yAoy(328NZv7)$iMG`ezCW$~_3}lj|x^hW`%xvwXLiGW{Ns=$3OY$$$ z!8}cP9POG^SuS~4lJk>mF40)pO4hdrqwPgfrm|Bn`_V@YWE(x}wz-L^Z&R!c&5C@m zNcph`(U;*&hAD*6Ou~Jm@?@Dyuei3YGlt)}G4#KErZE1^jH25_`-__+Sm<)*FL+A$ z3+&kq1=-s$cZY2g5i zEmr^cK1y|1K?0O!zo;gK(w#^ZV1u2-#xA6n5N4bqk$z z6t93*xGjiDQHM`ZNA$bfT&KziN1_yrTSn@OZCN zx>dhDxUA-KB9O+{laCN5#dGMEy1;&z;;B$m1e%z{z}pSRoCXW6A%_LROI&M8!rZp^ zJ3|f&(4?Xr5%+P2AyFMkaU3n!GD+M>&=qvT-1Ph|cHqsHund#}9bmZ*owqxfIzC0) zb@%A$30~~CM`Q> zlRQRm^XJA5nQy~@&BL3>Dw=|-^M*IA(V3YC!6U{Tj&U~#B6CoNflly})A1ot1y!=0 zf}$;h*c22ZNUnmik)X5_g(66!HyHhS;oR(E{t~7*;n3tV3SmUX0Ql??FwMb$6KFA zu1I9$g373c5bC%VwyG>Bex(V*J;oF?LSmbZP;X^HiHuAT<7HT~09}U|ih3jqN@V1R zKAv=p%3;5fp1Le3X3!74*^*8hDvTjkv!a+WKlFCRVJ|#1m`fT#O*OhCNJjJ|akA}& zRKMRPR~VeiHF4>s0wbTLrW*Y;S8H99oMKe+m8AInCJAyfvZ2VDf{Im=`SzeU5@9GM zaU&UZRFc*G@!5O0nl?N(9t|Cn?7v7!5oiaOf(OQ$)77_zcU71f`dh#32@gzNd;X{7 zgnF_(D(b*i1e}*UPn=r7Pz=dcR04W7*54S?2V3XzaG9cCJuJTK#GW22^nhLs54ZAa zCl_`l4{6}~$l`&%Bz#r`9cR&ztc7qS_9s0`?l27f!AO*GN_1S|XshMvaL+{kNs~ z?OhvLz7G<6%b)l&FRr8bT@92ge)r-4Iw(UT5O?cTwy#X_yCC|nm_A_U0#mA>FtT5m zeOFANG$$9m;jLeoeODwGsHREZ;?P4JI{U8ZW24Oh+P>v1&ic@N0Q?*a>;b|P3>K&b zdGB2i3@fH5(a7DNWA7D@|z`m3DohCN+X*-HpiFTHH1x4cDGFz%pI z8n?|Mh4alo0hG{L(+EQS? zH{;!LGfuljt>T~gQf`JX<$w9Cf8*oQFipJ~t?`@(w#@_E>@^)P`c#`7weY%a7}X7D z^L6cAYyWSTDzf7v3i7s1;@@AwMWf}u_=pSA+Ba5O zeelQM@EiVg^#Anh<`URowqj?ZWd`Nbx>F$N5Yj6+zXw1kjbILJFz`~V?160A@HN*v4JeNT0_KN1x&r-nvtkw4 zoR%0d%9v`|j^})u1Dilusx)q$#C@U^t_L>lUjPJn7U#ewXHZARxV?q~o7-1f|M>?# z;oE*F&=`ev+@Um(C&BQTo!FwX8R!idezOD9Tn*o5oHvH9uTW6b2xgc!q3bB^Z3Ht6 zk8(;w*LNs-Y6JzIbJ#l2^>qiYPe>dJn6^G3oiKa-V+6vp6^TF#26a5HC4U+KvzOmE z6kWh|oMgLX1;g(OxDJNi4zw?^xc{EhNo&23f9PCF?OgHmJYiL8VVtm(X2p3b8Z21m?-$w>*^zM{2pifdf@t5G`6R+X1`~ z?5_0txm@7Vq?o{KRH#&45F=A3fu&bdHwosS1HQMgm|PymkuH#_0W^O;QZx;J?s$PS zQgnAn(X?CCdeSpebTdfNANqaY{D!X`YvsKKHiA;i+|%X5zg3b zB&AKw*Icd{*IldZSt^OZ@Wp#IfF{%weY4Vdo30;fmaEJyD~GEhZq!6qgXJO6 z?vU#{I*qz$KE?NU*QhHs-0_3is9U-C=)A4YU$!H}X#18WQZFvLUahi;hYwxAn(E!5 z(H2d~yR!N0Gia^Fp;Xssqpb^D->nOCvp$fv1N(t0?4z{PzGnJv|J44c|G@V|ePcfw z9GN=Yyte{p>TSn-1+Dgo~n5$4m*CL1J4 zx)UyWKzXBL(I^~j@p1uHTyh5*EU98Y0s-nsR<}p`w|I0wO6`4=NZHriVd;ndR(c#)#!{cKI~s1q z)s#AQUlSY@n)^hHlk_lD=b0@n=O&0<#2|sLWvXrwW)MoK*-hnM&x(YeE`eB>ko2XO zy3>A8KdZJcoJUUd;0oUVs+d>7+m^My%2w4jTQ|+RDbc+=+p2r@P5TK0()X#~s6+?$ zg;u?X)2&7B$?4Y!IT8U>!Z|EqW%oHiI;ttEC<#%|SxQ|Mb2?#!l~t4jfhtOn?XUuj z5;L6=tK!dz5(QgG%Lyu0j0&z6`bxv72$z$;DHlowRq9LY%?U=}No>rVGq}5)f!**C zH(<^g+zx~BFa7#I{|i4IzK+sKJ6nlU-@g{-5*)W^@(?6=*BxL$OX@#n2aZN*RPGyK zKy~PXP(7SMNJ5IeIVScM8dB)%xZvOb1MziSa(IFPwPTS40hDGJFyK)Ak~p|P;4TTI zR=uMAc4-|XNs^wZOQJr5Nf3g&o4tB4Ns=*Zkvy^TLL`?K-6l!;qD6AMGAhS4xw>c` zk|aR|mjqq3@=t-E)rxhKWWGb-nIy+FE<9Sw)f-Kc`4)jg6?aC?lMJ2B(epjJ?U@Yf zfsFb^>Y8-pYW?>XuMV`@-J@`~hMNTSblg2kdrgeAb^oJ=|Kom9Q?Ecbr2=!6(=+)+ zEw3aB6skrW;ZaPC6b-K;{g8cvd+BH0Df%r170Isxnp~m3fU_&VO12wEC~ZngEBqqi z3pu|)!d{XQOWFyjXJ_Z`oSkX6Xm)mP$JzN$fBBRD*Ea+}uPF<6b}WH`GO!re0E#Wz z6whraK<|PFr3XjT+vvE44SV(}xRk=ayrSf#tWW?m?-cYoBt$p9aeQZw;~>6l^kih;6wlg>6ATG1riwipf1c2x~#d7TKQ-P2Y;N7 zha_u2M^codNuod3_|q}Bsm^&%1~=5Uc|k=})~H{G9oOx;y0O6y9LVs$&DUXk@1`Ht z>lp2C#>7$9SD_Ko`;y=bL9vq61?pSX0kVO%f)5lW4!bVf$*#fH0MRO0S&{%$W^$x# zyF(%%a6~%cj$grNB^@8rBCNFAi$f3ciio?@o42{7k;Gi~qYxn_C-{t1Tu#h#*Qt)fdtTE$ZZRFJ!7C@YCP^Y248Mh7R|(pH5|M}%a<&PO5P68nc-jz zv?Z}8qOR4(=HR3?>Gj$s{LvN8P9)cs%1@FR4&I~8Ue{b6ms&=UB=harZFy<%(^2zl z-j7R;Ityyfz0xfx8W3H}%E!b~-A9?C1F63JZF*X_d9h zN9{-^ZVpdziZi5^ z_V_dJ`lEB&U4 zU)8)0W({?}KD=9Z?l-zLjQPJXnei!q&(HBq-zQ2WQ|qsn|3%n3o7x*RwPH-~kSBL) z$TiJYw;>n9g^&H-uY2Y6DKS7^n1?)Ea5|~uigkoCtYIT_;e&_BiYlDiXuCyU3A2Xa z#U>J%1sN{*iUr_1YpMfNcX>rBF<-G@iwB@>R5@8tum?X!zO#Pr9~BXmezqI2k#JbN!HMh zUYawHsOcaKnNL$aMgQe8Y>xRwFnQG1a#V#<(Wug6yMNwFPRYGSn@PF~Vw*p6vx-br%0(vtZ}m8&=X+cYmYhO2mZA`{$0QL z@x_N!XViU2b4^L{q?Ko5Jj#QF7#VV&9tdxjutoGr#{%K2ry!o8>$9f6B15W*dN9It zo$i0b9f?o=o&Sw*;*)>pf8(3JPn1gWWSb7XcX3=~-W^2fRYPf`aN6J#%$t?IQ9m}` zSJ{sD6$PAi#jBvG>3!D;#A$y%9%=s;HI{Wu`bHhEw12H{ z_{zuG$h9d7KsZM443w=DOpV*Uqj>#zNj|HL1T z;{1Lc5UdLzAZTHs3Jkk(F7?8?&^HN{x83zrurBnFu4gdpB< zG!^x@vqYULY>j)ik;i(&krpkfyYJ2z>Fw&)|Mn@{__uzwi@Q?@aavU-39YQk1mXx) z)RG;r+#T8ofe~)QW_jstYecRnb0xiAR zn0q0Ci92@_bmx;)r%qU&xShYyMd@n##WNj4s`)Sf^=H53--;%2?J)4FKyNiVY(cXn zut85d6kq-#ctE^PHL+iULsedB`wB0=gxICoXQedfC?CY^ySfvLfswCRm@vVhWsL!` zqF88O@w8baO@0MX3&tpy@^r^fGQOTG*U{T z-R-eI?BH2lWw1LiWNCk)Ld}L%bX&5-H3$UIs_LqHLZ&z13h14W(?P5HFvkx;t6X~n zV~5u^o&N}RYlo{9G(Z(8l5mq|5Uvi&fN|laN+&E-sQLI!P$r;Qgi#xuZ@&M)+&~4J z_isEQ?2yAS0b*h~>3IxXMtO5fl!kA?E9-v31-{+*q+hxXK-`MD0wx3!To)!pgiRO5 zrZUo&m20%Aaspm^0MKWC@vr&6KN2;E7^{wam;*q%h3llHgm~6Y2iOWeruLQf9k}2g zoGF61pY|BK(g^ZlazQ}&WT|653#qS0kZ)ZPT%%Qx)(K|HCT_){`M9~@2|*GP9q5ff z9#b=9A;{;*1<~}Ut_Tt%mYxeidKg7e=OV+1A>pSPzYt{b=Yn8h)zR?C>)CMj5R^&? zmkhgzOR71RBuNYElDL3N88?rgBU8MN{uKi?27fLIP>%D7(OwHC9(9D$n)Lr%61EsF z6<>MG3sC>hB_~~5gvuvs>ppyxeu)`Mj$RX3fK_L-~pynDjd-lPG<1H zXx>;CakTouSg`^R)*GA}eE@M|>K~KA12EQU)QKn5+>cmM(0&aN0WMfIBzpGN3VVm3 zn0DI)rn0m~K`^*=BvWwAlLKWM!_&>?*upS5IHpB6Fl6hfayojxJAsDmy!kLmW^Ctl zLQkRMCR<~-Pm=lmkP=2E(RQf_uuG1*3kt|iY(6Y-42Ie1juSE9>|w+rb&Tv0vMy}o z=(eG;b)OT_uG}XR|3>MdDx;Yc{Ru}uQxodNrZITUjB?lVv1dU)e zNw9~kd!#3@vq9Z%l9YhowlG#(iUip>-`cJ>zo~K=Fd1Cq>|=L6UF|Y}$yDs-aRcsv z`p0CK;Q*Efsm;Vpk&Z`IxeP3{)Lh5GsS^CxoNZvk{H@G}T5{FK(TTnO?h}eTVo6^~o&Mk&D--5On z{_2;1?W2!=VyUcDD5MLlui1Vgz`BK4hM9DL^&QD&Ac$906O|#Df%P4R*&w(S&|1c- zWZbv{)_o@I4xD~1UY>z=il_bgq@+w+o9UG?<@tQYrAqsAJMEA2&527A@>J~t8sDY; z>3PNebgyDdFOFNVXP=RYtNu1zFbfS*Cc$rzyMr)t&ga`VTW_{+Pp^HOc8lgv@peMR z@Bhue`?tI?LPf12b^GQetkFUb=vG6;C^w}e>Vl<(zK;lo_RR&Oa{w4_Te?fF<${T` zb}h|cxyG0F%>_#nCff8Ye`;UB22+o@;0{Q+M1Yu*VT5%dNQLEsfJ-$Wd_<{Idydp) zMR19^mo(NO!5wa)KLCc7P?2=G^@SX@t!h$-s&SKDGz1or;(#616|GWdojH zQ=~T;10G>#tnfC!E8)1j*2-;Qp$Ik;4N1H{T{=#gECxl>drMHcHBHlA5jzL5Ue`6Q#y0 zV!mXl*FO8~8dL=EtbZ2+jdmHExsle)EjY+!ugy7FcO|o{gU)@UipOaxket@cx95bR z8(0&+2)X5&Djqdp`Tn4;i);k^MLX<5aN5_L>>h{>MI zB#w^CHmMnwu4MHzUO<=|!R#}fR(ma7I>2erG_hN`x^4F`6jx`TVIZuw-X}W%63Q6e zDQ*bHmA5BZ`3$=i^131kP@_J}QCm#oRIF6_pyn=&uWr{7y8c`4lC^(%p$g@&HrQvpqzRm6PuJsh1ETlw?VlTw$3m!AH>w3(&<0~u3a^hjCA>H z$5O!R#HA}z1c8?G0c#|>)6j&vq;sNe(!J(}8a6Z`#gjUH>0+ki3%OFVynKVxrd*z| zywb-zTi&2GWihz$kt#WNDtVYJj|Ys?8@OUVdpq@ndDq{Y1w&?ccN@vqQQ{%&+-!=l!{z_Q(0=x&+d1R1TCjS( zy+XgRx9~pujBo1O`;l8-(5>dy~ESQ%s8#yEehkurg< z6xb+VcPOXbpG4N~uB zMZt?~#YFCl{Awe}=&r0-$bC_0+pZ{&p|2R^zOY8kihRC&MR2$pxi6gHR#2-*e90wz zZqdj#=rdnPu`#|ThLBRv;-QCb?yM>9x~*9PWeg7mf?eMnE!Z*1T_N{HpLP4omD$22 zK|U`~sFp9GoT}Gzp^NBltEg&sbTA|lFapnvOzZ#IRy@N9({PaS?({9n6M!uai@(b_z?EBv_1_=+& z1*<|Ij2TDzk@@CssTG|Dk0^q7u?IWOkT4uc4I_~QwYFP#=W0l}gpnYdv{K>_%#d(| zk+7k4FjGUq5k>ZlB+G$m3`*&n`JI^@OKw6D5NN^Z)fyn4>rbhAw> zt41|?fy8dAq1@z3>xn^`?um*o`w&#(dZ2zYp3OZ!_ZjagGO-_}6Nc<2+W(^Bt0kTl z_QeFLs+SZI31VYl#t}lX)Vd0RMrxDWm=C_f-Lf?bGj5zeG3lx{Txs^>2g`QET;gA* zO>y!|2!^YLfON)?XAId}7YGTLd7~L07GPbbjfzMa)|F-E31qxVBwgP9zklp=KNuLY z*0OtiZo3|5ee(Hb!z90Qy%+S=);m#FdgTz6~9<8wG@YWi`t# zcs!D|QK*}a=yYWoAp{w07D2ESOF9tb3f2h|f^=S8uuQ(%q0Cq7a6*vqRT13n8I_Wc zX)W}P`OpkDUGTKqY^BO}kFsga+r^4Rs9g}fy5Oqhidb5s(hy{@>4HfAfi@c`?S8+< zsH*x`3qtLZ2*pu$1j!vHq14xBG%(bd&9Zj1J!;AFAS<0#t^ZyZ7WJ5}Y(jOHbIW;~ zMP)Ou%Flf=dbDHINDWu=&QuX&`9~()Qt-$8McR}UQpAGSq>8Xd_zL^v^!FW0Iw6He z)$Z@o$nvcfpb!2V-}m7k`_DpyDF)*{xFa&J;^33O)q3WcP=l3oT$6nt$^o=@rbg_m zriJmeUXnit9R;UdrznLQ%vU@?8>`?7G<~9&V#N%Xp~VDQJsx_wZki&`(@XMdKkoDF z^&3O2vX*ExME+_}fp~qRF1*iu3GUsiqQO^_Ra2Y{kDV~8HmwAV3J)VLbtw}d4B2?2o)9bQWGtxJ||D zlC2ed1mNr9b)gX292Nb_y)F}kzMw|~0-!Zoywv|Fg5q_V;0Z*Oc+JVId6L94e54{M zUY85*_w?h)(6zPx><|>M%LL)hD4!ObC6tVb>r++~uge5MOp0+2JT!{g+jA7J%LH+1 zoP$Ca36j-{*HcBR1Oh|X?WVRVYn{*mlhsDv{VJt3MMlr9_KX})=+QtN{+8ZHag@^g zs3i|c0X3*P^g&goq(usqeC0?82%nB8m~}p0lTL0&0JRvsOc=`hOMOT>KDU#?!1?Af zCFb+1GNq9^Z}G&_a&?&!F4nauM(n*9Q3BW2G1Hds(=q?Tm;dWOvyOpqS74LNH3#;> zZh@d*0}_kJO~=?)fS9}0Mk*6Ce-L$y3xZUEu_9L7LVwBo8!J-BxF8t)j0_3$+0;1+ zK|VM3>y1Fp5nS914oGqA0CNqv(=H^oz=P zg&iVLUdmW=R25R&s5XgS&{h)`^`>9c5u^Uw9oGL$(T|~;DV@SbSCamBP9Z*bqbWp8 zXiG0SYGh$bc2?9$ekLRsiIbemKWI<-Z7I)b%l9hJ_in!JJ)c^5-;^iO9kfDEx@E9L zFA2SGTGQ^#pACiTZjatM3T{@`b{2xXu4TpjRwFx5s5ax!-ux!X=^CSXX=DR4sx{HY zieiRM5NBpX;Fe3PQV&5X+;oogBfLkdr9FnZDB2Z*VwhbJP3q#H?a}?O4q6COdD<4a z)H$@Ch#Z?J=o^NhC{LG!&&Mtcku){ylG7EPOo0=vg>i50?)exm`*$Do9s}Wghf+cD z;4ySw(Vke;RUkh;o*qd~QD;+RIx5dqT*n`TMG}`r@6+P6Mh}5Jbn9(rs-MQDF1ccB zCw+7LpISM;{MJWdn~URmg^C{yAPkL7lIcmtJUTQ`s^rn8)FjFDC@*$6J+ayVQElvL zl1$I?BJurWj!^qRrH6R|c$F2lc$m?p(fLK`X2Y4{w`>NL@aTgYNx^_N1R#x1xVfdaZ8;CZF}G4QU6J4V_gI1w)6 zCg{dMLqz()5U7qX+v)f6=@8i(83?geAZ2ZsI)3%Ktxm?*g=2nw5pM z1j(SNm^hJ%ggPY(j_n`5`-P~PsU9g*QYlYQ3>3*6x@V@Lo$0?1-OV`QC?OU^0&6kCUUU|D51&{74tmn@~-v1d;j}#&j0^?`piaq z{%@bXzIX3$U)J?m&)QWhS2?R|pD@>5u&-jwD74g@bpz9a%6EO1?Nwhb6ygLN+rW6AHHNJHa-;ECe+cla#3jrsl|NR8@(aMG9$ z!HWB>O*0BXdMqx8GLm`fsEH5PrT5?vlbi%{HX6zJlB2$jdeDz+UE1IIj<O{4zta*vcvG~DmyIO zVR$9xNSl>;yo;;#KqCz7ISu73eWI@Svtq6gUX|kSZ!M*A^>=Axd5Y%cslh(&kN(;J z@^i-XuSX^rku8-%3e`v?19^cw7g#`~oZD9C0^cfA-(9d2gU%RWqH%nzct!pdynv#? zLXc0QubA?$7+}^2QiHkRVT1ezwTMn9tA7kNSP|6G-Vi+b2>Up6&c7bFTh+3d3v0!o z5UldA`@`-a$7cRjJ0+7OAIx&_EqD?|*R8go_{mvSM7ufv8Z7*K)WuuLe0Pq8hMyDW zD#=m(S#k}DwNC>+PhxB$>!3TvGPNqT>jGMJhvR%CwP64BYLEuut~gY-QyUlhz9HS% z7PFy!tDtP87}Q3gP_DC-*+Wk;$NA=h@f=%=U*+V)+J9vlyH)QERsWwo48Y zxXb%fB!`&hq>5c6uYti`HSUD6T7E~Yk}Ln0R^a& zniap~V8bbGr`IMn^;DBg!AYmv^v$cB-uWllB{hARIY zHFK``IX4Bl%7mgyuQ=>L+BVox71fnoTyS0($`9)OMtOz3dg|i32 z4e9CYxH?C{sAjIu0wD)|tuQVGV#un)WzFM?m{HbzIi39KC>V85P&!Gx48DD45vw)R zlY0TwI;kf1czm>y8Cn(7g3rdXVhc0}7$CV*zKUg)jVM$Vo5q^OR-yZLqcd>v zqsOoh;V)>`%(sUcT>@Vu!6g`~vgG;x9L@*SX_=v49UIE=QB|(0(K5uTEGWuTcTUjg zp=#6j=B9*;PWMRI{=u`)-fA0%xGo}{Tpe6A`88^C8|Iag#OdXRVwd2lIP~@A1idS2 z-QKL5QtQ)}?^EkP_s_oP%|AP?)_q3fdEJ?1R4ESi?9i3C@)S;}n5;L-jKw8Cwp>4zHVB*k~TE~P2%&TH_a0ayaJB6aB{ZeN)kdx++Ta0AX^vjqpxwczPLf9^OFpmx z5aoNhwoGQ56I0dfWx&^HsnD}zJ^uVsDtt^!g%hlbc=M)ZMyyJo+W(gGH+|o|DADLb ztv6qkbl)J|O6_~*{&u3pl3E)h^1y0Xfca(J2DwzQp z$@Y51to8@T<7Tx#I2|{u{o&!b>|rUt=IY_NqpGjeaa5a`u7l3w4%L53b0r?9d!?~6 z!y!5%A?6r3U2%MLtt{32Pd+$5H@llnN~GdYkA7PK#q%(D678jY1z*veuVBvI-%B#c zfW4-;wS*g^Z(w%EvaL6wg6IS1gu-oA+Iig4;A2Jik`SvhE8R*vzgs$dtmt08yNuOi zThZ0cM++q~A06h+-uzEK__1F-9$=-sS?j}BmR8Hu46wG=J>zfm6M5DNRmMDJSl}s&os|J^x^4Q{w!k+MG7|;$|Nqz41tW;p*mEHlUih zmOUX%d9;&X?|Z`!eC^vqH)|2nqad$gO#DwK*Ia%XM1f#mq;8>wUujU$7y?nKfEow?fUWD~>_b7BFd{xQrs6+tJPf)2HEc&tcm>w>7<8qoN-F|RcQ zMccY0n&N>0l4IjaHpdoi>yiNBplVIYv(7Tjms~tym)s*QCu{C^hc29!+SVmO(+Io{ zE)h<{07kZJ8X#JArun$eUe%ZASHah61E{Oop5)g8ft}Zf^crday^@JV(oISv!+BH% z=E*NOTg&v!%98v7;K{Vkh&61@)otY`dECdj6X-90-B16*gfi1W+i;cq!XRlxV%j#W z{4M>-uk*@3=d!r2`d+NKndUe9EXzRjH&{V4F%;#uf(Xi|ll|)+%Y8yOS4keU7Zk0m`=bH)fP1#P9tZPsSi(CB<{T zdgp^Y?a=7JgdeI64a=jd!y<@bK2nbWZ=@+N+hw`NA{e}y-Fc5xhc0N7hd59O54fm> zSdr?`1&v`Gb2NGeLsA{OAaZl8nUMQ)>jQd*y`=dF&K1QgkfKpdLtum~Pl z(19j~rnSH$1VtUXBmb#hP=)nQtb0Hq?SNC)zSl8wEQ zWLW5uhZV;xaLw(;r#O{gE;_;`F?IlKbaHfHw%axH{UPx?Y=)d?_qXR8RQhjV5{!8& z{i5m)ok5#y=V^HIB$;o~!;HmbyrW9`>g=iRZ<-%f=V!DumkOI8pH!&NjOdzk8zJ>u zg;!vwYT60=^-u_1y>6!0rN3~_SBE$+|apTb2 zn;pOg&6<_fILkl|NcLFZ+G^}!gn6C-8(7nhAAPb5uX^fgjhvLLjRci%%;vevd9fb# zEr+=-nLgd?-n;8y--b88AhoU$sdX2j%L8$i+LQa}>E18?)BoBJYyusifp?q0*gO0c z+4WA`ol6D8_&^J>8*tOfOQgW($s_tuRPb;f?nnVchzf$lvn@VQ_gbm|SS=r0jN#^O zrXwdXVr(&x4yt=2-9X*zE5_Jj;Ku44Ld{uP#ugvX&ercMj%q4ug;q-?tz^A*s+CMd zWkn*Q@jrPNKgXW0fM_8%SjW;}bSRI>4mf;~k?ZWoQjtHB?2AE$Ydm9>v`rxEy&_*iha{Q$@c?(U^xX-Fh1P4Rn@l!fFkm=> z3A{E2-Pe3@BOlhk$qHpduI|CrKxQ@QsOw) zFOfBfu48P6CX`fKNUyYXk(9k~0yHP)KEZ^f&ZFH!^1Z*~pZ&FOjadtdH*?kNaM;%Z zsu?I@=8V{$fiYsD)f}>0lO3bniU0_)6*^-u0hH=51gU!CR}bK%sd`;-5GP%snlX%5 zm0urFT3l0Ka~LU2)$5YONNE~ImmEe)(=xi`@d&0DUQBeKYK&n2-{7G|1Cx$w7vb44|xECVkLb4_*`%J84H-X@Ziw?W{6l*7}jAqxo*(LOftMH zMU~)?Lc2ifGUQ{GyY#pnQ5Ez2L_m;S?P7c9GgzpY)8z*;LS%>1_ff~FD&fjqnD~VG z_@v|GeDhEV>g+jn2bsc1)5{NofFcEw;WB)g_MzEaJy?;!-i5h|t_7R@ z&dYb)d+B+M1bF7{84Jen>5fhca8}_SsqPTbey&RfWUj78ZV^SRI?yfMqQ^aE{OYgx zm%j6fNVw|kZZCG(ByaS*9$6n^(q>~}mJj*1=2xAW9@LhY>|}&JAxO*UEABBh9QKYl zg_Q+5AxOjMf+yuYW+4fK^u)~wLE1$ZJY)D1{1FJkmMW=Q2vV;VL7)?~G_?b*T(cq_ z7Z(ILfSq8d4eemRE5TXzlZHjDlhXBw$&r7!Kb}HWxw)lwH+}v$tXzEZef~H0^nIdK z3Z^`j>VJ{dTU{UkF189uKFk44wocr#Wb05|1M^IL0mikesY~&#me01SQ%FL_wyLR% z)0Dr@hb4^Bx}i-YtgNza5Wu4lwo$gz_i?`HOpf0R)LB(i*AYbN`?PlH`!t4Wv#zP* zVijX3C@tBoFBxoO+B+SkWsmiUp6%4zZ%NPcxF7Z$J=1Q{VhV2tJpIIf^Qk}nRHRR} zM(U=}p#^BF0q}vQa11S21c6*r!{vAZ?MyB!o;Pd{MwoUQLkl`#1h5L49E=sI-F(IU zd9{Is>jweS6uKb0m?VjKIBpTB);J03KNrM_5&B3g3@CFWNX6!YXAJET@bm!#n>yG! z>O7YOnuA@$#AsmjY_p^oiz11<34V@9np0uBI=GM19%WuiqL*2HfF#M_%GU(n(NUa$ z{SiG~)zN3!b~Voy6Stkom5tYp46L(%an9(RQI%bnJ)>%`GR}MieR}dQcgikcjXC2{ zKjG~^|M_o?ilM6f);>n7=B-a>528=-Aho!}Y##@0cx4|EF(Ebp60FCDXZtuf%sku2 zGD!#CzSSVZkV?hSE$MM^mU%*}Jy|+=&>__s<0Ck?vZm1S(J=tTv&Di|V2zlhgF!|e z?$C^-Ax)hGTA1V09pjMwmoiBQwCt$ZX86gdZ=eR>aOle<9m1%QJWM`=RQhuAvPlMN z1}=R8m9Z+@34tnmDBEFV$=8{)hwSmZ)ykmsw8r_f@3YFDj&vloEwgfYyt4NQPtsYE znYUNhGc`F9nYN+h!nt%k)7O9Z*ZtJj|DH%@Dqo|!7rKS|LngIVe2VQtW=*gOMw?oZ z+7ghNsaU11XM&SwuFfE}we;xqk=mkxPHK-Q&=WF`ntu%43%@)EC`if70&a9_FORqv zE{SP&#smSt0h5_|Nv(YO1)4l_b&r~>WN|@iD|v@%&3t$A5LwC2hU8>dASD8>keo%xl|e#GSuM>gdxw`pkqR ze1myyjT*>rZ0OTH7~mSgN}npH0?JLu6}E~+a1y3h4!g}kx`&Tz=!<4WhHI{>5X^Bd z0~xRhZ>Uw3;3RCt{R*79%zI)`rwktrt)f&CzTyG1_GHBq>X+@0$@&!Jc~GMW08fx( zaVW3Y=+hlB8o&o&{9db~D}73Ca2ySpvQv!A9@9|@9h*Prd*r932H%?i-+FeKrIt)E{WvPXKZK3(FZ+l!N? z-J;eeEpgKI#7RH6dFlKA`;UC$2~c4L#>zn-jsy(+BT{Xezq4H)CprFccgCPpqC;TU zH7OT@8UI+Oa07n;E6eO%2xk0a03|m*S~~>AuG^u{n4m6E4WO6CBpZTa*G&-Z3*cN* zSR;TZ^`Rw^V}gK@7*Em;R=5!qyKaJk(FEg24U|Olf>fXUBnwCrGfx!s;U_s7sTAAS zCM;t|)HTIOUXJx5BqQC2u#Bo>eB#0Kf3YV%<^B8|d-^_6qFd3-eFqCQ?Y!)&xP6gq zRo^bMlahOV08^^Z@LW?mIW(EHd}{_6n*74If6Tky5vd++dGOH0n}KYS58Aab^jS5N z?J^bSg2&B?d~Y1R!RgOb4&fa<|`hzThOr~Gy%zw)o4PHD$E7X7*V0vI-G*$twNA0%msl7-=OXS5Z)1i z6n=H|N|_3C$vwD24Ssd z(DxfUQjdXszO?@hk-krqN(yy}O-Snr3e;5YK_l6<#U^OvN^d*R>ByuVqLGVT!G{L` zkk}J{Q|flw@_p)d^T+?A_q-`GL0aZ;b?dcZbe0^TMLDFPI}1Al+m35a+kv`0!X;FX z$ku>frn>bNbD4dRqKN%3;s_o$;G%?z$h-u(aF5KBGJ7I(GDuMb3T7=zgT}yUO5+@< zZv7mA=v7UR`9(IiDFmr*UC?MsiK*KdGhGPQh5G}o5Hmq2%-2*9qY96TKokT&s4_96 z?tSwVFXzl_(-mf`JXc!&_bQd;`}wy|CjN~QP;j2iw!e$V7z&OIopb8(H{&tdBRyAz zEyzn0{?xF^h)KwYf;5l+@IHMKBeFpo?3Q5HhFM-C0c-w28+Es|T< zd68W0#gyigl%k4DqCR5t1GIdeD&)quC%RY$7F_?pg8AthdzR-K->K=EY0I~UgXx;T{ZXH||5qY@z>G{3 z8$IK!TX0==8;~LMtv;dzDsQ0eGNo4p(H0L~2Bin@N8^VOq|$RiKvWqPP@!xVI>bj4&o0wJ|e{rwHez1YK)L%yD_7<)+PDy1bN zxV?yA+AV4o`w|gcPekx@U-3hK>i2|k)bPwB0t?oVTRhM=M$YU2u#=cF+hxYl1q~R< zM#~LAPjxCRC)a{U6n%qQ>Wmd>9DT*ZYO|Bj;RJR(H9R3$CD#<|#Jttk=x9Qa#?eTwLzlroLn0u3a^uEK&goteE_C#wTfvhnV2S8tnLT5V`{TZ>F>StqhU`q zYIS>B(mf?{wpz&uikui?vC0JpIbU;z4I`@2Sz$>j8s5BO&al~>AjG*Ot!V^vhV2B9 zkp{&3vjoI>#hhUqWRcC-fI$-3j13s(kR`myuTNmG(Bay`4eAUV!g@t(0a4b;+GT9O zweZqT4~o_NrWTN7p&x1{QDx-m5ZFI>}MlRbsHDWh9ogho7e0!ntv|F^8_M0*7fBW0+yz-WS{V7vZ$s-Goz#3+ig)121 z!)ka;A*jOHZ3yHt$kr_3tZN{qmOQepc-nI2mZV;Nx|ESbK~VC@erV*Q1^xyUTxY1D zyLt2w#o3?ywl314eOHaJ} z@BXJTD_pyBJW}$`z^Y-s?IFw!o;sChy9WMmD|4%Jt0UE^uZZceCnd$f$UiPlMs9imdnf>ft2SO(7a zwct~oy5N4zNk~vrNX#1C+IHc`h&pvigQGJ&y6d)WN+*uBZQAH_IZNSD&1YFPc%C*} z76q{;*aX{~Q#W{?K|i3sd?x^qYR&5Qc$`Mt)AqK?1P}j3=Z)~0vS}1kC!4FOWYpfO z3v{G3qMi=yGp(+sYJM$u>GxliJg}aSO$V_J%{A*u9W4yCEi+kR_jvj#eDsQ| z|8;WifFdf@PvR276c4;HK@8rR@&PWOTb=R&tmG+!!vWZ?n9N6}gKveqDE;6QM|Gqh z41wwg%XXAjTWX}L()yOCwn-V>xr;3jCzUB7%_c4_J=ockCG7>cDWf!P`97occYnvP z{erl-|a9oGmS>J(#6-&F zhk>{osg@v*LKH2OBN#Mm6s@LI%8KB2fgqy$-2t5VwJOWik^{(H5G)X8jJBahFsoa5 zm>hf#L5$aFwP;qi@Pioxu#?govRyfjdV`IVXEg&=AvP$yi135|_prJ}k8sFdo3{;7Be}M%9 z8S)Sp0P+(SFn}+ z#QAWVpm9^zbkvl{Vh_f$#>!R!LxdLSm}z5>s@@+Q2v|}}7jbaOV%g@CL4tq)s3V#0 zPOu;VL)ekb@!b9(GzhTrXErp|7gOv=IXY(XNCppF4JU24P3M_!Py|amEyiR(hGemP z&EP@U@4Hxse2Wqf!cKaet2J{_S9lOG!Km{H3zXZBzDFOGmQMDb>x*9`xd)d7AjCl{ z_(|1$AN7UQCkaMvIuq)QbbAX__MyNO?n?PzJ(QPQ#HX=;a)O4rahaav15eTQe3(UO z$l*x2YK8n*OMNXvZj)cq;Yn+!*n+%Z))d=^&2O!l&#LoVRd2CosKSww?QngzGPO6p zkMx&nROWuSt2<9Ypw5qRJHC$#R&7dp+C3@c$C64wehgQaaA)=uVTx|EiAxJte{s7W z!(kq##6~>hHpjTMEnH9A!kgAV`zL?hnA?RZ>;y#gdsFExMjF6sf-r8z2@)nN+x44p zyHKxW;^5lcuKgN$SFU(oZ*BHP{FrRg0sdH9a>F^aL$GqYj%enPlcZnMdUCbf1&;%3 zYI;Ml7->!FS=)RPWLF^Uqa>0sbmg)KE1|ke!f(YCLQ0-D9VRWATI-T%o+h6avoNeT z)_I-E?b;tO6NPKSL6SixmE)7$uETi+2b*hxYq)k&P-3kd;{z_J9=)ZCf@F5PEQ`-y zw6>;}bd~ZwGB!e-=YA^;UHLpKx6AM{OjRj6SV)dKQ{g;C3%R;8S36U>zb~g9t91&F z?mqcn^O>p=;NFr+>JXV5WgFuuC>880H%8*A?-S1m$tZW5+P`q4;8o=G3{3mT1QC?= z_Sua=C2h{gkIjj0SGX}OTUPlX2vly2Y=;}eGGQJNy*bV+6SCBo8&FV{1Q;UujU#TD zlwIR=#H)%iXV`>dUOc14Gx9*?mU4#l$S&V`MtT$EE0%=4@g^=l;0TJQK!kz)um4vc(;H+X{tgdPd$=Neqq@rXgrV zv(bLZgp><{&5;OD_L81Ev}!cGE(lw$o>AYZQrcY?JZ-mteR4(QquLfx>PQzH>?Nhu zbwT6JCk&|DODdj`OCDF?wxJ(^pWR_<6VJ#cOPkDT0kMlLznpemk`OAdCZ zif2?L0eq7FAaKx0swH#OiD%@J$7^U&RkI$xMC3;j51d+R%gVSzz`u=oR=K&DSN+yp z?;)Q?$LMv3GzbW<;#lF%~djBj|xVsSg11o5ZSrg%7>dRfMt(PS@f3W|cV z6%qa`;nNXKz3MB)igYi0Mbz%lO+%+)wU*IAc@}}98vGO=j6s3Yn2 z!(sVkjmw<;uz%^c*XoYBb)?1*k1JN?^oSYm~jTp}}22(-~7Z@&u` zu5A#2;#D39Gxu91-$Y>6A-v9ECgz@4(s;!wWc7+vGk$0+$Rac{U0}e|jJ54TT$aO3 zfXX%0gP=hCatM{f%$TMv{XX@fbC`)vQSA&luV4t8b8Ny)^i|49Vr+uipg74Y%q-Ki zG0)oQ30-nj7nX>pd{Au|O^e*2DyuK?tI}OCv;Mn9aAk@6U>nf2`qdu=^MALY^cn;q z0^>Cc6h-MtOr64)PJjo@V5M{AaTv~v2sD6w?H zV`H%e;~3wknv!x^(emOsB&EykP`Z+hNSoplxY7fdIlbcoM8MT7rE*+LZ!BFv|;hpDWo zIZPg0M}OsmS5UChs)r_<4hM8qlMK8!CN*>_jrsPV9OvhW0%G-xCMjf9kyqr>isvUE zO#j|jwR#^8DD`KfH;Xd14=)!bt4D$|whu3-uc|Q8Y4)N0)-@76dqTY@od)fgD{62t zhqW!#8PsX0H|X;bCzhz`&}VJUj~r}s)T7o28``FIVA`9I$HRd4xFbd#ua+sREJsueWBX}cyy08lgYf}uC4fZZoX2OTvv9=Pp+ zq?bwX>8IZPo_D-_@5L{8~qG$}^w({3ZVXvrG!}zh~16)Vzh|#myd$f39}M z7qR=!-Tg(b$sRANin4^yVXW)^iQPrzSy1aH$D;Ntpg$}2VrflCZiS{E;z+Wc9<s0qhcVCzg;V&DrkMj^Upn3(>=c9o$r3;3sJSz%B@?8J4MiIqhoTVMBAe3~{uIcvks(Xy9zA6=AKSfrG@4WELdvjIznfFFv_s(F&nBViCghkq~m0eYxnHNLq z=;cRp>y;NTpMSetH~DF-e#=j~vf{o%l^f2M{D8@Kg)Z@wyV5ULPPp&V@+(%e=RMIP z$h5mcX)D?5SNY3c^LK?Am>>I*&-%W<6|-D4Zt-x#q8Om;prkDWBctMT(jrA?Xh*ba z`)CGchnJr||5yeFlgpU1AP!OaIr5>Y2mBn%%t#DO=*&;c8JMWw<6PUEPR_tY{T`Kw zHeEtX=Z7xkdkhcm&`?(ym?+<)y+Jeaw#c1HZhW!n@H9d}?4mJds9yXBi@ilkB_Txwl!&VtDHX&AV4j=ShG54$dgB2y8hbE0v05gfxUB)#Us6{lSn%M-EeNO@K`BF+ASnKr6Gjn{_n;9J zU&jQIt>EYuV3dHQX4)qcAW1FD1kr+q)-tMB)Fs<Pv!I^jXs84Qg1_U($y1mX_#)DdY#yclNA~ zC?xueSs~q4?~aBYd^7R?%<(OcP^CKiedQ8_nCDx*I~a>x9dArQs3M}6fKY|hRGTsF z7PU6xwr&xR`#6J$fAjDE`mgybho>nS3e*>rgSSK6YgO&uC96HTzRxt0U*=1 zmgiE&V9kSe*Uu3%W7JB*P<5K2aw#La+R>QQ9y*sY2CFEvyXDYBRTQziE(tDNVyD>- zw6$=>cD1Dpux)~dhLV`a*69|fYv^mD&@xa+$%UrjLQ1|p1_r;Pkdp7ua3Q6-L4`P{ zuaJ`O&_E%jX|F;DI;jkBo=DuP`nzgfxuIjqK169J!o0puJfnnub}Qq55u7x|X;v?Wt0yf4 z2-WC{foCLf`OpxchizQP`NDYyw-oCNcRm9RS=Ua?=nwJ@5i{EIb3LP}R(;y?tyO0v z_@l>v_(?C0MS?-uyX7>`I?9sTjt5Ueaa)cA2jjLJ2@b|>IT8fV$JKFLjsyqewj2qb zHYYHLNXQ8OnC4gKNbtPbX)7xTLL||4Wh4l0c4fCktBT-qE6GF<`Xm_D*?c3dGS)~t zD-tY9PClp#3cVSMaa%NC{99I*suzJhaz*KXbw@wMNDtS?)Nz%<^Huq`PbU725=lMw zRI`^@aLgXe02|$1V!^&?XiF^U{g|l^7R9ZrYh_U>s}q~uko$3u1%KpY{@0J+kHOk7 z_k%VF?%nBR13=tU(HyL8B{I+TRyrCo7%K-G0R3}@HCEh#mkU-c^F!oVBgKNi@-~7w z)CgjX%|;M)dBq%Qz<~pz3^oljN~GB&pJNpZZua0Ar65zwVtM0N=QsoV;S)+k0;q2z zSjU2earB6kaVwc&Z2>_;bj=Ht&$5EG1?MPwbZgAv5d1wa^jBXw548dPS6^k`U6kRY?dXB>>aX7hvjdwFcF2Rm{e%;e4xJ3Pf@h zen)`4IcrPjx=GWfO}RXtwM7jpz2^uMQ4!py8Ww^;b_eb0cdidp5{Ar@dg9~Ji(LNt zuldyf_dgq})29ZAb*EyT>+~nIVxg)ol>CMt_BwRkkPpp7C5BYdik%R37XojH*TBL1 z$bvo_AlPJ33sQd;L7$0qIvh>4GS(adf0K#Y-J2SN^F#i{Ktf9~E46y3(mX ziy$&%lF@;lH9kc@^r!|as-^m%n#v-L`&VXWG(tdFq4JX=j)(!`(-d7dMW$X6@r7%x zo>~(e6I{WPjnLXt)>?G0(@U(ysPqNAS5^9@qvrS+rPuseYYnQ+TWyBG`R4QswD7FT zeG6ig%i~(>E-WhQ`1AFWJ*PLNm!>V>mr?oL@BU4{|DQ%iMF%-KTGqxo0W!Zn;l-mX z1T`Vb!FCy1)&(uM0Fri0K~ii^2vXm>;0cuL(#nK1K}V1elnd^`UnT`^%y+ZC+gOo$ zsR-^joKDYlFZ1YBU?E7oz2t({8%#m? zip`8`3f8%xTQ=aL7^s1wBvw@qks^Uo2#&LwSH5v=8AE3|BtB&|k~ zJa4fSyKXltw)Rw)2=8fk}up*_2EweOY4*y~Ll@DvN$_`|i*KkXK^ z7Hp~XUk{P$FMi-B|JVn|D*Xiy*T;`aJLB1%7*7R9N!^7=h@_~GmD#KLH>oJfibM39 zIlBWov8&lPb9QHdd~?*ol@LNr(PJ`!#jRHR_s-qAg9vU4B8nVAG*H+U-Ugdjr40nl zl_QQOZSWXRV0p_^(#q{`!(D9QS_X0#rDHtwx0Ur+?AtP*<-=n>%m4LT|FYrANvaGB+G1h(4*B0+X66p15h@yZ^4KZf;o%|VEFoE)r%0UqukX->gR{mR)FC- zhO4679cGqGU}li(ELcUkFwz=n9?`hk#ClbfdjLXBLQ;-*Zv?9-cehsdkppb!V3fPx zU`~j#I~^>S7(cs;a!B1cKw4T%6%j{^6e>~8|6+2q8WDin>ez38=(=k_06yQl%M}i zF{VM2m5w8})Frc5$nQa^qH+vwxViwr_uBAOe)J$ zcQ`qO+^;GmX{m~&4!^+jx%265Nisdj7lt;cs~34>eceiw>-5=s`1WUYytdV@*UWu9T!Skj7`H*yWGgf zIcht2{3Rbk3H&r)o%_>f<;WC5kU}XD4a`iqyT0%8M_eH{d3Aa~Q&!J%^pbx1C*JqA zH;q2pQP8O^+2$Y!(-(Id%wmW^Tji``R1gJQP0+!8 zap)YQ!ujDjA^=-&5MR}0#vG$!uC~=9F*mP`D07T@#&}aXG$YS;#T=s^S0`4B86~pH<8|q1M%3YKg<0#{|f}q3WSN_>?6_#kx?#wHqLU35=Vh=m^+#ePb6)+f_D zg(DhB!qWlZ_Arkq-o-ZRM7mdp)I)Fh$SqoI!Y!twBA)Snqh+9MzJAU`k(lxt4<9_Y)%;g0zjkBF6A)%@j>lMm!RNIT;_b z9rkEYYgVk1@nyKf38uW3oNjJuq%=_Tbo@j0Myp-z1JH(Dj89W6^%R+U#bk4PdKQ*2 z^HB&h?b#pE(~BvcuBWe^A8XnzT1@HmTQtX%zVC;AdHqSRd*TUrvUFtiW^_#H5jIp> z_0B7UxP(zj)xao#AIV457?rC`X=iqBHl@)eh>~P&e00=@od_y`-l~WCVp{;OO{ur) z9YO5doMSenn2~3#dSKa9R8jou+LZ2KlUa}e0HB@u)R4(g&3T99{h%hNs)zQM!k<7OTgQj@H+4tDq@JS|L zExl!zQGgf287@H6te8!&elus5?O&{M@%~hsuO7m!MBZN5?C}DY-kEvCS8Xal@P;1GkP+JiL9IzhzSCyE(Hikw$t~)s*piU zXyctVCwC(=)Z+Z(rY! z?CVTep2pWxk|VBEYo`e#`a56w`agI2m=cs~J;YmGyj&O)*Z0)Vy!KuNtj3=KV|_qw zm8~vYTBTZ$O02AS0LL=*HxkD-aW+=Wwa)_xW?;3*({M2I;t-UF&MK8Ql9$y~SM(t$ zzO4x!*K06-VMQRBj8q{6slaRtKL%~2B6vI+jYSB`^JXi8{D9C{tSGY(>R&B3#+O7v znAZnbr=II0Hl|1(H!Qr0WK(!G0I^FRz!3o(BMmGvRv~WAsGcoxB9PY}LKb{1{;frE zt6sfVQ{UXXUozDKxY-;ZL3`N5N}4>H$G)0&CjtXK24FSrANTr0u{8% z6LJ%nfx)MGvo)_I9t5$?ws`^e5tuqY)&SKjj4ny0=lY_Mr6S*9O;1TOJ=hmkf+vRW zWHo^#nV#$m01DJIK&KM&6wPy(9_$D!LDNpzmna*1< z1Qu_(wI$9^@PdxnZ^^LXcg(TVYFv|@2l%`FAm1U-6n)JyK;y-x* z2fyUIBTc1j6`rPYN|uEU<{diixp=VZ1vhC8vCc&#+w-v7MP^{P$mkJrubFq6sqf(p z-?n8vYI)UiCe6`flM)G?;YdjTyRgB`Bk`@%78*OcSt7U;=*syG0QL@!WCs>x#`G-y zwIeg8-J&*uvoru)PXoYL{gpra{?85zrn5-gg4tveON}EOL4sarJM(xGNf+3hm}2T6 zD5;w)DE@P2pbUESS;WYK;wbxy+m*Hy91*s&bdv=oSKxwY8_0~TS!Y;___!{3^3uQw z9B9pok|`*Hdvtpvh{DLuO)Dsg0uxNLY)BHGfocYni=2CJAB zL*2@FeHG|*BH>UfKd(FJbLue{1PPS_1y8GWr?5>m=7NJvIn-hXjI}5RQs;C{cot~_~iTi zZ|v#&M5&>A&|TQuYBq}&;8R{y$ZAqvRV(t52nB{>WQ--t9+3z|{k@(FiSD*45qr}G zdz>%cy3*Lkf_*iX0TuWvkt^GA{^FpdP4Pk^kd-pQeAR9b@rds21hP|A`?TfzRQsp= zf%pB*_r|yutxV>UdmF=WgYFv*7kD+E#OYm6#@B|$}z2e}In^Hmc4vj3|r-I(_F~9vM{;MD{P(}$? zLB=lu=M|NI!6%GNW(m&P?P{+I!`6U{NAJ>CJZ;efBG`!4Rzmb3MSbhjR6#}X#HlER zMj-TUSELGZ!Snurh2Q~0U7BWzLsJF0AW#I#3D-C4g(}De;VC25rc&F1jZd^+tVqjL z1b4fgDmBb{QJhl$`wID50SVWSfcUdIS9IB9?9@Zl9E?pA(QM*e3qB5|k zuQ{qIRj*C-+En<;@l{k(sul}i0TH?=v8?H?(stJ+qo+`F4S89i{R`p+%#F&GYh^~r z9-7$0Kq$5@9o+Y2++L_oL4M5l(CmR7(O(@8ZK0OGJ!<)Bx2QESOR3>{N)7+?_x|C} z`||Nf!MTRu4`{1KIM#M3)RxcZ=aL*^(I9+RQ<~bj< zoN#mW*rdc@WgQO5!ZnmFyd)Wth3EE7#0qyc)9=(nuldm7{XhL{Kls&=nAQZkC#Jp1 zbjCbIRIXV5+?@&9%{8uEVB=J4|>T3Af;ilUQDaK8mBH$w;XrK69m zK1X@p{2bBlBrBqSOXy|tidFg3625?`;vCwFYE}L`t#?LxjfkkdKvns3k69af^fTsq zH>W9yX}^u=w*Xx}FVS(=xt5A$w_jxB+`O0sVdtMds*Y7Z_VsU3tI1wt+t$xxl&31? zmBXbm9f~a{o9iq{C-*GPQ%O;3p)C}pY=@$J=-jiA$fexzb&k6L3tW2R(Rz-yf1rNF z%>m4pdG_PuR5aYY`JepXPefWroi&w3WE5GY45vFJ! z%T1wWwW7j-X#&<*Y`ajnV3^{95q)6To+dg%u&Qu)ckdqHy|ySoQ|K$AxtH8jVl!<% zPpn8&SOm*xgwd&dg#NK`am4g_xZt_CQdKy>M2S#}f@G7b1#^WXMkAnYxc${tg#%MG zQ23F$?MiTx`PdSsl%I24VR(=5};>7=Z8zKSy3s<-u zj0H9d}+`P#+XbxsZxKm;|y*yD75ekf3tB6cUD$&lkPMK+v2uJ+!-}_sB?4^h-v;)Dj zKGu)$Bs$4Sb%SE;YGnv)+hyw11rOUTSrq^+2D7hf$q=MEb-^-nn-DtHX(32;S_A>x zgL{t^K{=xoKOsnU>Vg0%oh7A#!m&_9oP3wT5na$&Zcu`@Omr5cI(0#2v?ZMawqmJ% zXF;k{7c3xcq*o-U$%3LzizK=NP$!q?*^0Zi3nwY+)Ft;Q%}cc!XlKhF!tF{kx_)-uEtN-7$8gkY7{JA#8w zgM?kf1WC`r-_wM}>im{6dB zl=j61PRl^mi`C=J$N7!E7zM;B@n_&MN1~fkTKK~ng`aV%=xEQ?{-bcak+H)NG zu6K~wVt8Lg!#nL3ElI@bw`h*x-F(&?-uFL*;Z;Yqje0RDhSNUbNAHgYtMU*`4X5c|H11X2zwn9{wqh9K+WT4eeV%~Fx?tpb%ia#gI$}L_ZVPgL#irHg z3Qycf2ezK!Yq1ngWU^pPpeL6p-ZuZQZH{lOk2P)cA-Fw&jJ}|KbLf0GPwd(pP8~H!_SHbu!=e8Z zbKm77Im;4-olop%H=@>cyKNzbWxNsbG&4>aIDdNh$lbS_;Bbz5@|iMYyFtt_=$+`} zfuqHyBPO27Ryn@=z&Fmg&?c|KF3H{u4&WKhtp|qQ6?}91xU1DDl;GA4CAEk{BQ(!6 zLrm$mO=j#tAh3BIipH%+$|x*Oow!fW@IzKB$$gW=$afp!SAOy;p|wb6?6+$PcnlQf z>NZZ#feu6>tCkm^eu8#t*e625weWhm1}k`{rfIxst0}&)QhefCt&q?bZ1K{CuRA^? zH1-+(7^P7Bi8S+a=h*C{l%bn>l4kzq-us@$)Cyvg&owuEsP4UA^I61qptL(CuYUSyuFRlF8{+-^Lk<)P|%+Qa;ZK4>ukL|L zE21XA#aCo4QZH?AluaAw7$nNOSn*kcGxl22f3BBU0SgQ`Vajx}#?JjYM(asg$R>&v znTQlzjfj5Rf4pz)Bh2u9$F?IM((hvyp6|E|f?AhFpD$^-Hi31R=4D*aPdeCwrcvIa zDpAvzM=#K6(3`b43cWLw&qPwu7c}XiZIN!=aCDkrHtp50L`XOPNH-*@DhQl-nGr5V zJ_r{NtPnYmC$#lx<*}yofD%%&-VaSYKDJIR9MeI6OSq>faLiM)U`kAvNQX)B7R>8O z##u?NSf<^+WLoj%=(+3dL>_iV`wOd2ly_H~1M2Jt-`UKnrEHqUbaFfo3+cpfoan17 zQz4o;AHiZ^!h~uu*o=; znZot6j#KrpN9_XuPpBz602(UhZI=Nj&f822yv%8bW-EGLXhn4N`+Z$DZfhz%=Xxi{ zH1#mR2(rLSNIRYDF$MHZlZc92g;cDB#QvPu-4kc8JOhp7yt2m%g^Ekl<5k4;A#v(CnX9-f*YB;4JqN>T z0wprzNH^*Y1VoR=1m`}+zGSao7US_bBe`-|Ab071-x~49#yk|(W1qesyFH6&ndNfX z`&WXbaW*_<2x)JjP87>}@Z>dZwvW-i0$Ihi~#*UxdEZ_k6v#q`aa*~ z-=pkt!QdfD2)7r8wz5)&v`f;kco_=V4j(Yd_bnZhX&?1`sCB=CnD}YD(KZr=n3uG` z7jm{cp*Q66<=Hwf9rWCJ>TJpwokF)=IamtRYNM4jEmHxkwbzcDb@yi%Tuse~Ie3-isSIZWR&;6m$(jw(rF{nVP>49})ib zXYpytq-9f_1#?Hs}ab}z_{owm5w$f>tew?M4R_Dgo&+1uv1$?_>yh*zc8glgVN3bKFS%gn=%o4c; z?)TUj`3IhGlPAH7WjdGQ>j6qWH8?Q(*)wZDr|(b9#WQOjm)M6z87<^T*$~r5jaOsnPLJ`IzygsnDVMy=lFr`QbU|82|Y z&Ul~K!wgKc{#j>!FA$sB%yc#OQP4w>dFPB#@L6opy9T)olIgHBnf#JiBG~PKuorsB z##!Hs1^jB8$^vcw;p2JTu!nkz%rmdHhzLPSgIun#8SMNnh$|YvlUpFnSTE50gH(eC zzmF*q-w@^sv;%4!hBO^@(!N3LN`W&GNKY(*xYwG1YAlFJV`P9=KVz{YXjARdRYyxCP~1xFjC#{xGTdqn?s(MFmk425y8RzszEsipk5)*Z9nI zu2h+LQt&$eA;OEmn1fP-h%z1oY$R_JnQRpr#!gS%ZwdA1rtiK5Sa9L->TDeS;IpV= zcGc|skKt5^@g$lI$LMd&AWd;Ia=amg5QEjkelRCBs%|zca@qd>?ZOhN3f4v|8rQDQ za4~)L<4aM*de!AHdbooegSN#PlK-986whNj+C|2npc-pos&zd=z~V~;>$9$QqrvwS znksWV2`KK?WoACWV}opOT29nZqM>liQsQiwpL+1-Zl2 zyf0qVYXvd9AEz3fA7W>VB_0Y?9K`a5HV3h%f6 zS2&@U@0&$dk4+b4Tq#f}Q$i=O9-Ae#YAFzSnzm?L2$8<~)}W0*g3!Fli>ps$o&(IT zk~)`>NTkM#2BUgakUW-7kL{MAZY39%|GbxVuTTvo%myN@O&G&K1S(@-Z{6In)QVw? zVH38O+QMDgf_DuiU)GO}j<^gN^2Ek7g_c}P$E#G|5U&f*TVHK4;`2Y=X})IvK7Cok zK1>K)LRXMT`u(Q$ds~fXYj{!Z>OGO}88cLmkINH(>~UBt;oBwf&SGe6qZL%$z!~33 zcnZu!2OtB<1KxA7(xgjK?3fL8BGoW|el9aAiR>4GJp0c87^HCoM?-l^9j-eAH`1jh zpFr$lo1l|qcP0sSuDK@$eWH;;9avIE*ACwUv}?oD$hL4fe(e^;N83M2UODs%4`f}D z5_}$@#-0-NQ^H=Jh^C7VSjJNg(yvwFX!;I+k_eZCqyD@JPsYa&3V+nBNJZysXy`S+{5IrH0alws-&O}M z8h_udw@bj4d$n|}*wVecdRNrHIl-v?wf_ep`ENx3in@NY4!C;4&8N?C@A=-zKl|?P z$Zv0C7au9F2>%6yV9yZgk8SU9rEcS@`;AdG6sYB_EGB@?h9zi^|l_OaglK3)dtH#Y9XBMB9~tdOPxfjcTsVF+~X ztl<>yx#?t35vI?`b6kg8MhY*AxklQ=p+i* zDu0zUuxM6!6i(9hf)DfRh1aHS;w6%#@Yti9D%Rsb7fE9OBgC<8PTGV~)^xnIy<8<{ zaO-reB@JhmuPXPSKl-PqsV12wolKqJ4gT-t2pC5aZatWv=q*j^>I17{cJ87pW!r z@|mot{)AV9n}K}+TgvcZuC1 z1sT0Wmnf6HzR)k7((1@bbXu>=eA&uqO%`@gf&zA%{#?bgknu8}(S!U_u(ZnonN!Swm9G zcZo&^J2DF#?|mTttnfdpll&OQH4D3lz{W@5W;mEZj zk-Pc<W~GEzzKK~M%{ zEla6@ss<++*ttPF(WoqN10~I0b4e)x=VE{|35y{<`ACGKln_291RXW%2>Dz(aT_13 zP*HMmz(Eqp>>Lp?yXu(w>#Uf(H)Bz0qJc~9f&>K?JBYQV;sYYr2Y3WvG&wng*6&8JK(2qx%$-wW-zU{1KqWA*aGMt+_?o|@}>_=-+{B?aBD z1nxai%Ko{VM#&h(zHh=H@naVIQvWwi_z}&Iu_LBcd>ugHhKu1m5wvBRmZB7?W|0WU zW$J=4PG~5WDL%^{W0~B!N4*K}?oAWR~%0(3Y5+RjHDf^uD_n9l@m<41sf;iW5PA~Ze5f8!S z{-Q>urOluvYm{De9nC^<*(-HZ2K;&O^S#iiIjO6BBhaK<=y4(c{0{@57xp#u0{v7?+d1C zyk}8i%UhanLExe<{TJ}-wDMRO>+t_*2kZzCZPrs^{)~hft`{9m7zqE{7ng@)uQN2;j}OJXW9B}}jDGwD>h#H-4J;d&wU=N07+eJLkx++U8HK~uAy^#4 z!*x4W?lc%;gJru)91F8`FUVFmQXK5@L3NMt(8?bG~N#eYMtVe6MIOxln%K$576O2Ed)OtL> zh5uOC9c_Lo3@f;agvmpsN<*av)X3GH)B}kjmX+xsA2L2lq@gg)H9%*r4HRXo_s9YKmyeicn8( zLy>^|XYIGvtfLHhulU4)*Ev(yIgT*jvNUQ95FkAyZ=xA8|@xmhiVUYZ7pqo)e=mgp0R6VE$t&sKdBMx2!s5_YS=Rb z>Qq84d^cK{g@pkj4U<6=EtV}|2~fOb_NfrV)@DEPguvKlh?%zZUD-0FyaDpOTAl{u z)@G2LL6uOgD#I6iEb%dozCmVD;W9LYo2B@D?+uWL zkPJZkfvh(@`4ain6YgT?tz2KsDhnAT0AvgIzJkZ)vVpta^iVP8Lbn4Re=oe2pkpc4 z6BIE!Xb(AAjje9SWk%*=&S~ub4a$2dgF$6oS;7i2Cfk6M$B_poqsYpaDH><=u0WOX zph}){R)>0)*)@=1hQwS!ui?5)haU6gCElNt`S%!H(+5TS%i~Ldn7F?@~Hd6JN=u##Oc* z&s3tS{Jg<$Q5FW*wM@@U7Acoi^jsrDl}e$ZTM#VWFL0&?_XPzne5bwwj^=|6{kT@F z0Q_Xz9@yOf{2BZC3AFb;l=t_1`}rgiB>K2W59Kbg0>W1&FBFo;Bv` zczkGBDre2^6!*dU6W$9735?$a1xR=w?4ur^4aNf#{l(9gM{#`*{D+OfdCp1;akaghu1|C%&51`W47HC#PVg z2~+L(m6a1-Z-0jYWb`eARQV$|{R{^E!{!{!2&za%{2{8it{vCBJn4EQc4|NP%S&TY- za&vz(*aVYPyt3IfI|qj^BShwM>gu~}p15}PsLdjc?dane0if~RmSM&<)UobQlHOzK zJ*3>D&DC31ICFwZC=;z+)JWr)>5^XwhMBySQoA3`!;IumM*5q^CLdx@CJ0^dl5U0v zYrA(Q=B63I{Nix&BAD#ccpsgyksM%TareT;<3%t*H?6$lk;XC(@s_eu&aHbAHw-^m z_lmMeB*f5#;i2HJgx6*mX!!&3i%f+jSqt}BsU_`It!9QE+y}q8t)(!wRBP>+2L8?#;a1{hUqRTob$RvMiJYAZxtXdr0`Gk|4zeDe zBb783fjV>t#b^sE;UZYbNijr}#~7Y6N$96;AAFOtJj$Sy^#n9^k$Jkmx0^q`3A4wp#U7dq|5G1zDE1EUjD~J z`$$8kGcPgUy{WLy76@b>IC@zKO6OYtO}7DsC72E(BWaZF!Kd3*p?$X(U8E8=N5N^8 z!f#Vnq;|WE>faJA2SI1zhZUv-Ua^h|Ekvwh$iqlL(8I3`4mjIeba+`+qu}x4OaqdM z@hiPvq90JA4s9e0@YR91G`OQtPsln*zrS-TfJ{2!tSi*f2nWdGEvnO1z@@VHXRS%k zNzf!!s9Vsec2!6uM{bhBSAZo!4rD|X+jN=t@R7D`OD!S=Ss^qVL~mqTzpNTsEHb+T z%uv#$WJTB)w@-ftXUEDX|89*w#{{e@^H$~$EJ={bu>YfavM0t&f{{o7XLOo?7?MyI zLp{gk0DgxZ!d8?uUd4*!-sGRYz>V$l1lbpL+)Rw%dH+Dy1?*1O-)12t_xS8(;%AGN%>;YUN#vjvmjX3*GQ znGM<~k57OtcG51AtWri)3TkrhN@eWv961UgR@V}uE1<~|eht@AI2I8)LjMERk=CkCqQ(@i16iL5 z!$zP&)ZfegU$X+1vLI6dNwU}>$4A9q+5v@Nv`Bw@t?(vl)#EhWuxtHJ8O_e2rna@b1WSv&v^9c0$Dhu=K>eT zM4_Ob5}Er1;LtmP;l)rt(Vq(f-eDqoM!eVZ0c_P(hON#-?ffhKoWJ*l*J*X^?D7?R zUIwry148V^>-Qt3XF^fnCRVC21Of>f@~Q!ky1>n$@(urlSN#~PWR%F_qhqD&W|Hw3S-dg*Mvr) zh?uB$6xTD^?{&oWa{p?B_NRjO6133VFHVd0dCf#|z4%z>0pZfkl7TpR6pUQzK zhRdT=^|8i5&+)KA594#ClF~XbyXIh+@; zsT#wiS%n_1efbL7T0mj~u%eLUt!+slFjpNJ0-+>Qj_(-CVS$bK#;5~5(6kUugc#DA zWu4TZMb79S>=m7C3o1AyBR#%B0t16B1<$%^%k7sT(<1n%YKkJRktX|m5S z{q>|Z`!>4>n)|xT?)_dub(2t7wOg&_1-CpWft9NG8+$K(;UK4S@8z*Vs%(Ytk?c*x zFdG>MGQE|lsgcFbO*4kD5hc)-u!#~R5nKI@C@5*twGRxXhb(efnDva5BGQ_F=m+{j zL-jgPTZzDH1up%fvcC9`_E16=twD~eH2GuARBknWgUq4K$Cd^U>Ewmv*R2|qAond( z?y_#$Qx74>!{-ZUS-ImB@+uRg(8GzDvBTPLHfobh_}x5Y_zcbEI4tlCcJ4r@joOQG zs-Bc}yNnH{Eql~l=iaWgRBzruECH8atkSHFF zFH_EYZi-dZ^2oHxGh>{4M(XJ7YuZHsn>`Z&Dv&F2_?p9CW-6ptc5iPM?@uH^`ujU> ztp>$1BVCg)f6LKFr@kAyg0O@a*;U;~Us;Q;*&2fS@9FXN@hS*f&NkTy{yg-bIWu z(0}sIFp^A}GQUGNgSq&cb2rcmH>fgY80c~Wu}&7;KV7d~VsU7n<$wJHi1DCRVr9{q zUAx4P+36CtsD8Gt5VSq~?eO~n4Q;+OV||KMu=s;3G-9w4Sy9&_7aNk0ZtGfL3V2fS zJ`5`|2CBk^Po~^dwHWp>Rv^vmCSIxGqqv7Y)tU4CxW;PITzt&nRG)h$2oR@o+hksw zOW&W|0f^=5*pd;==`#f@W~Jhv=hc;h(d8O9hO9tL%hybj7rB^!7D=g;Ee)@|>=%+q z+cQZA*!|9u``!;huFH1x5IXJ%R(RLP5=jO6pq#vHiJfF zg$5omD&xIGwVnW(@4)T|Mv^dPOCL;{#iA}EYEYc|JfIG1@R6b}QVcK;IEu*0a8o8D z$%*f-BgfEIG6Fo=2{jLiq~r~?nFN#*}^Q-3Tld+$BjKDv8dY8Eav*7-6 z#n$X)Wfm#@p1S?nMf8=ckf>GdifW!@^&xV5Wh@H&rwG*tpjK*vx#QBt-wd=)ud&8Q z6i2xX2$U9%3A$R=XcXtY*j(=y4(t=b(1Ov-qizz0S^>SQU9Lk3R!Q)??Dru3uINP+ ztQ!BNv9DMD*zmogl1PVTL0_@wCaRc7r#Y{8M;#iOcd(`?mNQaGRZFBHHeq`x zqqJLf+c}dcTqXp(@#mqGcXA~sRa`4VC0=FI`Q9}6bP;A!YbTfRzZLX1)^MqHO9>t? z{_9Zto@5D^kaizobyL@nIYOifCK@&dCkmBIg#-cG@*?TH3sk-SFC=<>>+^csnZLQS zLfT&C8V(uL;S#&gJ@{;?D>Pb6*Un$(M(sgfDR+HtYTtbK`O+|HZtwCZS?K_(_*S=x z!i|AO^Sv+_s@;6h>qV^axQ={hZuiVH!iC?vm|{8-bs381QuQ);ZP&ul0l36&=VKBV zpY$R9E9vJ>klbIfg)Y)B9+>*a$D1H*Qa{y@-Mkd0+0m_XM`Z21?S!lIqgL)<#M-@0 z#pPZ_{1a2gO!{+#wN+oEtE=y4ZRN)9a%N=)w&wW7fOg4F(RvS~`Qo`i)&JE0^@IN< z6MFTRtp5#(NAeNTQtVMptvb@OeL=l3$tlM4!r!|socc2sp8Oz{N_DNY(Lf|!;$}FVkFlL zIB((x*{*ns`B9DixlXAQZ{^lbGVEre9sIRDkCU!<*LXf(N*fmS-m?c z{8V+y+hx&10;@sL)_we|MjH~M(?OA_bJU-?7tcwO&@c4f;qfoGy+#a_R=_d(Z@yg1LNy|3C zrFLbMFPD9fu6@4U#G}{a8p1Mw1*v@4P34TRyR_&mMaWoIESwN2gqwd3!ZFMjG3>(} z#j}9343{@@ZLq|0NS2UVMR8z_mpAa$vY4R+%ZPOt4Co3b7Fa}}q;LR!gmfecv@e@! zn1(OcB+}^-vU_L728m6bRy9_cr0KU#QVlWrTuA!}suONaAK`vd zF5Q+4RBYE}j6SUuX5N*7une2k^qgDP6Ce9*Me_-~ZM~EJl-Y>WmW=0Y#>>6C(Y@Em zLlMv2Q!c3#^$#7v6n2wU>3o{V2Q5LdvJo`T{7VkSyw{F6Q_A}WSm$^#4b+(HOdGB051IK|l~~@+$s~*DOgHJjq7%NiW;B$j>dOyn!DEd- zq27B-Yz8Q6w**`VLHvc)25SQ!X>5zEAkJ`P9GeN0^^*a;rmcq>h>70F2aZ^BxbP{E zn|e!#i56rTVLaZ)X-6E?={BJBJ#+^{FxHZz4C2}Bd@`%aU5}~+aed7!zLCN=t$q-d??A=n( zJ6eoopgI8N{WSllh5zA1|9KeI0=ZzTpo3AJC5_6PDU9gK?Jv$zvtQkth$R$JTx*$v zx{q2Ev*DIw?mz#_B~?*FXMwoDfD}eCIiM#X31WMG2A(4RtEWP^N{g?t0As8K{xd`Y z9a7U2e>n75SOOpxWGl3JrMfNj^R|wCOJNrLS!4lT%YYf0$;YE4toH(AC;`gV4!(~H zPcm>!t-Fu_YM7G-t>kkoebfqb`~e5B8K-it*>gbzl_&#B+?nC&+2ZwXPknPvy7>HWOc z@xv44jjb@iyPkaX4Hz(D(K;^}jJlPs6fa>=%Cf7}Z9axrw#9tWnvlym1ZZl5_`UXVUJa~1UgFZ)UOuR>!FJ8s) zK=$tDGd}T?^g;y`$tl&305~N3D9Zp$ci+lFc@M`K_8dI|+ZHCI?_u6b_s2+JLLbLh zhCZHK=^iF!HP6s?cNiw*l*YuO`V2k7Y@wH9yYEW$V~sjp7Xl2drz%NK%C6F}(q^>s zF$#;9Y8O*y7eFCV$eZPCG4B;2c;U_$-0iJ;n#*u{4Eg{Jn;&q!;AzLW)mJS^h!o%# zH!Hj$w}+WG;Np{TtC}kRgJ;llie^uaK2sFJ2Y3xLtvX6x3pnP=XUw^8E-&7 znH&B;Gpb|%&W%yJHmmz(w63TZLg2@L2tKL54g{&M)Q)h4k;2Np(n~KhVpGbab2~jE*c~zY zyrNeENk54SR&_MBl%YRfu&694KBHgE{dESuNOMH~XBO`~Wu+-c0q$oW^4}9KztwPh z&h(Amds6MByw}&nHxj&$(xHQ~?}J+W5>oVYpjPI~jsKBBBq+qlYqt=YDc#=!{Da@=5%1*lZSO)S9N4eFH^3 z@0^b}i23puX#TDIehe4S9S0zd*v&UxX@uWa+9?cf-mK5MdtY%*r$=jHvdkP)mb~89 z;LA$|%9=G|!hQ1oAeJrnB=ZN}@+hkR2jpUV`f45;?S6Os%}DwLHAX z*Z6cLTw9&ym6H5wMU9(2O#^;noAcLrzua`7yK|zJbt2$-1WPfB{|P?D}UQ zdGJ$eqeU;;47QqkE1f?T>iIs-OzB?Pg8A=%1#>?QO|`YKZ^p>~``dRX>d%jo+o_Q4 zFTrLUKVJkPMSe<{e%;?pic~I+AD*K7QInE1qfiz1JHr(Pg3GhWD4L;*&qYm=vDUHa z8g8qC6$Q1hs4bDsLaoLI!ifDE4)W20CL<3UOMpc}N({8-`7Y<)bIRmajR@DyUJmprO6)F+ry^p+%KJYt3#wR|h@?az1 z{Rj3KN-Z8wJ0RRx_kA>r97;E^zo!UTP}a^v&PZ5o#mbniNM*MV1a}Ge{fyL>6njRY zPGuH7vP10Z-uHjEp_w_srY%N{%17FNdGb2ETO-smBfnnPEFmxSryb?n@BO)Mtl#ol z#7=1x)tJOBZDKH}f6lSKuEBW8Gsi$XT{7)^aQ>Ms{Y9*Qu79efAqKGT&bshj{{%Rz&OB}MDOM0CJ;k;F~!a!5dRy6p;`I_k>%s@`WCdO+f zT7FZ0)JYUI$J@C1P#gHRkK~ibUiCI>5w|{X z8Mj`Kaq+F6;-CEG4CP7$1|)RlzKnWSAdmpVVm zA~94a;xu`Mddab?Ae`4q60+I7m{#`yN2G=S|n;dxTU8qk{enwPC^x5 zKD)tLEu!qyWzZWHOx)=oyZ;>=hf|=Lh}wBKF!GEh&Cn{T4{3%i`_1TAuS+==bxfNi zzUo(h@~<8xM2l?VDn*)r)Iu?RXmsr}tC%gTRqk4gJ)Pv|h6F>%;Ws66@W!Sx^Qc+A z?Nrn+FT6v|=GbtW#gLg3Pq;Zqz~t76X)Y;>WMHHTI1hv1DtXV*_$DAXO=2zlB09)% zieT3=_72m7>-im~X^udM0u z8o1RQDfMk5^#P2E|9}xCl$9FUij8K@@TT0y9ily-yi!w9s%lp$mHWHebW(LV`R^;Q ztRLZq;|6+*UAp04oxYWH;6?f!8;fPd_8a17mLoc(J#pZ_*7UOxv)=nM^Z%6+7pGr^ zYd^vM6ij=a3Ff`F8;0ynewL8kVg`IE z+;2fuN^NPz4SSxa!06G`pwe~o;7PB{pCE+t3l7L?+WGBatKS+VvQ{@V|UcJ%?o1T*|K-SAj8q^VpZKteV}15*kvxVn+8uT*C-qO6MvK`XLim} z1s$DGX74AARBHF_SJK|cJox`wd(l*d1-B%=!PBFMpmc_<#|*n+K@p)wd}a*iPIP7( zQugisfUyJSFE`x_)4K`0Caz!o7NvjWH5hW`4)-y$RfCPt&k!^4_-f=%O2$m+yl4P< zMxcL%p~bMMoHPS4C@) zjm!1(FZJ1@<=BevdGqXqHZvhQ#A8 z6k4VLq>SmE8HZmz53qd~UA+tqR8un+GrGW9gkHZz*xV_mo6+pzhT_1mWb`^h8Q4sj z$r#m~op-I$Xuz5}g3mXBW`JqJ>`Z3}LJip5Pgy_oEG>b57&0uO%$&oqInGYX_XCZ) zE}W-N5a7@7xMy+RQuVaU~?9h$oh$w1Gt)YS12<| zc!m0p@Y?phYHo{ndHXOegh+6p&$nsCs#>kd{jdM}fLX@y zh-$}#TazuJ`T%E-eo@5r@)<0P^UY<(D>j={FFDWM3-nrwTJ!n*+Y9Cs<4EHd`&u_6 zKLn`dpNIIxKy%k}cZ11zsjTQPRG2t;Jmpl}8YV{Tw>^8{VEO_D4~mjr7O~W|YB?7$ zY;Q!Ni|BD<9jP#Dc<;zqdAij<28IahaQkU&R4rTlW}ZxbChb2<=|9@<1X(_}YayJ% zP=)ML!rpcYpkp$ByAK$Mb$lZYZHbyIx-=Jd}ffgI`p=$V00 zd8{BGY~4GsMnm4Qp0N|+@wG*8#qD`Jj<;VzKuOl_Pl7r~vZMtRfr213P1qfPupg*A zcY^pL!GWg`4Q#Cpl-i2GZwq7DcpF7a(v9S6$h~3`og_>O(y0|?DQlGWN1u}DA}A@k-mK*`mHSB0f((?e_Y&M8zn2GGQk3&8$aO%ORTqm zAUvljMIsU8{7w9v-$*MuOq|!t5KoZm+xYCfEx;A6F*1hsuQ_@)mWT2A#qCJ+ zcRM5Glc80urdFO_4TivryF+N5{zaW%*I1E(+(7kYH2&V2o=dzWZ5EQs+cH^y5&!j< ze}B?L8dUUw|M;x`!k(M`*eM&5{$-fwST18XKzLP?PBf>6cU6PwzXK+?=;~_TQ$i(k z$Frbxg8OzQFG( zq_-}{?M5p7OZ?SpST9|^5PHO=PK!f}6Jig$GT0*>o)FwSq?5H1LQSCn^?xIuYyPYT z`&KYrz*<4pt^Ff-AaF$t)j+l_=7_u`9dt0vkQU`TQd14$24bw(r-ea-{kE`~+qF!* z#+&(Ym~)Cs4~GfmB5iSCgl}76%A5kss?c9JIH1}Ccm;v%eId-b7ELho{c}=-U=V!X zIkpJ%VyIzNa$z9(3ZxNUDr0b~+O8vOLiDhA`{;byStJ}QGezC280OP z90r+X;R9bd10CctNQaG-q7uM1IrMr+z=)C(9W^;*B$3D)#(78}pFl}D_o8H5aWD8(7584fsw zK)yjguc#TGM;qr7^yi{B&xBY~)Qk+(x{?$(C3EY~k(O-0=}zvLB!^fM%G@0C zya86VT$^qiGlJl#uTE9{d+nc>`I5W$r`6=Nq~#E zskUKQihMByc2k6cdq16&5yorv0O!q&;x~mc>)n9HFL4UI!crva1lUqjo*GGY!p!H(g^`sT z4LaGl=f3>pL=M0~Oa~TSMt&IB8eO>j_)QJi%7Er(`pjjz@s{$3{%Js$u48yZg4JIS@oCR|a2x}$}- zr}u<(iJCmBRfeyEMi#EYcam2FC_Fo~$N$#PvHyMn3;ONP+%rYVWJ0;o0CD$g=UESd zo&g@*XF1je71I(tqn(Hpy&jMlf*YJlGGh)R<=uznL<&X%7>dFU&7FU9(s^cY{e5|b z0_ww>R2cvO>mH9XM))m@iZh-@L>11DquAaT7nsj+@0gco`taUr`V zAiaTD_u`lpXJd|Dpjl0vKGE7R{BTawRs3+kfJ_nim0O3H4v%D?-N)7YX13v)YbuB+LMH2w4gpK!~$~3?jhF<*0#CxU%2rF1s|*kh+K;#YvpZ zh7|(&URaidx2E%iUrn%Bq9)0JktvgfD)&u(Llc=kgu^kQkZTN64h9TI6)Ks6(?e4d zXFwnQlDK>Irn`OYzSZ)seZFpby;+(Gy4cv`+H2Zv>2>w2>pOjYBX_u$6bHdX1i=8N z1LcYVHeDZXp=ae32=oNfmd3l1_ef0cJCaT+5li)&Tz9O?PIDrrTiZ3jwMl}SrJhDn+%I~3ZL zWLRkf6ZbT=%BKN$KeejoH%{KazIMXmi8v$geG9TRn~FxvSy>;Y*O{)Cj~=bvJ9kiD zt-K+NpI==YB~&c82~TT5y#>Iqp;UF|n`qA$I7uX4K$(d6>Xr?L9>c{z6Xw5vBF>`s zzDeZqcM0ERWm7r{nwqJY%`%oKQqg9O3+*lSSyNMnZEl&1gc7`_)rULbb?;1_W=aNw4@qY7FPaHE+`0xIcH-5~(6WeN=40 z%N`%inc^0*2FgyHNr#=c%dKF%_%m5q_*j=QP4r@oC0i-@ubg^ac7Ov&e8?8jyu`I>$3A^(`nUEAfyT%iwy+S zg4_;d5Te0Byb%*2Vufq^Eld9#`fmn8>{zJF8YHRCMk7vBLzn2Mi`bSFhN1V-vczw?slAnF^f02kGxOKrXIpjm5IEEZhv>^T*n*yxS7W$d*P6Nh} zx$@kQEk^qTNG0#oLEb>uB1oAs;3uI(E5)!k0uW^L9P{K@_<~SM+?_-nM#K>TR>2wq zPk?{5oSj4&D89cpFn0blj}VVTZU8!k=ZV3_wV`|bF-ojl28J#wB-NUu3|w@2iZbW{ z&m-*#^ny#;zLT*$mDnmV8QQYK_9Ed5mPyDJDb_d5pW1Q=S4HWc_0eKkQOD2=|8SL@ zJQ?1@7(Nu6mCb*@B8j)EM?n2Q?)&+-g1xk0FzO5gPL2Dsz!0; z>V)DH_O(_pYt-;_^p9$;QynNEs4F+Ee!NGVNeq92GsT)|Pmgo8Qaga*GrV&^QfCg1 z5w)IO81X%p|Kv-U#YmVrgiWRiy4S;qF7wjC$$i5{dBTj9krN+-)&*t6=Va~ENXC2p z@Y)Kq5v>cmjbUDq7f!|fuJod<8yT2fxOw42Ur6!Ls29@E*zl&=?_e3sYcL}u=%)UVV%f9ydw^wEW6(5G8?77>(loM~$6zxC zbS^1O7mT&*`eE^k;d8f_;z!`8y^o(NE=%+>JCVT@J?TuePz-Jb``BI8YwV6Z{5ugK z|2IhZZ#e(!D#9?l`k+9s3+GF2%DTRo0%VXw04Tls0DO=`1W0`9k_IBA0n+bJVkB1c z@Oe>?SAwStw!J7|+^B?dkr$08M=bMi9Ob?Q1XA&h*D^$NcdwBERw78vfLcX|%8J7n z1A(BVg0$RdJ=w1#NLI1$MG+tMv3lzKCWjaLxL-Db8X<=8Bl#OV>C9GOr{lwPDRMT} zc1qv3D8qc@f;GI?(Nw-Zk$a~AvR7&cSOYuiaPR*C#Xvg0;eUGX@A%|tI*iv4>FOv& zDuY_j6QOE7I?q$3>VATikqKXfJ5Lq$|_6rD} z$c_#e(wPuIaTq~)4~3pR9n6dY3PdHFH78BJ*yx92+(T-`1Ck(SHQV35;qQr1T*c-E z&?@URYPMx$tg=PyL0ReBJu1moDG_))!;HmtMg3v(LR4JIg8FQ!!s+K#C_r`m7)Oo9EDp_hZMohkr6d}KGs%nwXa|eGd>XQ zipWeeF^}-JMG{i)_=+HzG8iM!H7$^k{z4HvtOzn4#wOBVaKUkCA|9P0ISM1J0GuN* zLU;jeaw$h&Z81a@Km2q;VLIB= zkh}oFXTF9&g!APX;Ho)Z*?P6b58Fay}+uvJ7bOGQa&md)3dIFo#Xlbpj@euGJmS%SLp)*Uc>#WT&_ve zNzZiFv<(`aAx+hvD(LHahpZYEy&k54s{S0+3aok!ZbyUBS>Sddr%lHPxc!{|;5Faw zr0Mw%7uEjMlLCcz<#HceuzLkuPGIMCW?kEQSGeI{`nE5B_Ny!?`m&c_4yoI3hWgpB zd-_|}Q)R4Z>fEn;6x3O@6h3X(S)dbZHJy_?hr*}5KiNP-zvORub5<;g>}g}zl@P4- zijOx2OLi|=RVjY$ae_C5vsE}`tXL_2fip7!wL+&)^JB8&$1Fb`p@cC{H$NUI6wC~y z2bEs_FI>d_xIHSXgOa zO*6-;)tniOsPJ`W`?-g*9f9rY(FS7k@u2Sv zjj|;v3*UeH%G9F^LXR+44)ur_L_>=ZUytSC>U4SqkeyGs>re?mOf zqpyg0?~0Jy9SD`H&r!TaTM;9DbX*u}?7dO)RFA$Q2C5Nqj>P}zXq{;BVXrNX&3COOFT+mXXCelREi!Nix(zwtG( zLvz9MrqZXB?8#QHR@ub2VD9m*yc1Czc3Mc^@n$ry-D7q(4bYT#SZ#^tzO zbsANg=GDg*_?O=F#?Sk2f~G_>6>UZF1kPHqD+iD+wYb5YSOzl`N49bz8Jqq2@_Tlx zsVH{1oU~L!V-@*K*lzeMEsQej3$1$fio7pHF!2wXuNH^q9dki=Rq$Z(T4PE)W|-A0 z@|kf#km?$1E{tXSV{&5ofa;)vB@gJmXjZH$il>cvo)~ck=HPtnNh4KEM)g5lAh$)u z64lO_%H;CdpgmGCFPPa@g?lusBwsz;UyXa5W9h;;QaYg`+zIo)OM}F#yFsE-kToVQ zXFmP4n+TAHBG@ndji3J4o}5bX9YO1-z0e5i+H_o%;6u}}MzC8LW_d?F*`zwmwm=cT z#;|Ge;602CLFzCU1WO-b*9Zy*@^;i=E{Iu*Y)2l>+fj$PV9ML|({a>cE(ioWek}cG zWGvdeT~6?wwuo_6aD8e8>jWPyt|j;k>tIJ?*hEx>ADja*uTBNh%`cJ&abOOR3Pg0= z<{<@`?vlIRk#~oI%h3`Me)L%yRMnbCyM{%$w7-K5+p6u(#U;#9fj1?iziBuh*oL^! zrfr2zDsIU~TQFt=3Bd4i_3{*N5SxHWyoU0DfBkjOy!ds|=A%LM)%n2n+U81mw5{b5 z+j=7Mfdu%9HVwg)Il;IC&j(_bN1H;Es6hk$Ck}g5H8jbAo6@Y9@+N3q@x(U=hlKJ*vv>(^Rd7@Xk z{pmTe1%S1*@TI{dDwvhazi=2&B%1PHKJC-=5l;(EL=%s!lz# z`KXFtS97mAC3$=fL@(FFOiy^n@oA2^sHMl-jvMGO%Oq8~WEo|sN4^~t;<6;%jIh=oE9 zdSsYS%7Qd^MGzKLD?YoEa7Jc9T00jki6>MYdyPrdNktIYNHNNoYhe`^`wBmX{)h_# zvZ9U)rkYt5<`D-@P6Od0*qC1x)76ZNmB;k>6ky>8R|>y^+B^%7o{SaVO5`QIhPd-_$~Mzomr zyEE;kT_(J*#kAiJGV{-U;Rh~Xj>N4NUfi^M878_K;JVi}lV*gqHr1m3yJ8h87gw6% z6LO}4%tUF);!Zml%qC5{AKHe-a2Deh7^HednsyhAJ_%U%_8e*2T@a;HWI<@)z)Y!G zjyN<;y9=JS9Z^q+uU6>pY7U)?Poo36s^U|SlnhMh64UMnA05$EwX==R=#o@}uX$K) z6>7?|TYHJBb~d!#DXljeI?Vm?Yc%NuoE_{Zk0D3;kq79N&GZmM4aOzZw+}C;Fn&(( zK1Ze(;JekgL@)fV(z~%xikz2Vkj!ob_|jRDfS&?>IX}R+XLrKH^aA|bFPokI=?{L% zACACE&$HFOrSuOXLjzU%%UEmQDE%XFP}e;K8CcmC(t=+4hl}4Tf~#YZ-zcmI+gwhbl5T^@H|2W zvCc_{F}hAV>~4nyE|JXLMKY$JCd|wd$=psP^AF$qDgVhwO-3@Mn|dHh;EU=evakma z;q5A0idF^zj7-7kc7UpA+_56``szr=dLw|21LaQ}cVt_NmIH&)VR*o3fA$fr-VAy8 zx3$idEyeUjNhl&H>vIm0>&UMUhVN_;90`OEkn{T2RFMp5h>7~4GQLtk_X;5>E7CiD z?>@G`^`!7+V2%+2U#qWdp5A{2!i%qY)6<{(je#saNC&CoNOTKKQyu6-rHF7m`Gh|a zWE{fFRRqxmg>norbe$mp>?^C=xFA?1SeD>WFwfb9Aj1n61fGK3v=AgMswj~VWO(6% zz&=C4K@b=^Q;8u+mFR-V>2Oja1mWx(hOYb=h8IPUBWYF8dw*g@szetAFT3`Dp|{0! zrwg8^zEraW%uTnitHI{znVXW)GaUuD<(vl`9N3A4uObN4XgE{HhQJn*jv~Y;ZL)5c z;st5NJwNAR59x$6dTpgNZ~4~OWwYxS{LuIQ_n#L^Nz?zH^RQyT6H!bC9Jj4(QYpD0 z<}V@N0HuVMchpzuhuBx9lw2@$%^toD1aqx47nAYJh}T6?6||UCN?9-$lMiTPz@Z_y z+6e$J3sNbSLxW~a^&z;wc#a`BUxmm^=p|L%*l2Q-uerDs`F#%`qxV&jZ)9}Qw(TvN z-focX#r_7b$Qr08udaAj1h|gTDC+52aAMO1^s6S|BcR9Tgr* zVRdPLJ}K#4eN?I(oNpd?jr8YPef~65>1;&LgtH@PDSsR8V++?ZoE?Cr@QA*4ragb) z$A0P${ow0g_quyeJxPVCzq_wjq^3i40T@Q3E^563=SB1iE>R7Gs6i?~rf^;&2@X&pLU9_U3H^+WxthkBviu@z<#$MTfJgRMjMx8 zEz1V;Vo~@D(rv((2{ZFyu}uNkX0B;G;G>s z5oT?9*7z}5g@GCu0C6ZLFa!3GY_$sO(5);>B0{Zy&7=wwo#TU_iuM@(;7X*gxkPp7 zQbTa8&@AyurH8-_x;(-G`*1FJD~`bvUi4Vb4J>+W^^DB}^0_&g`XCejF-iFeU-c*7 z`Zp)_*cz`La|8zj?5)!=wC zVns!y@^WfDw#QfkRClPJw%}B#6<*e3MIQ4bZ?1&C8@7 z>uW4kFmp@9W&h9~x`26MwviJSUL967wL2qW;fmD%#xaLJQED`)0q3Gl9}3TCPM7j2 zOqB=b7k4RL%KU1@CMNjHdWWn`CuCQzhiY+q5rMw$~ta7^nVP3lXcuE1SjjbQ3y_@ z4ig zE_2<}sX0jBm#`oFUTx+wEB*-kVfI?=Wmf!qylfKoAAr*Asq+dciJo)`(xLc~0LT~e z+Rkku!F@;)T&fFIcn>a}Gv&dwg^5U??1IL@cN0O+{-$F8Za**t_O&&zcy@5x$L+*mLTv-!L zKs^S_Y$m}+?K8K*Y1cj|f*P=w;Npn-v9K7BWV$Dr%;W_uuQusp#vh`){G{L)rRVt~ z9{dhJu9Zv=^u=zwV|r=_XJXR}>%>Zw^Mq@w0U*pqeV*5SP8+i3@|+4yv)a#iP9Z$< zoZ?%5PWiEUPO+drr~G&)&*>Oxv+(#tn4`2k$vjJ-k;1GVFAu;O6%OULd3jpWXz=o! zMH($LN7D}m%W@Uhfd#q>L}feb&4}xAm`Tp(42uE9Gcyi18PM&o>$c|qSOX0G0QdV9L)swx9m zpsVA{b{roUET4`(UJeAhuUE@ALCc(!BY2qM8Zx}&bQuyawGKc*WGo(%bBFlK`TWi)u%*VBPl?OMjLa~%%+zBf95eQfaev+qfR zzw5E>SI-3Ji3$cH#)+p{=Xu(Bk@TO$-FzteO6SST514&dm^LW(w|B)nfyxUrBv(#& z=+bf*8@;akbNKqb-Z8G))h4g&uDnIB`n$rE%t!xMf8tZ#5&gi(E_t0b-v31(<|ufXF+=AerWSM z&;mex(Flr)wH5c>hG}Q$mGuEBih4zQGQMK*j*udd9C|*qC|z3-qc2dfqiWh=ie$4Q zJ#$}i2W|sRwCpxAS|+b3DH)eEXCL7M#)Q#}sw73@`jynA2$EZH_v=eO%QsSAr%!ws z9#Qh*;lsPb9N(XruV`Fr+02Xb^L1rnKdzDz)UGM~FFI-(crNqB)i49`sgqrWmy3jS z7(!;atBJMB2oQ-4*$zWUkZT1XM#8&tWZJ}tY{&j^M39h9$3J%%y8wt|eG5G+Zz@QCHA0mS4nL%5u7lkbtc%B`@=w!(;}+2p&b&nw zeI;&DGS>PLcdNYR)x*@kMcl!xwSLU|zGKW?y4ok2bBo{-%(zAC747b4{F%+mpBufy zY9Ly>8|L%?V#3B;WV?IXY)Fqx0}Yf(Bbe=OSV@c*W5}HiP`0}$$ufro;|GLhYfiJ> zJs}%qf@>Jydc|yacUz_{SY#lzW+RyGE+%!Lw17h&(Y~zBD`r38ZDhM^cskffoI>kF zRCf2c25v=`+@GXpC;x28@wkQFtvPp%2WR=ZIZMg$6iM{|NGkAf=z5n@yFlEQqgXGc zb6|!fZxlzLWy{6zfYT(M5MsrIHfh;@{1zfMldt+HqXgx<3 zB7NV^T}mlg{DzT&02QjQScvKS^#E0vI%^>XHF1l&GKam6^GtTci=mNfW&mN|v|$H4vP< z_{*R8KYx2nht?h~4^FIO3)&6CSDA2w88U-rUs+PH2s%wWI$&*pN(j=2aX}1X=>+)& zyJt1V5TrNbg4TwLL!&C7wloB3OI;8Yu3B9^%J5m5sSu#?;UZK(?a zcFR%Dgu!mX`%w!rIB`L+xRb?$OzG-D=s+ZQK)PbdN-{c&nI$@`DIeS`4+{jpdC7- z+v}O{5t$>6Pl5A}>nkrn&~kK)<3=u+=ZT$~^ORa*kt{=&krvjNJ$}1Xu3U0|-21Qr zPN~aBpXEbcmEzTKEzroi9aNFJUBDWi=Y2TNgHInV|EEWHU~+`0m!l(YbT99}PJ8*F zHoWl!^1NHL z_-ONQ(K!j^pZ((Ze(QHc;a2Bi7N#|cI00zULCUC}uC~r%reB$l<`XtS=g8zNM(La| zjYaI6PuNsQr3)Un8%~7=`3Oe7DGn?I=>xbR$n(`(;6T21MY;ekh%^Q;=Ts8w4M>Zq zIb%ioXhjfn`g9f^fFlZ43qd*nF1SM$Tm?~%RhK3NY5!diILf1VA8?k0CMh3Ud^DE? zP>pUMXXXKf-khW)kX;hfpwVH93k283I!NQ-l0bGz4BO%0SCZBpjPl+kXW9R-o-Ij} zTG?|7yv2}SZQ{+~-`Z?wU4NAG4Zd(mrAXsZm^ke-N;X{(k;z2%8i`OSu57vxlGHoo z{HX%o(*e(IBx>>S0KlDvhsXJ5o6fp%Wz#3p$>Pd~iPy{HHl6cfuSG;@5A0&5OA%I4 zL0+UqK{)EORvo>lyJ)R2^`WtV*-Rr6(3vkt0$BfE zteDMoj41~OOj9W#SefZErW}pHt>LfDbhpNU9W~R|`4CH1X8O44luepLaNA2>nQ2bE zgEv8gzQVNh+CPz0iXGw!B%7F`pp&o`99ZQ}<{~Ks8&7AZKDq31VP=G>6n>EiV`%$Xmx}d@u z1yhHZ_^$4Pr|lZ1&@oQqoUaEOOesZCEN_We14aY=B?4VTOP)prMn5*Y;b@?It7rKU5ATGToafwny7K_6T*BgdqZ>7p$)rA2b z=@tmC!0v3?Q7^81kp>`!1jJbpJ$wQ94v~>Sap^2y09A>qO29Hng?xuVeZt%h=K)0z z5YwgOqpVV8R3@qT18&DXn4;#T8 zRJxT9kRLlJtx+~^uTNzI3a2&nwS=Lu=C$;o1W43K{SU6E`f4vlRYNRL@^$k+s%I?* zvyIFdc{v7$J>MG{n_@@vpD*r#3~lBh^_ z0JQMuAoGAhZL~AK<}9TrDxxSk)jr|!@e5TumVgRs5cljwiY6 z5ozw}c^vA0mmb5X>6@KXyBbw`kJpH_J3^2y9f;^aG>tQ1wOU{Lu9`$O5%t;y`9Af; z|NZUn{-`NVuA`GN?$^CJo{UG_pcFDj7?d7`G~*E$EM$xjw49V%o|Sv&apDh zLB@!b6hoXaxX8<7(;Q@s#}yoeMlunp0D|mfj6lX{0WBT9x;ur85oxUU5(zTEe|jNf zMDwK#7pl&a@nVtmd8~kc8zd$jy}Ch8#>j>y&7HaAq_d$;K~%eRdu{H8{mq?_$(8VQ z5?P*fzj27`W9ERk!_hh-a6lF^#`98DxL`SRrIZYwYI?lL7#9a*=`woZ9d8p3slr$E znkQ$U$qe)8oxh5D2wVwt7qTE75bIn} z73C{}gp|yPLV+Mjge=J1fD0ZsYq0j?91-x#^x-Th4v0&_RZzMDbe;6Bk2oMMxxvS)fCY`u1}b>8xARLly~fBH%P z>Q8-VM0C24CtJ&#sAXT_a_i}!{K}RJ6DiuXl5A^Og~?ZBx2Dvrp#4C|Uu%I{p&m&U zBNT1IiksC%R;X5NXU`T|Mw=BYg}Pdkd5wZ-PHY6TLPcP>mQFwP8VD0~K6F;7yA=Z@ zs^}dgn-wdCdW4ErdN}aaXoV@RPo+>X)tNR7YLa7H+BLI6MZ||JG=C5B&8-oWESg{R zBg`0nknqFxEQFGHxzUlX2sp3!*Kt)aGApazcuH9-8AG{C+4*a9ZBG&CaFjpofeGZRbvcX#ohDboo{ z-kRDa0ev+~;W-_Q|Ibf+<$v(L07a4&4#P3vT!=-KEih7Jk{MzvL~C1Q5n+=~Xp*2H z{TgvsOz?nV=u$)m>csNOAt>>m3o3*WD`FUjW+OvT%%KY&*06#wocon$fkRNNp$S?e z16G9f)=YH>io0Th#S7V;Fp;tOG2*V6AQIW6gn$=%x!|5xMFe?K>dT|< zic79mOsB))#f8$eaxAItib*m>tZfWk*SkPUfoGB@w0KGfBPcE`1)SE*cc+Zq-S4+u zd(qWh@il=}m7k93^}r)FzbN0IJ;ulBMOv|uGD}Xn7HXQ$NGq$IA1Y_xx{Gm@i{Oq6 z{|?E-znMefk)ol+WpAd}QpSu=J+m1N&If_iy9{Pv_6=6iV{7PMdOhBC-_zh-;e^M>{5%5C;wv>~gIz5BibpRxUXX+TuTgxESaFwvB{;AlS+_Hn5NgP?~;$NxLV8syAF-|Fvm}7W3j( zhkqM;lfN4HTBLR1+h~A}li{()>p`mv_&LRgRtuP&_QnTCoQ3hiu>+j^s`psqu<&_h zJ6VXf*;*J$^6?s!>daXTENrmKF$05^a|pd998RYrS0@af%;7lS;Mr4t zuED!~==~S{$$#lD{8Xe@RO@>+sJNP-sg&9csHA&fv+T3)z;chI0IvUPZFEkyhE;=n zMS$62iEl@84utB6xp*4(T) zfQUT@sPngLR(A*U6IBv37kfCwDx~VxWV3)8Sg)nksyceUKR`5U<5>TW&(_8oK17db z(w)&Qpt^LRav!QN?Kc#H=wZBc6T;W$qMTy`;`AC#?LmqEUGghWv$yod@+&CVq}Lt= z8yJFPD%jMU!2Z$WIJ=S5-oo2b?DLjyy>KRDe(XK}_#Zwym5c#f?pkZ@$fLHpBdZR0 zm?ZR*kqAB2ku}G@gRP_>T*+RJB`c28ksX&*F_=HwqNgjxz7v{Y2p&$x>tk2NJV;lB z;1L3mucS^6;z4Gml@&Y4j!Edp6}LU(Qz`Zprp$0fqR=%P9*3?Jd!ZdTu83a9ihWWI z7VoiqP+b?gk6)+5=vf=?iFv)~;-Cj{sA(*o@ z1y>6wgN-W-!8%*BLy!f_xLb8LD=h?bwg%k&9Q-Cp7-6N2Y|VUor-tdOVP#@UModlq zik}oB*?ceHyqM~kCPxplM{Bl&vqXO0%rNWP7cXuxzBj@{r6~VN`*t^3>)GGcp>N$UiKw=ESdrIOdX;TgY3NMmEXG}?8=-WiC^lG{! zFyl5_{RH4xd-NR9?{{l56Ux!COODflD9rE*v@0X;DoKJ})2cq{;i$KN9uN%{J-nN>)-SK z$yC6N^Ebv&M2x-D(q07aITa8?5z&sU<~IbZQ~>4?O2!{$MJqstU`_=9gaVI@=?cKm z+e0($Zo55^IDB;!dQO1QNV_2W-=s7En7EKpMsfkpM8)5@S=(s7188z#&MQgkQeP7> zrX&l1rvmL)ho$-f6y|=>NM8G zkMI4We1lN2QIcgaBllS@t2?x3Lm{&|LoAp17LBl6;>zcH1gcKZ(eXSnt-j4Yi6iB| z-7rPu_XJr?_1h;MDf%|*&qQ@txBGBa^-`=ra(PvuMEA~)lz2$vPL%G13QBlW56`94 z)YE(9Ha~Zs{O{sKJNsD!gVHlx0mwrX~5WI{Rq;DtBp-okE6yWYez(c zk3aqJs1g-8kYDl&CS8`upzm6(-lgLP~XR1a$Nr9*v4<-E1Rx=YSd%t;x} ziEgusm0jb1R5#9L*-+^r{TnOBr>pg@d~-RIZ^QL1Y(4((nH0nlpon}z04ybeo`P5b zIOc?T)0a)au?TT_8WDTrzS_|17FewW2}ZshQKiW@ZePLzXcVYLa{vpe#*a z!$Nw}ap_{cNt7j7CXrITvy$wLL?!)Nci<Qd`wq!U0$}r4-t) zjz(4*J?)Z}wMKQ|;mH()uK(QzFl`nbLXa-7ZIMZcWI3cTSX%`p1gWo!;J!0`4G&Mt zA|WWY)DC^@P-~GD*U(_ip{cLSie9`yEN=|4u_E=g3xfDZr#tT9UAHSzU%TLbg;7$> z4$uo9B^)>%_nhkt$qr;j%}G{-14nQiNa2X79L-$$Sx)LfRb!?DFGClqZtUk0iblMa z)2gd_1;(~sL5yVsC{c$s`HQ`do)!WE2uU^aN6K``!ISOe;I$5}w9)4S%CnLnEZmO$ zAKAeb$V+liZ<2`qKCafaP?YwtPH3cF%)ekz?hb=8?-sR2W5J-@3KZ{BUKhkLW#*ok$zGfFixp{j zT@cVn+EWOkK%qu01Zj9(aDNguT2A-{i)?df8r~v^ggDR$6xr>w=i3%vLlA zZppM?|7t2v7X%w2%Lf$Pq8XwVl+uYy?f?UUV**Jq*s2>5#}>m|BzIdn9FXjM;$)I! z?kOZmu_IULC^*x&Ig=*3m|V5hS7ekZr3Q6d0Uz|==BM?|C}-5C9(M>U+QdGxnH__2 z>W!ctvS77y?%dS*i2m5_^`ty(hw`c@QXmC*8>`$@ zzCBRm)&qd@D(zt77;8=%5!K-l=Y_fG$9O7h4aK^VGheamEm;+{4#OP? zdO9c;+lS~|XiQAAIca3t&S>ejb17D!Se!Hgip8*C z4!|SCuHHnQMDjp8qBYk0doxUiVD9X-ye*3~Z}}mM^nZNo``$n4umifw8nEzV8h3=* zz{X)WE;aIFl2NmEU2BIOL#Z`WwlY4+SdmYoZQ-t;tOk5MS#Bu=v%?O^6!q=5|*I`%H6uMToP0wD2z7-XvU*3eWZ8V;2Qtjpc zt}7#FdAT_3jF-EOK#MBnw>a$2tnR&wJ+03_`;sI*#zuL6y2?bgkV;W`y({22(FI33`tgx(BPQrM?DIkwawzx>QRX&SV-6! z`$HVNQjciFW#)qkA9mzs-F&u#=}NDtq4Gg>$yAm?rM$tz85@f4dE3mHLkiCkE(4X* zrI0Y?Ez>;=Z&9!TX_K#MaUQQ-NU+EHTz_yWB-~vgVcsojed?uH@%@g6qfzSN4(|DITcelfIw3uoCvwUA!lL$ znBPdn0n^yb>yATLnX=uADK&!YHj-Y+E9N{*0I4uIjb<{RW0i&}Kq^!cX#28Y&cX!d zsY5xV5v($0fqB}2zrU4C@i7J)6^hT5%>#;c?1T`W3c5VoHp@cZ9#Br^;r@B2ny>+Haw>XMeCMa(f92aN|A$iJq@PPM;c+M? z*h9OR>c_WTa^5XkO!e)wOaAk}@|`dIc$jMSo7xyTLf?UiHpj?iXe){lGGaCA&uXg8 zFGCwM>f{mvB6F?A-@bzJf3?NP=&@n0k%>V7`Cx$audZTb1l^o0%lQ0c{zUxjI!4}* zdWw>OS@h!WT=NIYkYH~{=v(VNO>5@6^C)pQ4PF?`hmVX7Fl*npyZVJ=_u`P_>N9g@+b)d|Ou_ksNarJ|K`8+abN$%F}y-im2T7x zV+mXZfM;68<48VQE&IyiZx<}oLI5}j!XXPvM$Pc<5d;I%qDDPdq*3=3ff3^TT$n5Z z-kU=+{&qo>$B1`_6@)cx!Rd^@iy-1zHJ2E5tI7E|G~;g<1PHotW}q9WfBnsvSiV)&1jIe}hyK*3&R{t205?MR?f^ZaO2G(nOwBll zSeYNOKYGz=bs=2tlU#iXf0M0?R^)59+u+M~NL=5}8Vc zq#aIMu(M>zNzKI=+8BMW!%*?KS&ZJdV%Q+3;Q-i9}g_uCMjQrc!M6<>^0M<4h&%q-LmgRB4vex z-_Gwku}A*4H1oXWhtfVD@l${0W4|~`#%e*@>@wOf*olmejse=0+7$(Dtq2a#u2ill z5Xjn=HbA>lxuRoiD%lk^_CI#Uta@qa?23YIMfDSaW74^oGvL`31w+)T#jQ}3@nB6Tn6&1lVRWPH}^o@J`ctX2yK+h0@#_`9m<9*~s|p+0@QxB?PmX zJ%Le10wAynSg;a;m6=6L7jWCO+}*b88DuHsS(O_s`m7+MCfxyfRULfNAZvVZOBo*v zJ|5pf%#!grFXp+O?j?Hx7Q_4amRjcBqQ&su4tnaJd+zJL?~9_;g7z9r3U$M)TWe5| z86ol>!`!TgRRo!$q~UeJLf?ayBQw1A6$EK`UGUUxPR5~ga_n?jk@0O21Yswz(w^3Bn#5{gd;thUOgdHQL1_B7#9qL<^bP2b&LxZ z^@iSp9^X54Oc8`*Egm)|EZLMs`^ubq7X)F;Rc!B*s-wKwsur5&19{VnNPgQx2goPg z`&`cSykI#>v+^97*oD#wvefVMUj|m6L!-!mFJ6y0pjkwlv4Q<%cxK z^FQ)ypY(ZWXbvJm>MrA2bIcqNv_lzFkdK(}ASJr2i?Zf8?D3{6dmP%vnnP#JaX6v- zS_D@oV7KdYq<7+)gV1kLF*}e9)@*opr8(xj->O|~Dh_wBf4GIVWA`$=Q^~iNQTOK& zu#Rbtr=HB?_TbNfQyq@5B!NIJ2lwt;VD5P@{U5(q zVh)%8a=J0RCPYVd#;>mGow|;hP9zZ#QMD~KRk{)I@ROz+d$2JK3CromJ=hl%ae4Unm zg%u}IChJU7xsX5K0QqxF!d8;fQZW=x)1pi9C9oX6IV1R@di0F{xgOe5KwJulBoO2? zL{vZE`2GZQxKzxBoF z26*WOY(M+liyoY>7Vm}^TOr=!#1a>>)4eXq#% zg8JPOHc7I&KON?i%9+>7*b1CW%yMW|M9 z&UG*BrMSW(IPEOe|Chb|a!Acsp0>kZ$JntlIKKQ)p!Prh@i+V%pEIS_N0o3^>!T_& z>y7BT#RMD;t3q#NwP6iD1f6eItzcH`N2H2GyJ32S5fH?RS*?$1>vZQi?2f@C^<`gM zXSF`ASeZezGvN$`5yhI-`nY1v!1%)>DAp20samsCc=NAYLwVMrnX0=Ugi{w9(yF1~ z;*(0>sW$EB$CqFY*5Sq`^#ISAUUcY7gu=TLjsPb?*uJ%!1pbqcFny!ixaRn-RK% z;?4TB@FJX62VfIw|1;~;QJr78$)ieN5?_AFN40+CCXcH9%1uVMn6fPlI_cHzakhoN zlRne=ec((nsxlwcoM{gpT0ZUJgUj;iVKtxO!NbyZgpaB32)noz=f{VdRrA9XP5$6v zOUeLg5UZKsCB6;4KF8mkBU7(9<`W~&nI7{CWL8Nuk8JFrvo79vD5d+xHZRayfdMX* zM6lB~{YhhvOCB&eN@`Ic&=*$TOaLMtcS!P}So6?10wx_jJ?a;5I>G!Q=ef4l>5!DB zCtnlXMTl0#8(G`nl_VLuW_5Agq0N|U9?`MaMugHBkg-^vb(IVJJgp#ofNsLug zNq~czcQ-5i3-r}!3IEvX{P}#9Bym^P#56@{L7XS%c(qp^9)EG0E_T};Bi9|W1teyw zHAn7_x;Vm=*e_Z)ar3+v?XrH+u$l1z*tFN{vb<>cxBQ}E(_b`xOfMRC@fVFB^NR*a zf6@4{4MpQc!;W|JqP?}P21fLW5lz($C(K2fn8CE=q@)!6VQ5q3Z)_Pur07$&BV60= zE+iM>L!Y*oyi`Sx5UBU(cG~}NvE8TRZ@Y_aH2`@_dzO!1hl^XB?>g_lzt~og0tnRm zb32YNGp%B?TU~5(^JBNU=&E46Yl88vyXbN?VSBphs%}LHRL7U?bin;Zhj*t8&FZ!n zT@^ideA&|Pm+iFw@uEBA{dX5#_4~W#_se$LALpCze|Na(Dl}I|m6(su?X*8bsMypI zUnP3it5r7f?anK!yL8d z$X#e(Jm%JXaCv!(pl4jKkej_aWBNI(XE~;GfB%;}_x7Kg9zV%RGI)0Zsjw`qm4pqJ z>YxggMmZ^lf~OvCiw~y@9yTPfqF_h;X!?&QBg?g~?#;6y?y5C$^d@^&Hv>j} z1>$Fa*!=H;O+_-c(q@A6NZL%cLw!jAlQt`@avwp`$0QDS|6dc~vV;T1FZ}F<0#z7qwxxX&gP%VAk^XA(WXK1&$Z4bn(5BKw4K!B?`KV z$`#TWYZhKT*nzp2m>XXQJH6T<@~>5}qhurLfOW7#WMZZ^A#~{+^M<5F4ShoS4BmU9 zW)ZJCj^9wbNY*ZyNBZl9^%lwHl<7cn8CREdP3);6j1pxA)g+2qguv|n8RdmmM@ z$!bAdX%&AJ^mE9L@TuC%bn)y{Q)v~!Jo<_XA-l@iOGiQmsW7g%Js9%P)_~uiz4TGP zKYQsoGiGoQ#bOkcYK~Yjd+DPALgl6Fz%S$x*2H|)kpz{N|7wH}OtB$g28=^hbsSop zF_%27HfX`7Sjp}z_hPL(*SLVI*pAb_fj#BI}A0S=NAM1*@( z2_nhHrjSOqLrHTgd^U1~dsH?@a#^&(Fj^#9f@?n9NCu+DsFNp0Jq&AGv$ z0orK=#T6+_ZnkWVhra~K5G!7JNpVGr0sl<8Jn)&u%%sGc|3vVerjb_b!e-P9v;ohNxuB{|zIT%t3R+F78as#e~ zNV>DYzv={(+M8G2vPg*etT5ea_l}&jwXPC9i@g#dM~ON75W%r@bwY#V9Ag>Fzo?|G`H* z{U81EN0*|4-VA4?vs;67wHxIv*~)8!H*Aza$f{MwFi+`qM!4-pdB19yPHQUIu(e&+ zqg=>FIc)6#lRwM=NIiMWIFHAor@Hi=4druinyRgLb0Qje{n zt`7^;wZWA8Zgo3Q*wEQ#*A{wMMb!@;T3qdiLGE5wEZY_>yV3W*%?UJ{jbV52lK(}D zNDP%d6&LV|P)UPx20#GN%+0MxPxZyA129`%O*~tzq$EJ}rd_Z-LTfR{U2pKM)MEVT zEEQ=jhWWI1&GcYj0JRNzVR>F5+DfJ;8@NVt8_O@+_9fG!ePJ`G#3%0BUnIp^KhND} z%ioU25anG3^TlhW9i{f$3!0Cua=kSrN#$2*dpxQS3+#GNGAW)5_}7GB;ONNw)vwFE zmjFm>_hQRSfPc%`C2S7kz2#{H82e^k0vsm41l-Kt?}N+gCBR|v_3YOy-UNFI#-QE8 zoslY6GKnM???wNn;(db!XJc+v>Jsd8uKe&(3x80t zSU=Db5U7qX+i^g}j>q*QG`y-DLZIHC+iCv>S`N7MdC+n|^D|n6(DDkJ--!y0>o41J zd|a@6I$CEe;elSQvWahjTlZ+;KE4Gk-8Y|lQc>utM*#c=Xx)VY4dJE>+vdo(@|2h3 z0eA689z-0OXCbND^;}S_lS#&uH>?I#sOr))7v&f|8Vq}As3Z^3=Z>&qM;KS;YTfyMv zC7U`o~|P(K%ri2}qFU_oy4=M;G89B|+I-SFq-pNJp}(^Of4e z)&5;QqF%r6m0Wb4p*&AmsraPQ*)rC4v9^kjWE1^8bx?C%UpHfvpqt97l0 z@1@jo`K1pq;5qo4?#Z}k?<1BuDj%Mbzp^w*Js!Cf}dwu8IzSzuK&Ta z8?QEd>UMMhy0E!e=Vn@EU&;2giP&H<0DupB1g~jbffIWMm`_tOKn)s`2EFQDmDc@a z&tyCHSM+8&zJMLm32ROy?73{G{c*k(pdTUgIrdyKf3ci8cpi+{Fun7k3-%ySDC-69 zIDaV2joZ_Cw}_^9fiAc&Q~Iw5m@F^BWI4y>QNGJx|7(B$jWZ^OJCP6cgBBL-t~K_w zni#IB(h$t{bhNRovZvianL{`+yftdso*u?J(6T*+3S{vEzJBSbh;z*L^tA18M3_m8 z?*XS{?L}mJih_^QogqEkSV@bM?EnmVSy-AG;WEnjf?`Ck}FbX~|Z zn6@nZa@WjYx^LA4JxmuYEx+VK(n_v<1r=UtW@jP>d6__+bGVT;b8xYtRkzh;Su+HSinmI_$aGBZ_t4ODioE?q`kD6mwnz=9$ zL)-T_qQr~!YaWD|7=PLZjg@8&!b~*WjcVo~%mn>sd(|Z;RgUh2nYiPq$mXkgZ5=L3 zP?fG14DGLzL%HJQ-$rGcvVLe4`I%Z<_d<5mKD4A!!%c7bGzLzkIWtO(cV0e_Oyg?^AZMy-!uGbtaiI#i8LE))|G(b! zrR(6tR!mC^kYfjz7Bu%Hkfap}@Qb9dv=WW(F`r3ROFOixg3&)5i31-t)w|pVBMCy_{HI9<*2E|!c069d_AX||& zS2&G)nv*7;Hcw1y0mem$7T-12U2SlV((GTHY$S(jx_b9~HR|XpW6Xcg|1}m`HuLva ztrPoEVmvrQ1rRy#pdgW8EoD5#ovJgY;223e040B?DOQvytDG`YtQ87os(&8mn+YOO zVZAv*Y*vuVKq3jw(z^_!p1z$QoY`|fVIX?x+quEnyyaW_!{F?DzUjyQ+&2Wxk}lNK zonuhotUE`cz*%>WB7D>J2CjJoxSMt7C~mjXonx@wtUDhCwOih5RVx{z2!x`%+xpE7 z^9u5~x^RU^yg}r}NTq0*d3_FUM56YTAsXTp46s~==Ryey<|G1*YIBCtprUcF5)`K`^}& zlsXNI5}$)T!36@UC+|=j4 z6<^mSkpMt~6TUmVeE{O?$4ruGE_px^Mu3oLpFPnljtBD0r(y^uU+#GM@TgD4V*`sP zWS}bHFBm{^z7pnv+sXLQ<%&%Jw`+bW;af-nMavHb7(by&B?5tyJV7x}&}|%$F#a46 zpbLQtJ7Dz?s9^E3o%RPqe2#$FrchxZC)xr4e@_EL4f zg3Y`LIuz~-x!J2T@+ew+Wc4h^=;WpE_<}dSWx8}U6C{^QM@C$5Md=8gf2diL1a_)) zBw8PWH&!}ADMsP+6Q!e3d|oac@h4u1&#OvDD7))eYLt$8l(tprh<%x2!%%?lLHX*^ z(HQ8jDjkiY{;Hz>IP8yas+W##0`?~kPs%MNFX$0UN3^x-O3ffnu!t_xEo6VI_O@=u z*BXETETy9xEFBq#Q3~)di{+4g~kSEou{YOX28d3P*qSrN8&ZzkAxfn2Ag&!~cf72Kw7>l|}(4 z*?f%xPBJnj$mj>WhE$?vA_7!`#KVCIu*OnoFzx49hW`!0_A2+H+bO~h%Bt6*P`MW| z{11SW9*QLKgtMT=hI*Xk04%0*FUD~(88Ypp5C7}qVkX0GwbZMe;cari>P}3S zql$kJGsv6=(cA_HlFWpkcyRB3#f@qH$G`Kx&DYH)rN+S~3uusWbP6K{B$x_uuU63D z;v(D~jq>6mu#>Xt#k<2cy}$A0Z~2tpb%u+8!JLv%w!4PRb7h2l3=J|{M!pn+*#=p4 z1D)WgFtm0$y9m)Ous>NYJ66mt0{Ec_u>e{He9CPrVRjKPUlnK;CO^-!e#nO%g^sDKb3WHFK|L{eh)%nFyMauG_W!trpDjCaQDRvCx`$a#<_fs4?A zMX_Bow-xM82ODs@V|}1GdcHfSLq}?6wi6D3Z1e45U!t^L;53c(#;^MITmwfjw04t~&aIk_I1#;uCIy_t_ zs7%li;}FbB_gYM{1;SV+j&`H*-3psdwv^dHfbq2%a7V|Kx~bAR3x1BE0|2!!tskfq zTf3hfgwe`Fb`ZGom6eC=AdD9tSSs|B94S0Z8s1_G%Lg@rk;Ug1XIWZZV2B?_mwAPF zklo_?UzxPFbn)L<7eD$wl81?GJvb8ZCJOACW9=}G34Zq3GmY#apHSpWzJ9(UUwZtn zc$oUG6#awe;d4nb#;Pkte@MVxPy?@6F#Zd_^xgl(hoaF#-T>5N2&2pbo~(%)|2anjf>R1qq+Q0Wb`)jj^+> z4WkSXqK&b$t>sS?ql|g(J;5p%FIE|_9&3b&>r(~eVU^drCLBh9pHw9rA?E-Bfk69v zu7CraFjJ`w+w<)SIKX;s%_HeN^Zf}p00!d~@YD2-mqb)(&} zf@~>fIWShhEuF=y!y$)caP&dDpzS%U=|dYg(*9^dIVU*}j2CzT)Bd2Mt$2+D1eTNg zeB%QnHfN;K;|K?sfi|G+a2NdwgL73FN|e`Ie)JnY@{dN2RjC7Ats9XbysI>-j_+>f z+oW1|!D>{UqGs(YQ|m<#(^t^HM~c&%8dbLiGl>Lc7-XYx;W#wadO0+D>{M_EHtgod z$a~{ls9P|`GtG%)tn}08Urn{{E5^9Cfk}R%){7+crJdvsmY}|nqSlM#8lCqN5#lUa zu+0Y-weFI;^+wkO2b^>`R+9Pd?9r#AIaW{wu+OlPq*^a)ZVuXB2?9FI8)_t}){7)Y z6x-FrT%cBxZ=p*bb&d|x>iDNha?$`aQRAGlE_d<&G$5SSR#?Z5k*>YmS$CqdkC%A$ z;r{uNEUyi=3+aP*Ir?C`bPRkK`C{&pO1$n5`d@@P4OyF#uVpJB4`~2r$xfP)zGOnF zkiJu`e=>n4X<@X!R7l?mw$mQ8`EeSZd!N&AGq`t#?qxXW&-aAxT_VR!cXGu&BPmuhLy#_p z3xbT@=GApb=r)3MF^XWe8=Nu2@g?sK3X|x|{L#??R&fG~JYQ+zMe>NU@$co!;?t zpP?=u@v{@c_LfZ8jK!?aw;4B{5T1977PCJ87M)|(zv)Bo`=NE1bxr$u=D?DG$Q-<4 z0Pay-Hg!ZfN6gt$IMV>bUQP&)671!K@F>4tP6)%{P_zCB^m@rkHgR$K=7y;*)Sm9| zfJSe?KH`PO%`3S`WUkj$6sy^h2zz@S$xzx=@=**(N*=ZbrOmd=(2pEvOL{3CklV>1 zoyhQ$PFRwedH*I(m!T)IKjZq`V2ikagY~09S4h;;`O9|fFHT?Dq-R$qG~LJ5LQ)_l zKU2v-s;lMO`HVaEW-Yce%`AQPJ@3Bomfpu;0Li>twAjwuv7I0Hi68uy&kozEj*K%u zmG~?|VoZT;qOKft9kmSz$@I+$k!&6ERUt7(TOs0uAjUzqf>lTi(l{}RjC2*@YiEUM zJcq=n3$UUI2OASRwdxvzIV9dI!MF$-HEsyzkl2QwG1BdyDUEg76hVsWJWflCy(Sjp3{W>2ohjkjf7#Q4hJ)f6IyIWRnq&svS*TMDlg> zKU_Tj*L*FT`FnmIlF^f?!%(Mp8NdfGvq#RWk&4@q%&b&iA`F!Z>Jo;&1_@|QmrQs& ziz&SwQ~IfI_`-kpTc>eJ9)`jVZ=o-IQ9TwM9VwE5FVXvhYI3_`#=Vr@AIvld!$;i$ zlf%&H{Xx*I#@WsaHn$2xm)RqCGJ8a9s9NYIuvSYNx#%RBX>DnURrCxGKzDeBhK~$H z+e@3!c~B*xgi3CJoqJEue77 zn!w;?OJz<)8iE2|G3x96@npn-7<;c8Hv|Q{;vCuA6&gX&b+HE=vlWyof(e3=iE$GM z29F2C9QC2)2K$P~j^~J&WZ)bHTjYW$(<$jT#y)99EzVKWK_<9gb?gjbl;g2o2r9P7 zBmwHQS|XsZ8j2-JVL0`JdmR%^Db1CfjE6#}4a7pNUexWWkjPY|<7=!+R}SX zh64G&>&nD_TrDAP24W&fHKt6Sa2=*hoKR(@Ok5ABiJ+>QaWBBcdWRcL9SS*ZI$@*^ z&*{Wqb48vfiOk|zh#^50CuJzFX(&U%6P+Iu*<(H7a4)5~`40Dum*(c(q9rDpe~Zp3 z&As>IKJN{`7=~BrF1<8o1l^fdNFFwi>Zhk7c_2P2%ZiYJV@&of_$T0 z5XP}XG7y4W*rG;|hSvr6+Z{op5X8%`y#TQy4X+FC*88LMMWRe*V+li$hSvqrcLG=p zEi^`$Df%}AX?TlZ2l6Em1kgw^upvmpTLdu)Q4WpS971vs1i(g|;F5sZC}R?SeUE8c ziZ3pCfI}jn-W{l-+Jj5}s7T^k>uF-jB7?!|=!{rh67XQOk|4Q>J(}A%Y0Sm)K5Q71 z)EoyLg4oAmjW34~H@Xgnbc8J}drg^W-4|pCE@=PYFj;4_mId|P0JwEw|Jxk6*`!o| ziU(XGfugMz%+1YWZqTBc-gXob;U*=PT_SS!*Ffah6Tg`K$G56D?-ng)|8~s&|NayE zfAVzd<&kjDtBU54jgQ!pnuSG)z`8OzM!elA1O8R<_UPoS9B&7R^@ypK;vYnWn&a&Q z#uh6q_OwCtdv(0M-^1z&Fm;dYKqHvr?b8;sY(x;AhZZ#b(34h@)+4MB<}Fm&zz6kA znFljwSh~^jzm2u3j@2`a828|ja*TS&yIkJ5dDICG?$TrEwDwQ!j+Le=@7z(9>x92MTLwQ?@prgjFkej_a-L5&SXF0YA|E+KR`M>sGM}<|(-R@Rc z@f!tHBTLwCaW_OWI>x5y-`Q|#0o{r<&`6CSwQ^ap1FNFg1T?FTf|ZaQ5Ho||x_4+k zPrf2Rj)4ELjpYC?$mY;|a9q$v=|QmMC*sHOk#fP)7TgcA;vNHqnicsB6hRO_nE!Et z{i5NB6)Uh3x_yz8=Skw=?e(eqcRJ8US+N25re@9Dzq13kBl0aQORRg~E6LINc^<$L zsRpid0?Krr`S$G4h=kk2HJv3hNz!Qgni!W#9t|3I{G(X{MQQIlm~q4G@S?ScqauWk?#Ds z>!7RzJ0L*NjI>{%$zVr~q~WTrqPa`ve7dPqSA5h6r!nfXnO%kAPc(vZ^vl_i%>u4^ z9Kcofv@VwS@vXAXyG4uTo$nl-1Frg4zWYnw@e!|o-Rtf>^`w-rge}k`YA3q`$0_Pq zn5&E#GGL#t3G}2&Wh8?^t$5JnW#;6gH>J_C%<+Oz;+X}b}VXK(v zydo{HuZX-HhqG*vFW(^PSFcFRTLhKH9zEzMRLB}Zso2<|F$D(@Zmf9NBahe!N=n?% zafJ#nQ-TNNP1{3j4bdd`2MprllE~566nHx=1nF8sG)cg@NKyovQj~goYf25#C08BO zp;&XT#o&B}BpvF%97~~HNY-2n*;FN&Z;zqVrB7ezhbzf^f6y_@Zd8l|HDn`X0`)Xj|_X0;u(YVR%*wFs$Kj(1K(tK=rs68m3$(6!C^d z#SK)tc(bBnCEF2fKQdFUG?+w*km=H@V%Cn2m+f?XL5QdQ0oFQJ=PxgOI)84*{(bHW z{94Z)+$Vk<=+U#unCyK#*D0BsUY)mmYfzb9ef@v?H~;Lf-B=hl8Z=d5SgDOoaodeW zS5+9c+bEI-9sfq3?s1EDAKDf(Jyt{mWnD`Rz-Pe)qlgCVOIT z2v~(-qcNbQSN$}{gFwElMY2@UhJwI+d!piX{UA`(U5TtzxAiMts@TrK_YWRA{XNdagR>O(;U9Ao5r1cD$n~(y|1txRBI}F8 z@kDekp=IcrY9EXgSzqiik594fn@;li`4!WiT+J0+9M|a7=9&NkN>_CynUWtD#|uR^>q?5~%p(16m8j+S_~wPDikTKS>#(GiQ59L>dki%f~+G|*ed zT1F+A9`%d;35!dT1{8|z>!Z=B9lc!7BWC-wc^|0)d*Eclmn88cvz4o(=X=CCz?#!I zouoKZN#=WGy?@9Noz{Zit|U|Tmfjzk2Z!~48{cOG<@ z0N*?;14w0{gYyq=5+T?jA78-5aXQ^f;KSwTbeoH=>csElZH~N3*IjgyO~lK3vAy>+ zAjMt9iVcD4_se!V;Qpe^(Ccn{(N)mvPF}WjKyIh~j~Cq`UB7O3(N({{Ykt3Mr~Prh z`Tlo@i|&-KUneRt9iQ82e?CvKsgGAlB)w5A;o($HQ(#iCiECXp zvD9vzl2G@t*bYXcWTozp9;`|#6_EB#p}G4D_QwS(P`u1uCJII-HkW-JF@Dvq&%cch zmHfXB9=r!e(syH#XKf(#v+sG3%3m*B=I>s(%->y2YbtXK{Y>hyg*;J-4&#Vv1a%%l zT_npwm7x3(PENcx`>rqsDr&8F#T<3XYdR!XPI&0jau?nRyRh6qVX1eFt9G>ska}qT zvRD0Gk?w&HfAv@YmXDc;jZzg1U5AbLlD+R3FxJ7nZUnRQjlvCmESAd@o}Rsj$JoP|b!LsaHp;G>-!#G3dz6r3sgrc;R+8y~Pq7qICGEpm zMMz-yVk8GoaigumdCe+90^ zc$u54R7FVeWOTSZI&6?>s9#K>ONgsPh#6nZE$Tt7WWGm-4c;D63#fhfu2Q~7aHBaC z2y3Er(K~w5N$`x0q-J5%)lY9|!<=0u6pTR0LP><(kMv?jyd}>tHcPB^ov2Hmd4D1O zudRIPFD4?O{)>qt`-{ns@tVbJ6rcQD{l&x{huFi9=PxEBuF)5BB=*u+D}_Q5TIEng z)OEPhz1Ru<@SVm^Xq~KrMZ`{(@2~xl>4bZ}Ki{bmEFrY&5DY{S9nn-B`*1r23yh^= zQ)8`IPD87_ToZ~SG^%5#_1(r!3|R8GTf|Pg>i2876W33+;|K3>zBzVAsD+3p{a^@G z*H5-%e_XJ9{j^EggRT3BB(bw^BKAto0)j2X>2Ri`U7xWR;#~MGzKNxF;9HDP3yba0 z>lptqda&7EKAq?}UVbGvd-dViz(bVb7=pg=fBgCHI(}Ak(kjV-gSL%w06b267#&YA@*QXyZgC_~E-@Y10 zGY`{WyY?@dE0;#B^T1%Ejac{DTSf0{4R=wvgwv}mq+8`%_GKmE`Gb7yu@6-`*mp`L zdabtBdCODV=H;nLzw=F-@BW#OELjEZMRE|XcTQriB~%IY9%2JyfU&QPTG$0a8ORuu z@X5_iyYoYkn$!h>MKv!TQEMy6%kP2!KhcS&8@;iSBe5biX%Pf%uL%NW3vVBGAMw=c znHA2Fn$!gktF=-Mz$-E(9)i@QE(oGvjBH_?BN2YRA~mTC9@d;o{AIW;4QHo2vHXyRd+`lF@i~7m$lP?HR>RF6uLuS%_T#g|f7MfkS;Oter~tBt zJFGhvoU!781f;c^$r`Tc%>8L?{;c1`TEmT!3sf3zy;8zesHL8A%^Ge$*5O}iILxtP za#xZW#*h*xDZZj@!bF@{z@}yg&E?2+5md;zUDY>K)yZWv;o!qxq;D=s!V5k zsy*f0C{0zrsDImsHdj(IGyS|w$;#xMjGi1ESNo8&E03hfU4#4Ig>>a+Nms5-vSP2x zgfi`UqYDo8?r^B{Zc%IR798r$;7~vPAARuO`cUMT^k!V`Gaw_{y8V?^!e~cH8y#0$ zft2OYBpapCp;?tpg71NdgI-8~XjbhrFd|_smYQ+8@3m+@)uVU z0z-4;Lb#L-v~G)pA%Y$0LjVM$tyxoV_=@0!VR0MU8v3IxgHvs|;3(Ec$_94mQLIg> zSC3IOX`*hWLK2pDy{&h@FG*!GioDqIlMlleZCpBXJS!KiHNnRxxaU%fB2 z`|--X>;8cZ;F06LqVNpl%DSq9OSg1x2H!Aa7CWF7;jDRE?Do!ubGEBjpkc+NMB!Mr zBjvV1w|52&1MG4Z*(RFFH*khC{ z?Ee!0C>jV1pd}^p3J*6s_b?mQd2>R}ty!^32%_NzzOttIdhtT--0w&xEt2bfd&w*3 z{;tP%DgsVa{63O#Qvt#p5n~pTg zb(tzm_D|lCcW$M=m@+?<{X_1i8!~}b#JW0+L7AnpNNQmclt}TA$)dC-1SY8JJAsXX z|5ojg!A9!4*CwRuJApvGKexkXpm&2$VVEEpA&^d3n>*t8vYqx9lbQAhmaW200gYE3 zU$$d^sZym)aqirN-N)6ULtSe2(gfuX?S1W;Di*EYeAS0BU-SHP>-VKFMlM2&e!_!6 zJ%Li*B>D+0yNphNelmKI01SzrY~Y@l8w_fDwIU%XVT=pz(NiFYM$@IL(h!t~)&z~S z8iyX3j3i-<2_{ezo(NHTv7$W2CWwAiO}v2Q%JgUmN*LpUXhT(FvqJf)Sy96nmjt;K zGD+a)@5qbAmm-#|&`;KmDw54?Bp3z>WBm7kFiXr5rY%_&F|8?2x=8}Ntgr@5F)(V) zB$;o|30hp&1fHvRp85Xlw`A0WVZhKQX~2kIG+W)Pn(YTDsxCJXl&GNLuO4QFPnD_P zW?e7J)s?URRk2qLnsBvNUk35q!2#{=NT?Gn z%DHa!inXe?SOYU;q;o2ChJp`leT=M?NiJ9sXsC9@N>zJ(06H6>vHH-Js>bMAN#%o3 zt2fxbQPns(8|2b6JhiF@dIQRmLs$A^<7-uo!SMo9fciHQ;m%-T^k?>5W6oCxNI>iUMy?~(q(W#9Ff!4 zU|=^}OT2}kxC}0N+F{6nF1ZFGoklxJGDG2#FzIldI8(dKKEi! zwg^MXJr(ng#Q~{4smd^jUINW|w`j4v^PQt}1`@peXa4ltz9D*{)D3Eb_0cS74%SDL zn>koVERP%@GqoG9{g?-4me;n>&^nUg%fb3cg0BwN_a(F@Yh)9$=3w0*EHJ1bU8pk; zlg6(Y8Z}c*bttUV5MzjZ8~-!gHZjPgupV-ssqDQ&Q*9W&nr#{D^XLbgFao7zX?2ln zC&(zQtJ;SqBcgWFc65R*zk(ZR`k<1p3`WcEj1fRptw_p}$>7L#vM>$40y&6vRV&iq zFCCu`N7^6fO9C7gG0CyUwCE^4qhYrfmngx2gL0-}pg|bdU&yp1#j&NNXRg-HyP)Q_X;oRm;*9ulT2}CvOR07SLuq(vt^`&A&-6JPUsSsoTOzU`NO=fC;413)$GCq?~v4Fpj0zGt1Z zM;oBPRCdr_gwe)pY%JX+7cK+urLq7Pw^}gkq|*t-U(q1gp>|f@^5(3Qc5u9)4xzld zu9t_;Iw`aq7(&`ZXPp#U4w|?wD^Kbq)eXj?lBoqI}(;aW@UNq88Yoznj0`v61l8~Ptb1n(_D{@SI!d6-$qifZVhy+R$CB(Od zD7I$49U;Y?lesx3E%1-N=!M_@b5m&nAZbt*XK8*5AZcTqvbjS$8ky+ntFMLO{VH>Z z(SNjy!%lrRcL(r~NG)K$IvrXAn$4XJlERAc{#(yFn>+aO2T6+WK+)RT?riRkYmi!s zr3Dec77a|DAa#*z_&^h{MKJmhL$IphpTM0ZX?6gktQjy#rpWIJ8wWk5YpKBywA!v_7g9{BQVJr+79KJh5|zxXCT=U7U8npEUlfr2NTP;ZQwfZAb880slr?kvz0;23|9H8zRzK)29gh>@s= zSdl)P3m(AWq$?u*p?QK3qzZFExRpxa0MaLO#X^uO%mstM0s2-oQwUOpxggq{jO=>T zNq=*0HKGc0!P9ZY-YK?0?#!=@6-9*=$z6vgFiK*`bgy%ZKATG(HuMvb+&y+j3~{3| zNNchR?vh~n)+Pdy<&XAw;gFmcg`=Ym6rW2 zWZTyAgnE{Ke--$>(SWnHdd=Et#MmWmN$d4{H_oDmw)+lQxBc6TeySZq!@|eV-0hC zH5Evjrh|5YMo{Xivi=G&(1w;0p2TL`n{y#a9TUVX8MaNslRyx|U?{VYNK(fH(YN8V zZ#GiH$bzD1Opxgmtca%R9T*pz6(yRdRUNyi_4}ESYb3T>wD;k$0HYqWVXn_r6 zD|v=^j6+O-3CXi72yr>5+Mz&>bU-v5R2UioU1l$Xj%FBL8tIHlflmQSkL+~FGm?xp zx6}SO-->et4crwbXMzGm`gmeFMc{GuyyOsB77U664XULbWR%Wx)Zte1UqgWx3MA>s-Gir+EzWrETHxrbJ7Uok~lVk zE21sAIdo1M9Wg{#ehg4I7)V{8W0N#G5}%`B^g35IsnS%Pq&l;zrJ7ub`au7^&KZTq zkWa0W(On#TE+Y0%uJne~ShMKh%0n``^k~iqI-!IuOU~##4Jv(*NrQ>L3=MX-IirPq z_U_1MO~ajO1(wj{W z(fHFjG%=DW#4ua%$2WpGG(jV{<}OiAMrU;Gp;w{F(TP=$2zMI69GdJ97NNx&p$Q;f ztzZsKM#HBnG#QPb>d<5?fYP3E4^74*DD4?H$?*^>-=6Uhs=7a;AymFWW$q!oZ0Xg{ z50NJE>^x706?g;?x1La%>jhiYE!tZy7%&J_>FS%VJao1u=rdWJLjZ@K0%l|5=;!$` z;s*Hqr*K>%4I6$)ML?qajWbTE%%ewYSGq5~k@GQd9<+(EoBBFK!{*(?u_{^ec-jz3 zL!ul4NkE-KAQ^5HF&AT|a}u4JtW5i(RqY(wLd?a)Q;_yYlvKrxIyf_(Fkkv`QOH>Z zq`}?$f^S zxBh|en2zXPu}0+Mkt1!dh!Jx{H`=jWMRa2w%Q>P053J#yI&zcLfm)8}!1&8x5*Vd! z-@`Oi5nW&v);fPT$ERY4JnjVlpMe!Hu&fOy#SXb-KnrfJWm)x5l)RE|wXS7Ts}D7P ze24^C=;WLYlReu2G8A5Xx+xhw_1WR#GGy<(gqifHh4NG$8&{q-Wbb_SI4>4iDp{{! zC2QU-YLkXbxOg++;xBx~@B2G1MD`nJ$u>G4kIGbr~oB%}^IQl+y7yNdBAe&ItMMWXMD-nvAto zvYLMl$VxW4YB;m)wtw-Y?xV*?x}f)F$9Z1v`>em=84P{miT5j68NRYqvTm@F_0*FB ze)0E;^KsF79#K)fi7FUKjL-K1Z25_dWX}tjTlSi_d~3m2_WH%|_!mC^OFpKQy>y{g z`yP%PFb1*ee_9(>+OJoweL?a^WHZ$CiY<(cD?+f+zGD-4v-TaG$eXne zldTa|CCPk$;5(sg$u&VU++HN98T-)>-QKC}w_t0}mvd70i*|kZz-OjEd~oS4FAp5W zh=22-?g5aDqFEc`@F22h_-OMYv8rd#utfZ8;ce%?x}A9NuxBaoPvzUfS{3>qd*l0T zjLJzh%72bb>_X|(o9a0<{|o;>@}G9`FF?Mja2!lR1;uE-_>fFb{Y4)00Q$2=GClSe ztV7E~LK2o%QV@`Sbds|OCy@-W$o zFc7d4gQ*Y`d{wSs;MTGCtM|hu2$;bI#enUr!HRLL$(1xCT}E@}X6_&)5?*$|m=X!L zApsY593JMCR0>M5DWFK%n3O0HzX*mnNnx;%RI**hj;kDE8|VAh<6rClyU*_4=bm%s zYSd7pv-|eyzkBcQUXO3B^(|<+=2sG8`?BT+(1}Pc@s(Q1q?pdOU~pm7B)?C?FEV7EhnpXL@N2xtSR($lyvY1ldsT5* z`y%t7%HDw&8Ao5|i~NQb{Vs2^4Fd>Jc-@`h!ONhqJXb;FI>~Ot! zC(8HV?4X14^+TXKUbf@^o3&oWik9EmMyLAU!MHpO1+;m}X)+g%Z zw)lxESNKi$o_S`Nh7P|h>cGm&Qv{vi?oCg>RVYLnxyh^3hn})}mZP8j?SJbFzU@b& zCsB22-_Mqbd`!x43VE&9lS#W>8hZ9iwr$sf{1r`C-;O?=L)SQ6T3+UY(B-UK#i?PX z+Qyc250MDK9ic@T!cCGn0}m_dkHp(#lXZQK$(rF>IhR6g)S=g%lpGEeuECLz6)|VE zHD;Bcjo-&i{_=pL;e76CCJLTr^5KT6X4NGf#v8&-ON*Gn(c^BA>ohLLd`5qKTZ@BH zrE`VZqflYyj+Wo3TUXnaIBoeMu;AI{fAkT5B`SNidkheJy(=-@f?e6{I1L#F3t_Xm zv9`;gS{DQr5x9sbh$%AqI)@;Ym*sJ{RY#=s7c_=N-J^} zq!M$%9ZEJNAn7n1f!CM?sl;5c+in&R#Hkk;@>~gyDv}cD=_@~^M2ZMM_q+8Ckut_(3<^62|acm+WE?#t-SQ%QY{wU`4RBgP<2&O`YvB3+94{m2d=N#pPD|>+*`U zU@o{XeIpoXq@hBrNDJnI-C;@Ee;{fL?E%ay(hYY(gmARmDD~NKSdrSw1&xM@ zyhUj8YXn7+xunffqU5sQ4?+;2NCG|9k#60=;O;niRTETDG7McZBr{3oyAzEtU{P$j zPNdkw&mNsH>t(+piFTt%mR6Xq-vA_Vw@XGZ3)chyt=Z9%p|Chys!q;?P_H=7_I1 zPK&xJEd&<;+^G#XdbyX+zUuKuE31;DGnb`aWm)PS7M?CI;q2H0eX6rlK|RAD60NVl z@*~T)hMHK0M4`c?+du_F$)S<>eq-wvgCKb4k+3?3)0BMikJdQrHE9dDDNz&-m)!;{z}Ap1f+HgX^gBS z1!*=(#HDDTrX;efw8E97V5((JFbq->qy5BQSCWFBx+L08@P(v@T&4+ClKJ)^Va2>N z&%PAauS!y|PG3`|ZBr6Q5IL(P^9{0L4uWp?zk9wzxdEc-@IT2QFbY*|S@&D2M!$** zT3y{OfNj~713k;Bvk~;DU7o10(}@a=S!y=fX%Sb$kYY&5f~J_a z?dgVfKdq;`?QcL&cNO%G5V<^be}nX}N6?K-CmzoHg`3QX%;-)*a~<{S&*M=qDshqq z0CNnQDd2fYW`Tnd7VL#MJtj7dGNQ*XU3xQZ`5`m$JO9~l|F6F>`V*8+(PI%KS7esP z#$b=oknb?g3@VEq9LwI6QPD$2Lta|8z&Z*uKqoQ?N<|I1AnKeaNrz=`WodSF+mjEQ29d;rb?3xacVUaE_sYp zUHjxr@(8Q?sH#*|W5p>G{Aa2d(K?Rqm466bq-(-iwht}1H%yG47aUl$chZYw;yNgC ztmK3&d=;Xn5M9y^y(us)1_unUN>qPgRC9KzMTiq3N%YThtnq86dnG zfbbW;`tN`0`yzHzwAN!c&k6x~vTkC8Zda1x+O5zzPV0?wEd$@|WKD|eXfBLK*{|_v zE{sOm1&`*!Xp~*>VRB(^7mL}E5BjesP7a-7*mU8SA|IBcgLyj0DaKg5wXm(~l6h=! z2b%~6PWS%p;T_SYJ@0=ucsFP8rro01;7#w4JY_n_U-{LK@1BY%REtC0;CXdesW%%U zkj{l^M+v0qzxs+td7&AFy5L?}^;u%LvbffE!7|d|6~P?}$pkS(O+Z^6MuX>qrHl+; z28kC2m$4!Zo(o158K|5!QVu~z5-xb06`IAKE_s9%dNj5y5t>@JNXl@B{F{x~Dn`~# zrS2>x(a6yBL}HCokvCbY`5!;A|7~_Ro0K|^v(0sBrv;{xF-^8q5No%kh$M-1`=iYK z3o36sk`yj|WQ$Mc0kQRFOZ(`K=bmZxU#3ObPt|mZ`d<8c>Xu` z#3$e9e`C+kCrTYdU8^}|XF-CuUV&G(2F^1cezsq3SR#*F*#UGv&K)^nO9m}HgN0JTQK(VdeJ3KN?oYJb^pK=N5{Oh{)> z`HC}?*$_ivbO-VWW`0on5V4=EbgtddLv*Cc z3`jfL-V+oq(0a!1<$Vn(y)A+P_I?_6{;>4c51R^=TtR$c;^`G1xO8!5?4K$y`BYSN zv57<{nBrnNGPzagN6Y-iro3D~jMOaQznCck0hB|;4=&qrLiAm+KB3edRD|3$-a?&Rm%A>LTr6=D_3k7Ffe0nH&&IH9XRILkxbH|C0IUcgO+V+hfYbe{a9 zY)ipq!rEM(y3co%ZE3OhyT!8CUY%`e*n7l=wLN?Cwb_<#L7T3I4>qzTD#^AKbSfMi z0$Yl4vh9izjk3FS$11(Rsqs*^Mury*=naI112m_d*@#L$nvdeWN*e7{=U!rs(%?FlJ<}|2pio~ zQ^!1&PC@9Jc7zi3hOb!q`cOD@e9V)#>viDDQr&86Sh~|!JUS1GYTX49Ygu0(fHYNu zu_7M~7mU8XHHpb-%tDZA-36nq56m6yilWwC^62C!QR^-l?Q%n-qX>n#WVFj!_e#D@ zQR^-l?Q*MSuj{gI04(itAR1S-Zi|e!%c))sWnE$<-O*Gxsj{@tuFzN=>y^tYy{sL| zGuZ^|i=dF68{DIMzU%3X^F|2&i-w4KvhHaO8PYNupq$n_I~sKdo0no6wP$xkJ$%sC!KPu;pl(_KXm4!!O`N!h}hIe2&p zImdSklHD?91E1@$;0{3*SrRD7*tU*+tKbd`8dWEv;F^uA3GR+?j*kXS#Wt$#d#Lg# zwVLQ#Z;h|+@Di!8z2t_}^9~u|##+l}yYi4s{2Qf@mq^XMH=Jga@>f#il=5e?olGs^ znY79Gv?QERB9+h^1VQ+9S%FBBL&QYz9WhGx#!95FKPr0Dcm31<^3$R;MWeU22-K#D z1993en|w#h0=_`ovOrU-9!Qe);nA|vtPg|65G{l)KvRQVHnI=NtsXOO1$Gs_LriUG zVWbM|Dl~@O4uuG{n#*8U&=`Ulu|@7`eds)fLj|Z6*mbl5wFbMuC$4bQ2AQztGApp_ zNC_&malSnV6kJHZJZQ`N9OTvg0ZBPZFPL3(HX6qhM)kI;&4{0LyJ1UHy?Y6;i;7cM zoIts#f~LW3LmS2Q{2oTxmNlhcwI-h{F&?TCV-W1RFK+UL4oUmQMJ!zC& zu*3L3iBCEd{Lmif6={=P(8vZ*;J9P>Q47*%a6z!Fu;K%POO!1(g7g_&(5{hWpi5)9 zv0dXRA&rE%^J-Qa^Hw5)^1+QtgaEx=Wyway3|FQ*+1|Jno5?^cUKBM-QA{V>5q;2) zj4g2%ODuBJWS~={4SV!QK*m=4G6&N=^Zc*;#z0@RaL;ovR>qfzPu6(d#G&;HKjX*J zdOr>jCsP!NPe5qW3QAPxf>0g8Hw>;2p+L$t$J88tY5*I1@q3Zzwv2h4nwrA}_gc?} zQ)66+LLebX&EbLt0YRad%qGvLmgJmWW2(VNZl(Fwd`f)9E%*|(lnx2=_SE_S*^&p) zvxve3%d*8Kagn*u8(B4EcId@}S`o^ap^i&l+KX3L*}#}Y99Wc>E4uOzq0Lm08B3sT zWJTsMjGhDj{bUuHPdaeoxP-D|0$)7c;eUY#%;3uvf-eHEctcuu>m?;VnW_x!J-LK3 z{Dl8_{kNBqkbX$$kf9gONPAfL zLEdy#GDFUp?isJLnW9;At(O{RfMw40`>MkdeyW{4$z^E?*O5Q0xaY2$aQ$J)o^ZXn zVFE4~7$wpd?veGe*gJV;HTRSLJdvse6Cb!d#pa66YFli}-tDakO66gSXl4jvh9K@e z_p}T`TArOheZX^!qA7laV_3YFYBa!$FWfzUaPP%?&!0af|E>o-6URPN9sAt9^S5ES zyDvyO7F$oHrf2T+PMCVv3+Hcs!?SVR3-_>n_l?iS!E%YWRLqwckmAKKkoeB8{Zs$h z;}J+`PTd0u%dp?ZY!Nuf>~~q9J5sz_+XZBS5QGbY4FXO)1Bn6db=poB+^?C|fS-)I z(H{3YZKn%jNT34fL)`1MokeiH*2Wm{N%iW;Xgggnin&l8)_$2>%(cTjRQ2UY*xYUA zA=6pAwWclXWURcU3iNY>>5>Gt=&#)PyvHO-?N}tYs3q1;Ox8!&m1LqgU2?l(+m7~Z zVp>!qneR>+vI<6Xne7r~Uz3BA;>{;xZ#jijNUPz*P>I$+sGzp|)T-JkUcwX^z0{+; z<(ZJGwcwIzNET44ky6UE<+nw4=w|s9AGSwSX1YX=B2vJ}+omt9l#1cw$aXUMe3{dx z#QtIO(ea9x;bfu=d-gBT#L;88JbTN72O%}VYoL<%moN^j6umD$gvMU^SI~YpE=1?TH53={6&amcCq+4=eGb>IoB(|+M$)QNM24Rl~ zqOYK>v&o?dRGLkc1JicfzmY?c4rBHNZUJk#0XXrkt55_}X(0$l6TJ^@qlc(dI}Z2B z3T@DlD7@~`Akb9Blu8>mL)JggsOl>!t9($66>5(=E>?LeeN_`2rs%XOGNQkWHlMMX ztfffjlN-Ac*1TK`W9CR_j&wdeBAxGh=WqDP4~`~V7o2(s(+oxzYq#_Kq?%?=WR?+) zLs?mxW*0=JgmV(%Gac5J35gYHnq3e+4cb~Lh(Y6OaYK-%*#*(8i1aR#t>BEOeaz`w znr0V7_x(~<1o?olxnx0_<|0_8Ln67-u1M4Dg29Lj8{H;^#WcHQP~xJ~vCT0{%EToT zCoY1$>g!B0&1!h9_z4rxi%si)aJ#67^auddP!9znVJzd5N&|wl4?zK-%U@wzp92oo z)|cavIc;)MzK0wO}C=Yrj8OC}YBLMSFBc#&6> zSkVXi99GJ;wc7%~X#}bC%8EP@~kS2BWsU=VBr`~WzFZ3<= z;$%{I78IrDO9K8mK-W_eV|JU1)I71Tsg$g8a;Xcg))d+zlPsa#e!K5Ynv$y2a`ycS zc7t&fu(JBMJV}v8G;?G=k*m@W?y1u(5@N$W(!;XDDpd6?W)azkP`sg>hd%Kf$9tq_ zaOY6~ckFq-E@e7x`5|Tcy3hIJ@A`r8AcynJM`>ex?CdhYaol zc`71pmph^phm_VAf93L%|4|^)s{L9I5Celb))5}y8cd~)J|yW?IqN$y(%O6uorO8X!p&b-P3MS zYqDl~hO41x`2LT5|5ttcN5A3~sQnGA)0+M30De{npfB*CP62*eBV7SMYs{opv%i;? z>1Z{)-Ficm1_%*LjvK+s>~EHuh$cc*n^UXnabr&ATs=1~ zme_s1$O`xYRlGU~fQb6zrZez^GA4543PjXHyei-a%?Yd(qAP*UulDTOE9=nbf!iag zb>X3p>l}3uiW>m#{>1NIh6Jdof2vdg4U)XOkUI)E8l!Z|zh1x=q0<7wkh?q>BU+ci zcX^+23gezScQU8@Pu({MB7Ld*yJuQoAn0!vJ_9L$l_MxI@4o*)^XxI--kV# z{92z?D|&5B1_|aZ6e!SqN!7lFx zikF7}c(Hu{C4;=bWSHI1zGVETi7(laISU+WzR$GzpzVi0n`r+Vc!0e8pp7j&`zui4 zud4$jh>99E9FQI4HF)`@Vv32(^{pp3cc3cUhd_l#$adfnV&`Taonzo}{fAyA!P zw$ll>XPaD3vss^QsyPW8QHJS++)l^u&o+55#HKsjRNsHoe1F+a$K!hQ{om})Hq~H> zji|$Per~7Z`8>rY=2GC>mKsL=wS4pO$2!@qTI1FoY~kT7@DeuhTbE5N)h$!_a|erc z8GgER^kfx!R7NP2qH-@(#04SqSjy%qBwf)^!L>`SpZa9o*YXmp8%afDV_GvYcD0^QW zjQlbL<&iZ(7+CNqL#K4;x6)$m5ERqkE5Z|iAnU)utl3hQoH+frV!Ddqg4xpb3``2o4F;-l)QY#3y~E4O#BpQ(-`vD{rG=80uEwy(I&{)t z`F0N+s`Q;4($l2WVBXL@vV03{P~rXcPy2W8B`jXcIy@-1B9Gf!3^JGM@B`Qr+d42h zCN2mS0rn1PMxggrCvCf+$7qpV5OrV}5Js10xw5YP5Txtkf`uy!YHUrv`QW>-A{AZ{ z1ZXW~d}e$levHasb(h zw7cY@wyFfJ0~<3?d+7PiR;UFkN%}axCOUnPD4_oCK*x{oT}d)p2}v6n2>Whnjkrt+ z%3Tsn0m?1_T*Ah)x#Xx4RYhl5Jhe_yX!1dKTX)U*HK|WMiFMe9vMt{IfbWleS>cuo z4-MLUqC~>t-G}hMNPW%~8CO`5VOYFBhF68f+j=AVu%~WkEdJ)O_-VJOHCZzje>GVA z&;IgNbxk~#K3g>wjEnHBM(Q&<_n9BBm2{>4XCrp5_Z6sw5F(iNxH45{=>%>;xJnv9U^5SNsa=n?zu z&z2d|M(DV#AZ^X%1tcxofk6Xk0gXUF@*Hylj5=~4nzxJNWjh@&Ts!G_ zmyYLlIv&@XQyLs)U8PhsbC-^2s-2U}>zb$!X8@KU4!w%#14 zB%+zSZ%;%sci$c~GR7cN5U>FX8PeiRA)0~IR3kL)7R_6&pZ`|Tx*Wq zkXr>Z%L&-e3jgJ>>dnIBOYVX((q)5E1To~c%iu{DJnWAQ9H1e0LF?E;uz>ml&G5vE zG~`7Pxenz2DF~RZIW-Nr3o^kWkq^F1ZxSXAxeFF#bPrk#+ULwP!8pYQk5c$aoZ^y4 zIQ&M9F8%prE0>xYX@Zm@l;aJJU`E3d74v!k+p=2Pi!E*h9Pufiyj)T2Zaz^0fr=x5 z))WET!~c8z0*K%os9a&70z{B@-5yCqCirzxa3+BYhqQn^2-(k@YKE8*!J9(_?Us)? zL$js59!tCU&0qZM-w~Ep>8!l+!HL~aK8U!M!Q&qNDPnVM7YryZtqTT+Hb$bc*Cu$~ z6cH?fAeEEY8Wj>!7ncQTX^Y?I~b3FGEY~f*60}T-yt?v>`}KTLe2MGhyVxrH8pa^)-s6Es_g>i-HI)$#dRF zGGuniEqX2C+yFZS^`ANpaq^0F4-8%)4`gx?$JDHQqt;susMSXdAdR|zsGU*wJc3Z8 zT-bTdqURlr14eufm#;8fmVo#&v()U-9L!?J;Mr<@F`ruj>o6`vwr%V7z6he&q_slXOoNYw@G_0iUdHZZ>zmCqI8#^( zL%Zf!d7L_%>1_w%UN@DRxC_B-rnl&B&=nULZP(b;Y^L{Mg_KyFj8W~1m6^tXJb=$) z(AS1S$GN3I(SIP2Z?PlxN{z1sq9s7(2eoO}R9?dq z3HFuxngsg_E}J^*fh$)yFT?MubX~`72hJY6k^Uut7bfT}7U=w}6QMb!Tu|Pj`qwI@e60SpN+}tAVj%g=MP{57YPkC(qzDP;iWsQZPlGgSUcD6yRVAi;vOUkUseW`#^|V_wo9gQ^)eqkDrLXw+f?-ti3T~=B*BQCs zuBG2sDBA^NrW)7}G_15;P0~1Ksv#NNW|wo)_<&L_YkooIS_0KrF(-||%K%Iqw(WpM zx;DFf`llR)vT1vZAy#v1$!H9!lpShJZR(qDOvJBM zc&H9cPc)pJc5lG{%0)E)M%$h-4p#bpZK+-AAT&WkFGqF1f{o7pb`g8;+II#_wv}MF)ph3EgV8md1;(<`rQ{kb z$$Wpd3k(Vp$z9i{3aoCBXIXdKb)SbQ-yu-BC@j0%U>r|%&qtNMD&~`@0xzfd%>o=J zcvhhyj@Cwx%ce>Xkw?p(bI=FchX-oEnnfC8Fp%495KmUd9APWa2P8mm>0$t}e# zx5^kCV{ogD0SuO$8?(fq!D|~>83WiA8UrY9(8$#$Yg8dpDX7*6z)H7k2K|tG#Is7F z^i1EGo?8y+yLkf1X{{5&5)k@MGj2uSVe?WYm(vtdua;w~^E++%A$|8XpZcBO^`oOu zei^%@9Viv?1l_9wD{okBg}3xTLJ7RjnV}*>`Frp%!@GjudfyYIzVj8~D3PH8f?G6c zH7iozxnKv5AE}8upiNAn3EKsqmio>GW8xFYfD}jwLFzjfM2<<*_h8Ymy1fvrp!{y5 zgPaZrjScd*7JcVSLMc<%a@y2JxaTU#4CQxa<`YJhXc!SE7kt3iEUeOa2!!k-*fAbT zGm3_Em|(hU6x~Ge{GV#u;n^a3o~aIEUX`Ci6%Ku(M8XDKP0rXr$=J`GVKJ?nm2)pX ze}3ihK)4coA!+ckncv7}Z- z0Rx%q)eozU(%p_ui3f3$bZ(DMiANQ>@KK?;yjR#xBW@Q0axL_>NPXLCBfwOyn4=Ld zf-D*^!CrkEjd&3}2=^aL>ktDs-E%=Bq4Q(V$=_72^3Qd|e8q^|v+WbVaGs?9a(KR5Jh zq*sX^V{#bOT4i0zGXQZ)veoM8hSK*JS@692kc^(Ku5NcNI$-i=(yA*Yt-6C=`b)KY z+w**Z9uCgaOU`7Rw){{;^qSBAzIS|XWK1=*Y7wB9FddM99SmiJs>r}}Mu3ij_Za~? z3f^Y~=qPrd5uoGHJ&T(xVnuJ`G3cHVkxL$j?sGc8Vkha8mLvAH zLz1_W995>O$HriG>Mqq!W6CtN(ZywmLJlmfA?2@;%|TAN0#)e=4oA@dXd8posWVCF@M;WfzUa1L3_7_ z-@rIfZ5LcBB1|p_MjzlNaCgZaP?t^Uj9VA9QQ^=5K+M(gixsI)T@d5Q*hzvF;r6!I zNPX&pNTz`Q0M6VVNz-E$C~zb>f&w{4kS?`?)Th28v?Tpvp;j<=H?C2f(;``lTCikW zCR0_QV8A5?CGaXcG<}N8nbxE}^)5u1NAS@|u%1uPV7drmwow3S5eY(|B-|AAjsI&)I`Wn9#4SMTn8Y|{AHeYfc)TT)I@@y#|0CBs-_hPxlqnW@~uwihq=k) zCBS5KQa%;_YF4om!QQ&(n!1ajw6HCd4(mY_dX|qV!^IN&^aVNPVE#2>ua% z>XKZB-bX)ss&y2Tk$n4}B13Re6-Ua8TOZJP?{)t>O8u+i$m{NZ$Mv#8nCy2PJ;&lu zT%T6StQWT)({jmFTv-QGVr-LM5){*e5t8X4)H=Pm(w_A>+<0`G;-=lAIo!COrno=y zPd@*%em;tP^=7p8u>gp$DAIP3QLhYo1%L>@T1(XI_BN1YVN%^Kzy#MADTW(%82&ok zhrxEOV78CBh0W$O#Hq7=EWjMw&04UpvX73-p_;CTuV(wWTfro&4d;y3+TvD_B--^j zY?jvEGuD2Q(=Dl5MQdKW4klH#&H(zt-sw4q-2IS=PnWW#?$ft_;p5Lts;6 zDPPgz<3CzVs#D8)?KK%O{>4B2g`wfJR@60|SB{$E0`bOjElzV(j%r1a z3q}zxitiPyuwAC%To56>de_}{VH2oBuqx}tyk^7{V5(r&1==H@ni|em!~i?;S(DPD z>s=!?oC{*WCK{!nW#G53Rm1tIPuDW?R_p8ErV;XM9xuOq%Decv*_{@(>K9Wm&!v(Z zpWCMDXltC#Q&x_%Q9AkQecf_6%LqE0Pis;pED8dJ|i; z0-EhcoqSwxm9YS*Uv)siYp*(>WIL{(Rxh^n$8jJ1@yDb;%Ad45*urla49#ed!XtWe zdz7s=^5V1d^eqn_gw#~MGHv-Gz4Gqwdfk`2D|%&hp`KoWdn1*G2lVQz`{F-PtyfCd z6WSfE8#4rnEZ7!22X7bH9L(7W!Ah^JF?W(!1t|QasWunbS9%3dhIF>VDKS_zR?K=O z2Hs%)jQTkt$Op%-F~)eJep1no5acuDf5^z>Wjir|+6Abb8XYakT$en+vjy-2 zC5;KD+RB{P%=ae->EO%Ll2qS4-=G+zvs+maPg=9OLuHT-+VZrBCrRd8R0iqb8*O^m zneS04iR!?L*xDxv>ixUhm{(e|on$5A8%?r1s^~9HV(b1ujdc4WP+RwAlBGe*q+(v5 zX-Tr>u!4`haVy~J_`~$z|1h=?v zm9m0NZt>D4)%y?IOn5HMTu>4;4WT0x7=svg z?jhXbJ8)xAW%M%A9KZNIf8u*bM?&n64RE05@G^m;a~X1l!hXF%)Jq=>2CGh%tLE?( zLE#0DhJqtp(@dm65Q+MMX$#aGzT(kg3-qd75Pl-d9kJqS*(1)TQ{{pH57_jJY61+K z@6{bJGvbnP;^CA_H394#^k6QdXetz#ljNG4MXwP^9N)6$LyUx=>PUL3(S)j^PHCKx zwOk+iUtQ)@r!4eaeDW3jZ|sRrdbYaaz`ZZ~n^Ys*{W{SlWrxWr=_Tss35wYZ)1Svt zgLx#x3wO^S+hY;nfrp ze#%>a<|{rmnhkVVxfc@J=yKv2AW~#xv)Bu{RvuO^;gU^W2R6-;I@AYZ5HA%(SnG z5*oG;h@=h6N^3GCbxDlKvH?>YG|jea=DV|Bm6Z1Nbc5FMCogehD%~m?;DacHaCi|IppUFmqB2u(<3T-d`Ik2FO@L&9^72-!L(a6yA0P;4D{Xae$TIeUi2O)6xT~> zl^GStRB6+7Kz%hPcq9RpQ(DMtlgEL*fBghhPMI7{KxIs@Fk~}&Zu2!66WlI9LnY~& zf#f^2j>C~1_`bz%c9;)YgNIMPHm6H=;9Zq31N6bjQsd{TQd%8IthM^z1~Xktq>Ik^xsClQs6GNzW{sIg@ zcN(9zThel97*drp_H6FAe|ToRY9OSy$D}P66Vf~5-XMu;b0O#07yraV`lcPm*MZVr z*{+!SY}&<@4NJ|mcvW%$IN^|U8j?j%rd_sEPD5B)lWQQPrs;&&?HWj3ah#t`kf$^) zO86gN=Zg9d?9n~dv|oRfz=OZ@(O>XF^dIPT_Ec)A64)JjiS_EG-AI=-bNa_g1tST* zU!e+s;fTN8b($q|;HX#97$XELm5S~x)oU1j*DAnDr6RD<3^+j0Hp5e?)a_0?ssPv; zRdt*?tJKJUBX7glvr>NqOYJzeqj!3di;Xm}SCaIZU9#lAQ5|8e?tbz~>Q8i3iflw> zP+Rmr@L!ASshSu>wo-q#adEm>1#YBh%9ULEcOK671mmfyn?o|qNohBk=e7(?BJzl5 zF3F^75A3N*Z;oefE}ofoi{^Oddg7Ts|BjFQb6*<}sNRg$_@bHEhV#K*9WBv2HpMT; zGkZ*@fE9v*z%+}t0xicgpdTa|AOu09qJX6vp(kIPjW1?SkcSWv5{A{aD`w+sC0JMy zt<1p%!j;;O5v^(mBJw#l@Gswe98uV6hMU>+8k^C*2QUdF}Fg&uh4|ZT3ZGU*z6%PfMbk+|fvN6Mr`vX^%W^Rf*#dO=9Bz{Ffj6oA-~k3s_W2 zhd}2i<*+>i0s9r1{b_HuN<8@IQ7P2CFoJ+0<Nq+#mYK`qqDhb29)>g5e!{`3!&AT7>vc1`;uvwdu`gKfzYAjk@`lMaRyy~=il(bdFiXo9ND zn}T==D2is)NuQ$6}N64l#ieIln9hDok5<50|w?K0{e7mWE~z?T^bX0B(!(`+_Z z>7>Jo)~gjO(&G7wF+XevyVI;l4d#L%5o3}NtUs#-;N|+%w0JI<=7*6NzZRs$bHPKI z9|k73_S8~<pXk&$vHUBdf zxQp1m2-pFascBT(g_|SMw@aeiP1oF)3}uqcw+AIn=nc~~LHpL6J>MU2C?Od_N${ig zuCuy907dj0!FkaHK{|VNhm`Y(47ZS|&OXW25H}*h{<>YyPE%K7An&Qd?s}ZNGcv?t zq@u$yx~^|2fQY-VHv?);NXdMmBt)+_Tb%SLkC8;Qp5A|i z=ZMGA3{ax=W^=)Yy3?a|dpqXL!J|uyH0>76nS<-e9Q@*Y|Ig3=#z@<1Sz22>jluTH z28iNm1H8RLR-5~63&v(gp3e!z(;JlSh~Q}P^cubmY~y(Gv@sTLM~bI88&yi3(dI2q zPOh>R-^D7OjZ&5s#Ok|bLD5|%h*Uh$a|jZofUV7f>btlk%CM|+$!Qhihg9FiB*B8n zj0uXTQ8S?QD=s-|ztwIA&aT^rv4clRw*zZ0hyp+Oe+`zc#|#arkUi(H;P&A`Q&r>( zma{Y~=lvJS#37VObxLlX{qLNzzm}A}n&s{mjJCMA`jEnCOG4j`_JkrMVxC^2KO5yo z*eL5A9=S!cQNA9d{0-m!y|4Uf--Q{$Cu+9t=-Bs!Q z<5hRLmhO1fU6syPP9lKMr&rynskI?f#Uhpuy4yO4&QF^##YOb^?vbjz8$kZ&=Ht`A zf;N>Q0Fz_?X1D!qrrk!O!|k@TCd1R5bKx())?~ZovmsBu*1hw$os~Jt_s-LZPb=0t+M5iIBE9xN0`TkZ!=q?6nfXBB&dCmjy$2)K ztJlap0f=De>aXs|@@lPt6h9hXN<+ zQL57%p<%Yw5vJ4J?G*9m5YuTDp)J>HERcBaGo9uL?KsnEj-QV+o#xvUF(`}i0QNnp-ZRN5YuUnp-ZP13Y>%+BbUnI4UC?!qfDn#RBO*E3IR6wB81;hXF64_ zA24(YJ_jJ^%KqqvXN_6H7Ki4fa?eK^m&@`tGQTt!$%%;Ot z$t-e0nGb9#SL?A=pgXH#)rLTOK(0@NRz|sY)Rz&yJ*E7bIojOP3l>%6I`RceS|sY@ zB*hyAX{1So*Aq0U`_pJrrQDe>a;rR?<`*+fbL= zTN6x%VAs>)sY_d=Y0D2;q|f_>pZ<~Wo?e8sfgfL0g;*lb%W=H_7Wq7hNpg`5Ri0&S z(SEbgAC^2~OG9NjwC{Jv;MuY=&x54dX{kL{9mI2Ws`ML23bL<(HB)L<4 z?xqL{rbs2x`_xLx3+|V>-e?mJ=oD=1K{`7vlAqmJAMnk$$jr8D=G$YVvvHl^Q|L+N z`?Eu{vm{#4Uu4!Jojud%!wx4V!`SORE5Qio< zwMr|j#_=+wx$fxYO4?}J?Zn`3CT+YL(#97*@Na%^LPqswI5O&XE^4MRxT7v&t=*NV znFhO2Yvw9@Sp1sJ#@LO*%4KsHehqj<+EZt17&X)DH5{C5SXLs%Cl%=R>|Z9ERTA(Uu%{z%~c?33M1Rceb}?zCRthz4RM( zoI_Io?AoT|ZqWcNrS7U(#qFJ#qNU8=ho)|^14v zh~Q4ujiYiQJVeFNEGnB+OZmScnfSMHB{mP*JdI~pEPupMYTiADx`RzXZ8w(QnLA5w zuCvq>&4`_84Z$2GT}_nqYv1?E58fZEA2Ey%Xy5|8@QwY+6$+q2;#^e-F4bqwhAJD= z6NXkZ<`Ju_o_$_18`J$7#6fDO)=eRUMoDhaz>KQ|?ktlzK)kQOE zYa_EU#d?_L$Cv_bEbY%z)sI+|#7Yx%Pc}bfRX=iAEtIDg?XA7h+Ex7sSf^N}i?buy z*{cf4cV~A1m7{#21t$<>H)7P3)8MIzwRGEkqpFP^rw1u&_|2Nz#v@fV>X@kiMe|2H zc6KJI74#!zKFTXFw25R$F+ZYGX*`|uDby#ce{F01~wR2g)8Jr}vcoU$?f^v&o5JLbF zbx?324A@yv01y{+8n`W}_0;qC1~H}7vn@m=2s>oO&Bg|KhoAsdwxZP}V4T=)YutMw zC;*iSLZz`c4gGFtuy4PNfL=V&`bZS@u2BIhmxQ***Jcad#<&UN+;wBzQigv? zxpH&zQ8lSbEGiOoJ0Kn1j;c6n&tCWuVTOD%#dzQA>Lbr1dv3Zh&Vd`(iT-zPjJuY` zxYJ`Ed#pzs>PsuUY0D2Oy#M9y)4y~(O4@X$l`A|Ss23xOHkd6cddzkia8m@gEUcv- zTRYWN2vXq{LBPj=f>2?!-y4B<2vXs>V6d3LdsXi&1ZkLDa1VA<(K;R4l@+)NK`J~K zG~k9ap`gB-FGGdrf+$$fiHy*TR-qj$QsEUrK;7cQp`A%e5~>-7EFf@66tXF~81%f1 zkgg<&$h#zpCh0*^;rV3sIJx+mMN&u3LUK(yPa2sdNps|quq{NQMAB-NlVrX+BAsm(lB#G2hkM^DXMiq{kg1 zi0ZqKnuTzZ!+TccZKmNOUQ*TlZK2wg7zkbZ)S?4^ll)(EDPgeAhlfDAweY|2>IB|T zC0oKknoGVUdsI1?RgD(`?uvs=JBX_TvJ0wiWIExg6r(aTDII^}C;k*4i^qkMl3tEDkwV6DAC{`fA#$*#KQWdx$x@B1W!Dhs+*A|zMC-CutpHM0~&^J>jJsU9D zbvk@PGbeFss%k$qYe)os;NLdao55tz?`bg!zKYFBjTLJ!d4WVUl{)NGFCkrl$;BPs zFWBEn^`F+Hs`kq))I|WO7pkh0WOa9zSWM2o?*sEva^q{VN=CESD3ehumDbGn2erlo zyZDVzA6;GNrB$`4H`U_-yXf{nHBBhPblj=wW?kKyK#Enk?>ztK!!rOLN>{r(?SBEr z%)0stb@hjNGvdem=xaam3j?aw9uimV-pSR)G)saRQguW%^7@7O;4T=mTA+qiA=oYm zQn9-r;1ky7059G`88$0YakwB7UkJ$w@$8mXA{i@Ev4>z8ZGttM73qV!V3}+J?FCbi zzs#sADxQ`qf@Y?pD3&6U-}*-RpGq7IVdQ9Vs z2***&QE`x?+^-s_tfe27d=B4x88}qhR4r=K2APWWWjo^Ko??_KjQM&c7E9;nb~+x{ zTTMv8faa=M0B#+sUDNU0j^kBXyC(yQtav4$KQJ zGRX(U1##2C=?vY6_AlwH%HO&|gc1CkASxD`Ym~>!m)sr>1ep;tV;GZqwQ-T+FcwK= z#1P3oCQFCWB@wZckCQ4Iqq?fIkLpQPUK68h6*iYmH(FR!{r#mC8*9(vs+sAr6jd>2)Ga-Txx!{Y>5dU8kOms z>4+7VND5a*NGnx*ML^oLR?NqO7^xA=W;(1dI7C{XBb#YND2zOycA$4!!EB}t)TiL` z&+XN&62T|fk9A5ywhhoE zY33o(9kkkkg5<`m`qZGuUbGRXp|wb?`}Rvqpm+pTmOE;$GyD-`A*@S%C?* zdS4rDTIyvMP(h7g)~1*t&w3fU^kDaE1ar`{J)j)KMW=J-WT25U-|)$wf+ z3bzxC!_M1PO)Gj{ z!t;;8ehcTfO}+9(b-_K-$bzKp_Fml>iOQUC27MBO0$50p9aw2)2-1}LilAmE0U-pz zHlQ{)1ZhfLFw(=A=dPwS1R0pPU`Y?}0nxRBG^It*htMG3d{B=+R-`F)!LB57kwS;Eh_K{F%ArJ9GqF%`^C!I zKMapn*PS<-x*dQ8n*@nwJd!a}MvIhTXmh$fHFM|Savx`0kSs+U z-_i`Su;mo%iGZQ<9$=hL8agi-qqrRXdBXb22$|CZ2zz2jJp9@EzJ%6y+AV5>u-W=v zjrINa|HZHU-(M4ikeao0>su1($~A-`GGOXcQ{d^%hN5`G_>3tuFdx#gWpdeE6#xSX zI|aeRdS}>NtVrwYr{1pDC@-KOcoEfJg&?i33zn%X`z^w5F;^i->sths!SEN%VV230b#Tqu06q%|)xaBpeuab|VS9(A;XiJECx165j}3mYjWM_0YBp zsI5RfE{U6BRU2Tq?43Q|Ak>2?pFKK21eA=kkNOi+KuPrRl$PDTaXUi;s$w(-gL`QO+x^odf*N_+Cq z|IWSiAKJb2b7|1cl?IvS8S#x~!+Sl3_m%Jdz>oh}U;qlpI0j(w4BTNS;{@Yxi$!My zzL0Sajg`v?JZfHzjB{YDTt?u7jB{XGTt?tg=cD{#;0NoCcFPESwU969fo3QEV%EjC3lAn8S}6vs=Rw^rYHHVkno|dUV2>OI;TB%=k;@k z&D`sP&HUV9bG+fspEv&-Pe6Q9Ck_u;lheToN{ijlb9a9E=k5&-r?`XWf8nS#xf9KW zq-0I6@<56KQmFxwB~||mhBU!@kL-?Y2ma6-(S&NNs{iG>M>I)tC$67#8dd*`03!MN zsTAY-o7@p6HsQG|Y#3{dO{#K7GIJ>{x89uLC5!_=r-6q({ooL&em~ic^RKsOh;ich z_4;gGm8w9XKAzj@c#M?V<>R}vb@lz%5`D$}lkIdot~b+vtaoSYivD9QL0LMU+v#|c z{KTd{UM1P3zgF4A-VK^HBpT~vMAc?lHXna%lN8*oJJ>41=r-b}3v*MZ6P1-W66X14 zRW9+Sd(S-c;=SjdJ}VH+o1T8_-FKW}0sQ|mJhdX|gKjU7o4h)`yz*)uSv||KB=3pe z`jbENho^fnBb4HtWQU-aZRQJUG7P<{C3&W*5ojOb9?xD(xH|*fZJQ`hhH>6Ql7n-quk@!i#f_YUeysGeQ|_*JXvQTHW!kQ!{(-k7h?q7eM4d;oiME(Q3~bWxZGUC2`Tz#0vLFzs6uN9D4cZuFRv+A`L6&NZFi4!4 zSQ#W(*!ucwgVYvti1~5F{75&h&oIf&!6Y6Y6!Rz+x;4BrOmaOi$!GtTFZyG*g8EX2 zpEyinqfl-i&>W0d6h7AmoK=#Iw_QLMBq$)2%IoRRq4Zt^cgx<8P4RwBFwK}ktwgh; zjCTRgwSfGZ&Lt2u;-|YO% zDij?Ka%n?dZA3IW$=os){oYr6{kM0~Y^!wzZYsQV3WSd2{z4%d7%JM*HYy_*tVntE zCAVF$Dbz(S7*%!10&C(q1gVN#(1yU{)KGM7bs0603wEIULOvO;^vZ$ASdogz1$PIO zv{8_)r)}jQO+^u0vG$CDw1A?qVnseAE{Hx~<&W=BsH5sK1gok#XiG4naY;_&YL*nF z*w?fHBamF6z^;{~sc^~eKpK34QU}E2kc=w9%PCe>M@v&!2C6dZ(;XMlO*S!M4i^VP z#-z%JG^w5nwQBYu>&7W7$Hh=O<-P|Zz?l}~vk0`iab^KKQIc@niT%rE_=s){+|Hs#a{?znvTM7g`*~e<&qr9R~!6MF_ z6|le+=M+$s0*&znmztpwzTjxs9iRagPtH&69X!AjdsQ~#$eADzFP(n6T{*#Gd>L`I zP4FnOuozhvJgh+_1PzAUVA-Zvu_^`Hu6J6M400jkc~6q!YTKHHgLu1T2vHYR36^IE z-Ug8btCIOtt{X<>$@){Z)I>DR)o9jZp|9jq-o?+&ZrPM&JS}A#I?hN>FkI+6W#u>< zrTfk&tdI0&E$}|i%JT}9r*R5-0tLRPL}mI&LzhB%vI~$5T=Ye&%?YZG>d_1Ju1k~J zTQ#!$kS5*yxhFs2=K{1)mE3xw#leIVhq-Fm*{SEbPZS)Es(eT&)4F8Y z6Wt*aS9cIOj8-svqTyhoT~lF@ICb_!$vUo`69`_Edc_4tHBZqB`sU|MxaYx(NPg$z z-Ih;zSCMaIDn&yf`v)gXaYZACneMq{#f2-DzLz$$K2{-ao0Vv~254QAhgRiMA1&6$ z8I->{P~McwiMo02U%a@lZ~4r(eCg}QSdBpm0I*q*mW_b&5zM#t&ASajTh=xa zrU(qF>ODs|0YKR;d+mAIzS-DSbQd53XmOEj-#|UDobesV<6F2R+qdZA1In)uy4yFc zU9>HrA!HXo006KD7A!Ko}F+@z1i>B&8yz)=LLsT-7j1L|@BS_M@fS0b@XwGM0+Kd^{hQWPh3-K!5-zaTv4cE!Qw1 z1$`1>fP|3gawJall=U6_ST8S{0TKb#MhFxOwoyTbbS+iK zhhKYuvwF>t?ry!-B54%L3Q(H`D@fP!Z@kEb)LrMVT|v6&c+xQ+-Imou^$%1xN@FUY zejo5R*O0E27rEvHAOd@#ufGu5%r-8+9<6c}SGHGs=LFKw!Ky>R~KH#{51y>JiPci;GI94wb; zE#$t$fD|u=*@oBrwKgCX{>U1L;oH2b%)$Q+bBiT?kgUx^QCEb zK@gf~qGN|zV>Mj13#c2NLKo}~DAb|d+G9F^TI>*{X?H>X)uNT%t|v&-?t+-fqSPj! zOKV8LwDH&l_j|?|_|}-ZWzz<17p_rEyGvpkIG2PZIAYr0M@+j*qF0BM&;(~u+azY# z*Bmv&y#Pl3;e07JP(QEI=k+n$SQ%W~(40UR!}z2E*4Sbj*OWmpKyQd3WTqdxdit@H zK}hehWMffm>=}fqDFb_yFVLjXMX@}4%Yz3YHJjy|Gt1L%QJZ#|p}nht_Wtd!+kC-~ z1hl6_x?Tiew7P`VFb^Kq1QyT6BHAw49Tow&U`pBdXVUX^E`o()dtfF#&9Vyy$2R&p zHHME<(=5ASaBRbdwt_UvMNnq}lf7eY&783!&9Vyy$M(Qnct+eV7#!O}W8s;>D3XO^ z`}EQ1YUM0Zf8HeQOX$lFB6(h0Tm82@AV7?%E;_Y*G>5k3jA4}J(-axKn0oln5i>h; zY@gPrBY;c-4W&#cK*O8m*q&gisoVV(2^(C+JZ`C5Gr-8=J+JzKul=7s<`u8Fh4dia zHLXMU$il1A!9&QAUT7IuFAb-+U8cfZFj@wn?Ar2msxTLfmI1i;ZKXL?mMMzxF8hVP6CGAmZS~2&RmD-x?rbNk?`3ugrvPjsbli0eGKxI_I|`FqPX*^ zrH;u2QBS%@KA%wa2G>xZS|STScfm^czyf&O?sM#(tZG9$Q!%G%QpXnjFMsLuc!Qe(rEOBr zw?r*S`$VMY`wS$Sr0hcF--=UqnrDYL+HU6AehG>#N+RU6JYBlaG}10<`8P^pu1C9O zdc@CAh@#A#d-S08t}{L3XJ7?ovkUnibf|TH9<`KSpRzNUYp#i>4A;?qBW;p&$qj!T zzBj84O0(p7FDTwD)jLkgxS5^F2cAGHVJM<%4y!T{GV! zu!|dVfR^IRyf@&C$jm9&Y~LHOMx^%!TLbS6{?*?be$4L;R?P1WH%HzZh-3hOo9Vs5 z`qg{G?T2}9jxbxSFhgUThz{6zQI)*3T^-;aSVKP+DhKqfj!-#JuZBe@6GP4_f*Jx3 zLCT^+08d_GM&Ncj9!v~)4a)gRB~dYBtmWBB$8$R!kH+Dg#U;~3l~o;KhQJS2E!Pl5 zfI#6m(Ss5$r0d_EZCE8xCh0<;`u?&V*T31EZSc~R?+=QGO_f!KKz%&7)A8H0O=iZ} ztj{*p_uq)ti{DSS)A6|8eE&Dy*`}hQ--s?u$M=4)d^!4f6$ejgF?R z`+}oz6Th{?Dr9BdAYWK`SMOl44h>bIUFfok~<*a{5%)=_Z%V&c^!xH~UY;HNm_^XJdM`26|3H_G>s zuU5X&g+SHfOumSGfysA;-gWT@dcTkx5c=PQ+p%*dXwa(_PDg)Lp(J!VoQ4Mk+%J z39=ydR1ws{%CJ(x%37~TudWC}?MuM{w0Vz?uF8q*P@krJUuci6b0VX$LqR*r2X)3* z2DW6+XJVI`E-(bW$ewdBquYm5JIJO$Fc-!JhQs7Wi5Y*nLi`1t5p+)M@kL$(zi#9; z?jYJlnj`FOrMm?ZDQY(BV_z@S_aJ;i{aGbCCM+-Lj9u=?@glt8^66m~kMlr1f8m+*SX}Im9_9P) z+w6`wYBxM{tY@>_2W|g~Rt2?HM0li%sv_hbcccu@O$VT~whHM+xTO#ltuommJKT<9 z01`(-KtFO z@UbPTTp}(*kyknPXc%*G06C>P>&hp0*bR@1ufDjuBdrrKXX>veQ~z1N^1I*m;yCr@ zL`SOIb&LVUk+K2O{ysOs;xUga8){_g(Fsa`d*gNIv0`?lj=E-*nf|bKq>fHGtsN;e zGHIdTz?joaj6LF*4^9j#m=o6)n*XTE0L~sX>cLBi~SMCeihEAIEsqRkl2E;o^-UI|HZ$h?{ z`ER`;M5QNrLo!u7ogdy%1#n9SASEc8j6l;9ERJ^JX(5w%fw1X~2gt2lm3>C3RK3k^77Uf-KrA9{AIyFq6vT~e=(#ah9OW=RO zyfgOzS91*1yhXNGw!;_;z?U|q#;SzoH{1g}$J;ko8E^XK0y8ql+t+LG{?dq^%Jf<1+ER+FwY^N_|c60^%pa**6>OT2E`{+k?745??_QtkLanqM8xnlYtB;~J;JqmGtb0r4o!8y9W?jXG^UlR_un!MPFJBn`_|&c(dxk!l!*HYn zV4iewTKs)<(#0J_*NDbX&oSHMJ7SM|Ip*1AxH*?$+AU&`S(O3Y&@Ixy_~qN<{`F6N z@OPg!yrONONOj;yx253~ZFRTUByWmX@5vzp1HYd{o}j*5P98T=YC*i=f@M~7D|z@$r~jZ%=2;T0%|)9QCj{c3+7sdcbR zL2i*v1o(k4LIblYh#tmOR-GvO#fFXma`Uk7?aiq=QEVCq*IddRt!mADdu&L$6dbR6 z*C{TY|42JF>k~dffkLkfr@BGlA(HF#f|=^<`3~(#4k~GxZqsz(Om;)WXshAxRsCDV zfpe6pl%w=hhgZ-8&?Co+{;QIIFZdsFDs(w3EwGK;9)RH$@wLu()*28inN#AZg*zv{ zJU&vS0TvN!h+;>CXVZCYmqnLFP>H}- zsDVO@l_^7lIj1Jz#P$t`R{asLZsp zC+_3(PjBEsOPm6StCZZ9;cS*(j`#@h!BkD z24iyj`-rJjx|TsWzk|syR${bP}Khu4cs!XgO7~wkD?=UpNmA|B=gc0d(t-W}F+KEcf1-lhyf>ZOLV_A40qcXGM zfC6g;95o1Hu3THWOQly<1fvtYFA6StQ`4#Rir{|3Mp6iZmZDuT*O}h%^w>#Cuc)-7 zxS|p@)Z!36rLGwYJ>=N0P1HgCCgQaTN06 z*CjWZ4UV8n1fp8n5hv)Zxw`aeFMg<77w76yp)l0`(6IvGmpgRzXYc*#SAS0ogHjiw zACK&i9U(q38jq}yK!PCS5hYMCr^H$j8+V&4E@kd&CM(YINTD4eS4dlok>in|Xh!2? z>+0utBq*BEVb}&PIUWg`WKcCLA47bZDjq2;$t;0A7LR~6URjb6Wq^03iCmcNiXX^W zlDowk-7SqIvt2G31u&Sa)qZhtG+i<(V8Ardlg!~_ng?^(_9XNDDK#+NVguJGU*{wj zLXCRVi&mb5Y|sZt|C%ADtMwstwHvLG8SpCRl-Hw>^0HTEB-ZJrye7CM4;f1R%YXdo zpZSHO_!0PcE>y#Gvs@#@$f*G}oE5@RC6o2RQ5}=@^-&d*_4UJQn4~*iW+SKiK+HUH zs_MOya`IGd-jtWqh9?k48toi}d9+4OUB6!WjIa2HkN-eGG^!vj_5;zr+oH%>uN<9u zm-Pw?jy6DE;0Gd?*}~0PuY{%=C>+juC59?vc953en6`rpn)S*{If0}2frp`K8H0Y{ z;m}UYKqK<7A2=`-@B%-uHx}?xKAZY*OUvX9OkZ}yyTCaW>aB+Z3Cdz2It=(+?5v5t zZ~muUx!K)pQu=ry^E`Xw3TJNs`blp(pdb9Y+XVffCuw^L=;x9y+zpk)&~^N^3mVR=#ZWHbPKR(M9rr0%w(6 z+6<2*Nt0hBJFxOHB?QO|SCN16QS+>3@dC1N-Ff1KK|ScD%E!pr&uhkT4|_Z{AhQ zH;M+S@mvs*3)zA}rLaEqXuGNLTre?)?|W?A)Oaq4K|E;v;EGEGSOTWTsj2abAOZ+2 zf!rU2yegLgQscScVZYMG%ss*@1#)9WYCIRTvPQ5tY*#V{DX&P4=Ypu=fii}6LV07u zD2#waVrZ*s1Sbu%>RfUooLzazx=!pD#kNCVkIO=Y*T(dY4f$Ra) z(>@$;E{Q0u3D5>mbc|o!XI3N`n3{PI8dfEwo1r*^xPYw^$9bcu2?9JK?GwvK;2ORD zY<=qq5;;`N$`axvC`z`|@hz1!^4+HpCy<7!;=Mx9R6$`vJC)DRmp{(mw4Of7HJWpP zc7tsts?J6%d24Ft0PSi5v`_xT{lET&0XN^4h$D zEe3r^L^F)Z9%X&Vxp{PzqTU&P07AbW%A0fZI}`wmV23mIGVZhjb|siPzr-X5)(Rs@ zug+IoWL+yJ6$0#0g2)nL+bysi?-F$=7s61*&+s7=yonW zxQyQ<4vZ{yj&_&WI=OsXFK4L7C48izPmSN?fwTXe=`)|WQu<8rWhP|+f=oDth%&sU zvDqZo=4BY5?FAUI(Y;cI*^Rn6H)`4~n%$_oZ^lGy8!cVv&Ie{oGjTF~0`=F(Z4Rhx6r9^fRmX~g zD!8CiIf6$Ms7}42pgty8n8>%wu1CKin1Tr&))-bTW^%tXmhCvTpgtzJ)tQ(i^Z?S` zoLW$yB8b)qqCRLfMn7+TjSZm(F3u2fn?@1mR@BGV1fd6ia-sf^ey{vXD(d6k2ZK0) zi{b1W@^v(47fjCA1S|w6j)WcvU0TU}d%DF6m5oB^vFKBObeSYaKqtRe2odZ1gb~R5|LUZI5Fvyrlf!kXI4^HtJu1p8~1ffJp#50H;Z~ zjChb4rV!vJ9ROUTqPNzmY{8)=+ktDexDEmG8^v|DWc-ZlCqd^agqkyf%n`3{b{Ve6W%#0h`b*z(9@TJ~ak?-yj#;e6uVtyJ3sd7L?gmpDK4hB zd{C=7G{!RLNL0$1s=Z;m*l>0%hGmRT*_!fm?1@iPWc2h$Gvf$8rBq`vXU0FYGvjlt zesi(9>66*;zU}$9zNpsq$kfUy^GHsK)!*?A-}Gs(88^H;l1Kvq--BYYF_TqI8mbx) zwL);m2LIZgG8lBbVq?%rJ+iQ%-tEehudNI(0#L2Z+U|u=B%AVVc&(@af#_-_U3pos zGQ5k9bFM_NSG<-Duc7%AWX)OqWwPPz_$743O|NdQGQ1d-M&2p95j&&l^shbHoQl;d zRu5Que6EKhW}_CSt{k3st8Kd}Ldqda>a}G9r3Ld;#M44>L2@D@*CHKnvyr)nsPH_w zv45JHo>A}V@zIr!jhc3g<`8!JEjq=L-v0Ez@PSW@T1VxZ@mfb`pV_7Pn^JI?iEn60 zUC_*#j*Zf;D%)j^{VsTPP$w;^3m%;YMN8^}NOx=Tz|f#hT2dE8By81_U4Kv~EvXA` zmmAK&LcLZ8$3QHp?ZTI#B`tyukMB3@-gslNq%OHztYJX0{fZb$?c1 zMbhg88ECcUWZyt7tD)bM23BS-pm7ppF^1XpA%JT=&8hB!9yMH;m@k8$n@ednDUtfc z27HDY_I?y#Z+p^5Lc7^#m~N1++-I0}i)No;`Yk%eXL#{{{cT_J#vlXII&}9LtSbFB zI;T*1FZ~f4F^ajgU2?Hr10Our86x(E{{(JvL{_l$+odbkCAf2lHRAnL#q; zMQ~56O(tnHku|5*Ou1j=0?vX;dTC;OZOxRMB9!vXms{V)$#R&q9>|p47 zXU}(Mzup7ske`Snx$|#1*}jV%RC7GQ4^6YEMs^HJPwNcX_r}~N>7g|CmD}|rSisx| ze)WCe{tjGKAGob|s@0!LxiM||)?%?6?YF=89Y6PbBSO<~xlJ;n&xs><0FhwoPn&Mz z`)pA*)G|DJ3ZjBnR9*%gE39+P&MAU^V^}BreuY_4_R^;1GS+ESJ}l26Fk2&&8SC7m zk5}+U^ayWS;5K8OfNg=U;u^Q>-BGL)056)mL=ZEFnp0O;CzPC0w<7Mba%B7IU}Wkf zBTs6Gtjrh4zocke|IItlODlG}MA>$G_I!7C9n-J8&K0Y->z_!fni=#TyJ z|6={$N64n}vxjUJP5VS4#7zh^TJ)A?$^{F|yI-J$Sd5SDYGK~x0d+@=NS1ETLa>4n zmn8XsARDo?!Z-v6Vcs2vU#KMy!C{zphvxR?8Y`H0v4WEU`$zy;ZEmcXVO|>(gpdtY z;O)0=VBW3rf?!@y1B#jxVP}B#M%$B|e0h+j@(R3VTZl{URzzZXnLA9WXugkR%UtrX zS|IdfXbBgg#jVAOD3aRt4@va2wKrUP#9VTBKp&T!9fKHaKzMQ(sFwDsVcrrx`uGby zjnjeI)4%&Gu-Y>N89Y_@*sC6!87^+yC2>KM}<( z+7;xw+IoaAH57djRG4F<)N`+_X;xdqbhWPt1}9X>Ky9E`RwjYvMoj8z7X;N2l{#nt ztgI0wR-~?WL3m{x=>x$XD2ha7g&=jc3m#-J9Zro(6VcT%Rp-gqQdhem`gzgyiWN~_ z(<9}kt}cRL-Y~(9bgbkRMOVAzezQbG$a8lKv1OHH=2!&p2fsHYQGQDOUrDAGK15m@ zG}7`i7fAS3l9RQis21vy`h(~*OjA?6_MwfKd05qkTZjWTXG>V6!jl2glx4+}p`&!8 zp96uza+eRlT!^C`BNBN;xd|FRDiwt|Zv_C}P&*a`fl%>KQO664|jQ9__pMb-QRVg)m4+POq)8^-fiwbI^5j zLD#ff)Ec3gnB!`QInMsWC;!RskDyB-U^nCj2)~qhvJS0{;zR6E62lNFr|3T9@?_g? z&2k->4J6^(JYtn6LwCqRlXPH$Y`=GtC&Q=?uDOHc(L5RFT4;t4#k35N;2vekcm6sXCDpQEiEic538Az&8 zEJ^6I1aU1|*GLqQpb-?@dDGH4Yr7|7OI!3`A^ZOkeKmmF2NR6?ShRKGT( zmQ;h9(gn&TlCIs#p`qM#5n~;|4dDOmddGH{lCTMKxNq;lqz>1pWO;5k| z?mI01oR_D!``&-}y5ITnp;EQRp;!2|Mt3Xo07GLE_|kg85X!v{2#<%yB+x9nAXqBc zNW*#|pzZQ@+b-x9s#F&Q8m=uW9g?=1VGTjv8yDOjq!gGfsi;0{R-{oZf>2RbQneNq z&RkNJ3%|f;!ExAFalL6~A82b%SrJ8S@SiET?v;{Ng(&)1N-7yuK$B7dS(V12r?$j|j*Cz2%CRRt z>4ny%R;~`)zjF@Yi7U+k(Ar#TG`2mkBl_#o>T%lgLmubi2mgmp`J|6{#Vg=hGfOv& zt|HcD=_RK$Kuh6W4Oq_j`mA=oxTX-4qKPahQG^%S1KvZ$2K1;TE$bDj9v#??DGk6S zTRc)sm!G<0T|YoZ6w;#ZwO*0xv8=e@v}7Wj2UNy3f>e(#cvz8rPhiWv%%#a+hG2jT zc8k5%hN7=Q=F((AQI9T(+%Pm4mqZU^jc=NIbV*PIuyB|RrsPzvzfOg&qB@2pEkta$ z>z*Wym#=AKCs0QO9Hjj%CHLi$olr;1*_S;`TO0_N-0zudrX=u@lM@(I>IZ5HGhP=@!4Wgd)ypIIi@Bk`2Pif%8nPCli*;6u_+sQHJ zD;}Ggx^_PqI7HF_z#)*ShQ}hrn5e*o@EURW$wUkGR$Z5wn6~_onfMzY_m!Xfb73ab z+wTJtJ_#AaXfz|_5v`gD+kzX}ky8d`H`{|Ti-jP~gbN}@Cc`KzRfuEq28Lh;CNSzp z(^ha6r7I!}QVaQtr4x%qCGCn-LN17*WotOc4A0q&c7@@&=n8sz<%7F@;pFvp75PR+ zbPhSq?L*tBeT6O87iXO2NywFAk*b)4mo`;0wO&#GAoPk1hw+E}4mn$sUV$*w%;|c? z);m?N%ta=1k;#WgW&dZq`d7bV6eR+IiBh4BkLUD0!qM@Zl13EV$%@P!+Ne>YeIZxd zAH>Pem`+BC5U8;ySph07F^?59O4Pw*O6&B{9M6mr0r6&s9=hp3vfctz)Md6lfUee9;taJiQIjqVk0~nG8pDXn+ah*2#8q*E;#QS>e$ln&J)KWp{Cqxn zJks&p4n+aqy)KEDVuyTugCaD}J{|aK)+Jh>4;h6Je?JEc1K~ls906%PTDXHBtH2IY z93i{)$%M{JdkIQZn-el`QY&Fk{i&hDgyki%H*NVLNaCIU=uiISd&eLNyv4vTi8P=? zMHPd`Ry8C6xEfg~3ZnF@4)>pYZH6S!ILMwD2*Mj`OCT~N5g^Av^#va?M;eT-008bl z^+kpxj#gjrG4vJpEA}1%lx4=O?wrxYUJ=~vsW&OOw28&>^N7n03*$q9I&G*Hm#X!CE%%YxSl{PMuVRubFBnQt64#;wGzEG^X=h0K{-2KDU3O; z5hFpa%XPw`6b+AYeL`%UAtR|ta!LF+WCQ{V1*cgN_H>=q9fIi-ry3Sc`1-3g^DQzO z54J(U61CS!9Z=2=1ElHCfgyl`N)}0gWh!YL75VIV`(sU%yJ&MOC>bUB8j_<%ON~Z2 z%f*l!8SN*QLJ!Qovi*zOpX@NJ`2pR9n;rkFJG?P97Cy%a0A0^YQ_6MSA2D!xl$EH& zQM=)h0%7zhnkoVNx!2x297!G~+aV1_N%w0tTNo=2Ha=-EF;M8L5)Z{3m9bIKI*grc zhjm#m30tT0@6V9*OBW0Qq?6)!*-ppHAm%uJ4Hz7XGAapWL|;C>=apyMt3Qt$I}G?s zDggujP-cj#S}}I8Zp|i+rSo<&0&nlqb(y($uK&eX6T|PB+X<4yjD*RB3HbXo>**TLUX|<8c+-|2(s=*XpZ`1E zI6)>D*r0Y;nxdnebNzvU4ptw6-g%}HAqWgWi+wE}Y90Kx72IxPr77FZimoOJGIi!s zKD9)Zc517;V2wn-kVHTSuVGm&fLFn84Hc3|oN0pQIkV;i!=%M~j4wlt=U;{st0WS@ z@Qz;jjA%TU+^;uQo&j~+E~!Deo!pva7}c(XB`<&Psx-y)EsI#RT)dL3?hc$_d~-;m zN3bqpnXE-c4^=9Zx=MA@#nUl#e|A07Izx&y)Lneiv))J+*7d0ARX9+k@no)qzQRgq zRCox&s1H5R6a2c~heD1uxYpZCXj^;Tu1niaTYgB}e$(&&Gavo_fKzlKukdgsF`15d zkBAvA8P)Pyu;BdWi`{aS=glnS%CBGinvVE@(LT_&T+uNNyDI4KtZe~4vsjt+eLF({ zLa@@dNJ6P@gd%Gz2D7%cP7SWeoEc-RSTSo`)H0H>L=?Tawpp;!wkRs$_ykHWVMOXB zD{b4YH%#ckCJ-uXB^f{Y%|V3>%MghpQI$7qR@!z;bqveJt7z*v)$6j`vj;(p02(kf z0@YXFD7_%JQKhH^rudPJ0VaMVfm8fCF?qQI>_c6@IbH}z8Z)_-J2dF@ex-bifYNJF zf$Nl!$<=k{dxSv?W)blqwSIsWdHJL1>0aC;D;0`wByfs>co zOYKlyw5Vpq@{TlS$uymcqv%@JWeQ*=Ixr`ocp7Lsa}xZ6TB^LR4(|N6+H@j_-ZX zUwc(_25Hx(TfEYgjY32$iQ~N>`MgP!MVhB>37Qr3)?6D#$+xr7{7FklJ2v8DAQp-qUNwIjorctPoO0wmg9Mz_c zlC;u|fkAnqf?T=ruWG0q2iU({6$Bm8LVU^>P%b@oH=ihx0Ox@SU`AA~5K%z{kX~>x zK1e+mz?pcF+r@q`=!6fS+@ zEv;bXVQMu9@Rl{*t=hlM5kN;KL~2+>0K(#toxv&sa434eNBc^%Vs%d|*{l1r+G|X+-($W@d!6|PVb~hS1K>LMXq{>$^Bu~q zKtd~=e|Raiupf^4(s~6&Lsa>2XvjHx|+hx1VFLy!Y1*pI9 z3!%%s6%<8hg?v%Ih$@e^hEVjJ3vPixQGaZg3u7>kQ;V82!IWeLL3wkHqUC%=_l;4G zVSah6D0awKT#=RvPBhRMt5OO<(Qzh-j43K==;?z!xIMMnA(K3yZ4}y&p3D}oO#MSD z66Ghyv@5YgTl^m_LHy*C^``pN7gt#8ensm=S7i^m(PgT}hGp>%S~`|5B`bUqS^PJ2_X%kiGJ{E*}Q{h$3sZ@(K<J=y#%3RbmE!B-wYiG`Fv)&u zz}Q5D3K9x9*+K~B-~x>eI;(Pr*s6^La&TcRHjF}GY@07r1s8~<7+jF#YiqVP&Rqo; zR%1`e&J2B$lz7;Fq3vo(bdQo=y6TdX?6;cLeh5MXsQVh`M77Y_jN89>GIUbXpfR__ z=4LB>S(!M_tauxP`1Z^Pb}f8hYPO{TD***kGn7iyC$vO8+8tN#e&^T!KmW-XFoUUX zEWcsFcxN<){(5S{)V6G_IP}sG=#h0NPzONNz*~@DwH#xtn0{}t$zY_AK^a!79)gwb z>{f(Pph7w{*E9+&>rPApH~odkrv;C)?u0J3Q|~=j>!%)7N~%o^Q|1d?bc3s-P)#*I zVZ8U{kgQJh@ZpkgJ?T)zVzcPr%0n{oZ{td6?g2fe?p#c23M2##_CUgVKrey+sy#h5 zRG6T}=({=^JF#lYCcoST z+huS_Ul7e9Ex#(&j0;A>5}htBAqLfq3j%1+lDM%>ml&*#rgT*^ag9_nzGBSPMh;6| zv=F3==7QkYB54OS90ri9h6_P?ocYNKbgJ-4`MMH20tqF$k}AE8bc*LbSYybF+> zE}@Z36ZT6vLL@%GbgXRaHi`sTUJFPW1rsf}ngL++;W5^fq@&HM zj1{TzV#Ph%I9TMx5)6j9A(#PRC{C7<04Y9z4Wtpw05HH@Z4B8j!HC)jW&n7PG6g}3 zQ8bK3#C+=0JTTQG57UI_io^eg+K5kj7Hd_u4Q0_+)#3%Vwsk`CD$c?4ABS{desS{x z;S@cU5n2hn6GGdzm>^)O^7P6LTOE)S+i1wE1~d{wuJZKP-NA?J&3Sru@Kt$wEdY<} zm+i*&N}E-l{tf_N0K_R6l% zbtnqe?ABp{(xCkID*c9Qn4;W3-T>a6S}^OZP;LYHvaGX?=F2LbRkUE|5S8Ctov-WH zh;UVzB~S+?gLtzRtaR3zY(><9Nb2=!Vyo)e1+xP6hSWc$6H4W5$_2`HC{FF$i1Sl7R(3>t@6!4CYNz9+b2}Z6dao+Ahyt#v z-b%LP__i@zejbmg2WCv6ZM>xBP)e3JX+prBa&q~VJ?ta#F#*9m(d4GmKs@jH(+m`4 ztjhV*hs6a~`r-?B&mY`-@!s?2PsvOcJ>Z!*_L=I~=kC7!ZCLs43zE*k)>ChL{;e0lMHGtX<+@VCF*uUZ{gn1l`IH zjRLA*pw)%}2MJHWkW{Me6E0YvC z(=npZhn}A9NoSb94?RsgL!yyDs6d$nF;W;OsSv>cQ$#DFh{#1t14u|>;^>fgiBN=# zASH$r#YHHe=e>OI`+aNuYpwnN`}}>nXF>J+d!7BRZ>_c0TJQCFpV$A!H}OdZN zC`Q&N0S4zD1VIB>!*EcHu3v(k#9pi_N={KuGXR?gAIHgzF zrr&@lS`ftf&{ENILB~xWk@BI_p9RGY@^g&oH!PObf`SGpEBf>s6w}&^kyNu^%^rCs z?V>?#to?ly4Pa~9fLpfuu26uh4_;A>#PplpMnb53J&H*4HDMrdbgT(ij*0F{GT)w< zRI@+e&Nq_z{*?9ZboDF5wDn&_%@~S}#nA<5z;%gq8nj1?|QqlszI=rG2aApAG-2oU)osU@a*4)lv z(PaRP_x{(P{n)?vQ6=xHgp%&E1QYC*1N%TOhsIW|?ATWp78SuQ2F+1$x7u_@Hqj=! zAZ$F0CRapbk)|qRMVdqx#E4MNO@bh}Wi%oSL0Uu?+^s+*%;YEXmC~#l^N*i=8x5ih z0_UeirPFB*4r1m$V?}B#7sRCy8Az63Fs(I$R8}qsc3w1YQ4rc+i%PMgxGY5ygpwM& zp;1+xnB-oAGM_cm0E{WL+g!IYL<%;s}DQyfciINPU zGa^aoOMRZ=ExDwXX8`K!Om>_85?qy+;Hta?_&0kA@Nex)fG>Mq0{pAL1pGMk65#vl zCE#{`32@9@3*hF3UxK$Ayac*OiU0hs!%dUFC;nr0a3vy1S@pK<84hNZEqK&?(Hpid z#|e`!x^l2VK~y=YvK=1jMjYa_KU$%o)KlBFgmrPj*rD=h`G%+E_6s1U(sJnHuBy`; ziPK`aEzTF^wNgLd>=s)r7{`Y|b^fv)2i&d~+g0BGgjqG!{!RTIJLD7IZWr5J&fcyT z+v@ruP#?d({_SqD$!+i3&0<@XVIWZN-}%Av>1ff#1BCnfYx(9|-n>oL|K5FUp=494 zD%iwtT{f}Q_K>P7Tx^@mEcXWwR%MRf@%(eoNj|)k9U|kzoKty$il8UBuaTR)I(^_N zt7kc;rM~c+|NJMvJeHPZe)Q5X*ptB$@9{Fc-2s(A=Ghi!qoB zL9yPZ?i6_AM=#8U7&qPC@rsKvmTC>hCp(Df!2%rj^FsJ^odJVtBp3 z=IUZIANo8=J=I%NpImQ)kt3>_R^f6DbBrr2C~OEy(`S)_W6(iOJ%ZAHWdk}xCTbf1 zJ&MwM93NiVS*3JRuVf4vOZ%149HCyp50-6+Qm}{7&=i$E*YI%g>jLO4$aRwN!hb<9 zcy{gGUd7PORk<{@{#<)!S$;}k_u{qpX}4%jGAVLm$}Kvl_Wtuf`6vJ7G!9i;nY{LH zjZH|Nq)smliM{8k>GT?Erxg#?n_q|8X~h9C!Q&QOV~p@o&F?Vlhy&s)4z<&Y17d=M z?X=>6m|*HgJ9Z8&4u}hGw=3;NL+(ryVe#{*1L7ySB{x4J7vKbJ4px$sZXc7}?~$<* zNf~%Z?Uy9Q0r8`6kf;+$w1OyP&m~6yZoW>wgvHRs zO&A;DG-c&D8l@9qPixHQCfP^QBzprht+d}Gbg-(A2Dm!rKY7RaG zvw8&ay}kTk-){x8dPILK8b73$e$!!5%jz)(tRfrVUQAYxF<=$+#+v=~bDXSqhMWedO6L+C!hG)iw!iY~if&5>5)AxyzDQ|6x25MR}cQZN(P}(ZrI5L1q ztY)OoG&c8G;jSBD=aTasmYgS9f>u?}CFjeOoIm4U`q7)rIB`rPX#tnmZRn12adYpx$@F(AQ=~nmZRf zZq`~LMsx{AyZX>HcPEZ$y)kIul-Kw*+UK=+uzObD9s4<>XS0kDx+* zXu8^Av8`NZtg4J&V^q1DcaG}*{mAOB@1mK_lsJ_B##H?3^bj?fN@nlwG*byU+#zQ= zk*Pcf4o8Gru2wShS$Qub)l#XxhxejCPw`%`3Cig6gCu)DMxvMwwtDtn?#_Fec8g~3 z<&!el@-CN(rE&war70B>NueX7^l#lBW+_51o?tkQ7}cAn-k|q z)98X2wF!hdj6Kh_y%>5gE@*gsU=5J;Z4WKpi%X(#tVCEyQ5Yj)99z5>mn4`E2j`kV z4K+V`)u?>J@1l|`3~y~EnM(0B(Ilas#&Jp3_k0^~Wb4IXhZAsCHBE@_(UJ;*+j*C-obz+w`a~JdC)@1($~i7nA0* z3X@VS^|cd*XHGCU%b~}e7TLzn!}d63tMic_vfVRWskW zMs|e=2d;KLG;OD^hzWB{krG}>vs??(cDf+4glt+ue1ptldycf7E{Gu{77t(oQwwht z2!`Px!IFRp;0)GK5A7f(1)E(Y!G0;h2vC^q)zlbJ5N`_h6+Ic9Chw{>8EI=0uk*rz ztZgp5YE3?^2_>N<+Dz&Tx`mC;aZ7xz3#VePqx->oAJmFJhGC1_d=FpzFG{Jc37^9j zd9TA~5g=%aQ|Jk&O?hXVu;u)8q`jjf!NQ!5oSy5Np_SJ>Os`H_qxY=d^c%i2XpPj# za=UIWSIqFjD2`6PEhoGpf<0nBSe5WByzkDMK*F#AP%W;xW(`<)mEAn^-1uAqi_EO=86y69Z3)wiAZq2RIeYid8xi z)|?c#%y5z@Dj$2)veITsU|W4qiy4_f-JWDsxlaC1cLglTKI8#k@=vVt*@yR(JtNkR zPkGgHw()iIi4qBx+0bd6{EH z>M&ms?fq*b0yyFW-}(@w4s$`U&XTME+1}&El4~JI9p-|8`sUO`te9J%8JoCZar)7M zB?>tUQim16BatOIbT3~3V-uG|%>&^Oq62_DWOh_uQeu;kT<)djgo%xEIh7=HUM^|v z>^M4fa(z9M^rC9407Zy~DVnGHzzzPVyY%*ZsgAR7qsTr4mw%(VWYaX_!=e%GAAB5> z10X{9YCnznKaR$e7*>(U@a5K9r1?iOhD~D_bCMPMKpLSE`%u#u)xs^B9zuAd`Uk&~2eMyLos} zR;nTa^J+`K+08p`$T$J*gYpCVS?Y7F+&oMr&>Bh4@Yh`WKxKp}CVFo;iDt#h&0B6r zP6NRusoUy9S8g8Y_S9(wP|z@5?m13UXY%6noe!M>?g-UbHR@b-%~W8QN@H>>*Di{U z6cW1j-OO}#$FBW5J9=*=2JIZ;>p@2k#L?8D{^Y&FGhH9O8~DL@|Ly<#mp-CUQR#KN z8)&}*oEBsDA4Ascw(M1xBLrzRb(? zh6g+}X%w3X-B8ek(^0gLYm1_h9+6Be%OiDL;_x(kr^<1Wp_5R)6~aT3?vZJ1<42@o zhs&>gZIW-$`c^Qo6(B=t5z_Jac%=Q?!PDZpBv+?{VBy1%_Q&~F>*$`XAEo={81c?^qMkwxf*+_lwW?|k110wrx>>@)(1wpD476wYT=h7k zN$w6!GVK<%CT2#HTn0_@dw=w;e|@xL{e(1wVm~g{vF;@D>{wUB@7>__*!vS0JtTPm z^tfT$Ly%_LwgA9fDa|UlM><>?G}A5!MosX8(fW>btOJ#T^fU#*ovHTE4?Wrb6)ROf zs2Qnq2Lo<>{)(SyXG-1xoxtoB+cgXk!HrznoE98)osAWk)f2Vp1Q+ z#w?FDdrYr%F{BEfARcpCiy4wSEmf85n3g*ykJV}HlBtXNfNkE7+hOf)-Pncmt*9w6 zWwOd6O1eE=a8t4rjBT8bW(~vmN;~@b#@8O$bB*uRbo{jCTf@O_tG}>%=No=8QgPR% z)t@ukrfO;j2TgdmlkZQ-vlgmBpH z8bwNGq(U&4964oN3WS`!(Bib-pbSLlBLlVNk(B{j-Tjuiqzv4)sH?d>{M&e%~@x<1`5M zYMh0niMMxo#9&&QSNqCgHu8V7@|<|qWk*E9x8kYs_Efr4m58%pz)iae<9 zjXfh)%xS*EdcA=iCOUF&_ALZ+nh&Kj4Bv&p#?++_)g@g3znDO9!fQ~cB32YX)-DFr zU@Y6Aa<*R@ZZ!nO1t?3drN+hr-$7;(|0Dv;>Lq@shRr$GZ<@h&B41yHk z;m@GVS00pU5A28yn0vsc=e{oA12z+CzT-hXzy1zK+y9*}eER3UCn9wX`P=m5et%rU z4k6*OhcOg0?YS}q2iq1VsL2pJj=NiS(r+}=E*LW$H<&!vteDf2sDmLPDu+HbX}Txh zmeZ5g;{laZP{eVLG}C@)j9Fwgj(Nc=v!|bIT<00OHMY^$!;1_#wXWx z=hhi?@L>y>3F9cArr1+8{C8rhEr+?XzX?t_Tk1=;PXKtF-gD4M!4GoIDUQiOa|PVl zv&ZDv6FuAU$G6Nl?H0}9_H*9j0N~Z{ZNqKrYGxG8mYacaTSvDt ziryMt)I+~|4#eT$ZGIXJ6D4;saBZ8(TfuU{5jXu{Na>f~S-A zhI5oA3N21s=lfZ2tPd>x{KN^{<^nF>0XSj0QK$$tcU0+YET{k#fw89}ztkp}v2 z!RgbinV#kapka7_8Z z{N;s8`*S`E(Ro zP{0B@h`MazTMTMGz+xSrf`g53F^9Qqa;!5e$cQ5OZFdvrQI^8Y<+NF$1j;<(OARjW zF4*PJ!BYlK*{f%1dgcGQf&5e1*M}otx9f9x*XOwFyPtmV%UI;;7p2I7tp~}We*P+d z_xx4uTU7vkQek;0gOjM)TvsWMuxnA`h8&no?-B8CJ@K6PLLFrJZWCGCw_p-j83i7f82{U{! z=y*6(?T;>AWw{~@{FboixNXV&M}08$=Z7eh)tT}TVLC#l^^kO(X;c6z+h0S|GsZ}3 z`w(OU;cxYQY669x99`Q+D-0&dqPK0Z9f}gTWR(ej)D$JnfEnTstG;2$l4ZOgU+QoH z;1O0t_VhW=aUmrPiRJX?DTW@Ka4-`Qe0r^svP<8R2)^2^?9WW9yn<tZwrApYXncJXavBu2kX2m*T zx28`6e_`d*_Ttbf#er)uRvt`nwJ|gym@*s$+K{IM84mnTovaC*%yQXC>R|M=NV3H) z=T}DUFs+jsw2}*%6L!0&R!Ge?wDXd5hG5c0L+sg4T z8Mu-sioI@}S zuLCt{02S(+@*v-krZ5h4wKMtw9wz_SEGnD%dw%YdiGQO+Dp~eqB4$47nWhl0CWn1D)CF5X?GmP#NYVapZn`IQ+AYGj=q@ zay``iDVv1S(*QMp)^T8CgB}tyi~@Ue)mg{w4_hijXf6yL*HA9hYo+6cx%pXxE1LLv zZhrXaEXTRzsic!vt4`Bul_W0dWJD6pjMPaQRux}QgSmcje%80>So<)XomHVb#b&y% z;eY48$Pb&o$jZCyPb<@}^w%)l(#fj#-sgPEul=R~y6gMg*5`_%>?@LG_c9b^&$!O4 zdO-~Zu18U8?9i$m)~tGWn>E_OMXjw2#vN)ct6o6IZLVhzXLY4|0nJlP5sFl8TXz zI7Q}KhtXA+|Ah*}a|`oPz#y;@BMh|d^e}{BRq01_TM^94b_BCXQ`J-(Kw#e~)dtSD z8b^Q`%IB2cq#gj1Pa>!_)ANu|sWXRPA`q8k$m#8-O{u%NT}Rs( zzcxJs&dU_3juemKF|qpJ`#b-)H-2ldQ}##2X>JNiA59FKqhe!z#E|Qege3SJuP@`|sQ3tU zCn8S3TSpR-DA?oFBz!)0@^V#Fd_>QX;6YDE%=oSY@*EWdfyxyT%B)t_J`(|X6%{X0 zI+CauZ8r6alcHiZQ%#~zZQMFlJ-oLj+p(~TlTF+!U_AYy_rG&c{8miVyIfEVMdOK(nJu3?g0xrrdlPbPjDsiU+BzZggypFjCoWIXtoT_)dDF}9|HbzO zbgo}%fv}OeDkA7H4X%05v(nmaH+!VXIPrendCzkyuOtWiO3GPjfi7Yvnxn6zT&41k zTb!CC2fI_DveG(W-kqEy3c#SZx?X7kn2zF!s=>~H8c-r zTzEp?C;C$5f!<>9Kr5M^=*3}!Jhw_7G1s+OGdK$5$lcgMzsB~Flbdq8!ZYIyi2wt)Vy^+EZ|`|g}+9B zY1PlUY5F;3JC2WjPBd@C1p|!-yOg?Dq~SBc)7l15nya*&^v|Z{q+K>IC!ur%;+wV~ zc5}!5uxLW98i3IcTU|feQmgwX+i}6@OGW>0Trl8zXlhLttc|O2!Ll9uZ>aNPQ(JF5 z^Kc*C!8mx?#J5{%X@m2$LqF|qv335M-MzQGd7E?{`f2we%=TJrx-d5-AyQV}RtKuw zq#-;V9jsy$ir_1a*87szzw6)m?a}V2XzrG8#2|BI#011mtVY1T`B2-|6NfEl$gzE4 zjNTJWTyRDpI707<4gxexzz|@Pry0RGw4|P_b0X&a_3(g-UNONz)=pY0znC)e9UtIX z(yGRxX{?GM{X?1{G;eh1SOm#ZB!T~fp(Zk%4W#vwnM4C?pV(n4lIE?&!80ZwbLRIp z6A*?_4PcVYcjt(94M9F4hG=lWGPx0`^#AUinCnxO=p#N2|ejuVcfqfb2@b}`Aujqf{o4!w!f}iLrp#6*Z zt}Xb=V9%r;#g|IvrNzfHyIR>ZaewL^Dh1jaaN&zc2b4j3dH>pgslg9@PV(=n!mYXB z(C4z96u1n3Nc*GNvf_sT;RW8Zv_H3Fe`(}MoAUA&HOopA+q~n!JDz^&X-kQu=vFKkO;-1Vo4c;PwSVyp zOB>h@hP9obHbPpj1IwxCF>V^pTKyMso=ze6zp%dZD5NnuteVb9a#hLt)1A_S@RToBxe$de(WLgSDn%tDZXk_!Urs5!VDW+rjP zOma+L%LNZ>pkpOQLjx}%|5=cMk_+xQREdGo4jtbNE3%*jN-l|6<9d=igp`cEDoLhk zToMr0fk|>mgi9L5I5NCj zFES*_^kgqkg|R-ot$2OR(A++W>FGv;xHPmNRe@KPFMob!C1>qN2Njeex-q#!&a;wCPd9SwQefwr zCFW7zbVokbpb-tcej zdw?XgH4);=0{Fi5dw|9Ad%(@~9x(G=wH#vmHaN1Oba=}aDcSBEP4iLB(QHfa2$Ojh zz2j}u*+|C%;!zOoOlMc=SY;@T@LRUS;v*f406Xmue#nX&N%FPng4_HpU-GnE%N8lw z?)3X@n(9D@-VrHYEEx2bWAu)FnzIHE$fhpKQkkBaaL^%lQ3} z?MBu)pFe+~^!rKTIreYZGBsIJ(jWS3`KBejUB@xQU6`XZ;k`K+krXzu)HbJ1?qjhn z^htppPy(mstMmJ``6^F||Bl7q__2@wCq@{3VzB*&BsX;?Hz!?D<-MNzC+A!Tsej~2 zD4A}UImw-GK}OdcTJDSIW{0SMa!MS@`s=BGa!MQnK9S&-Z2Zxhn68S)JfV0KgD`UwjB@Q@-dXLC=W1vT~rj(xS!huC(qMLj|%y?(XX%?<0 zDILKlESuW6Xx-H9!D_ENbpAKox%i~6S~h33F{@44tqj>fAUsdJV(6Om@JJe5+}cpf zS{^B?5u2!rhw7}9W8F`bbIV>_IyVhHVR=Dq-7JMl)YjMi>mT~b?~00{X5Bmx_8c6T z{8_$I)K-fgp?Y+|$-VDEkP7YBVqB;meZ^?$9D|x}mP-9}1SF?Lj!-@Nio2n%a;irc z9BeA5dUV0t^pvZTs9kX3F-sb%c;7DE!zmhSM?Se;^1sd3)#A`lzVQA%Ey9Wpw|#qs zZ(|)_+5g5jeV-^b0;?i1oc*FBuquXBE{P(oV(>UlqQ&6VP%Vd5?GeunLbZTuW;%zU zu9a0*?QkCU`q6D_72rzX25XynMRgQO5B-3IT6QF9=Zho;ODkp?2k%@_dXfSE!VGR2 zMkH#CHPFv5R<_K6i}l?78E|=DE{APo6t|y!CT85a_it?6nM= z?S(KFhTpHeW#QY!OC*&7ttPVS;I7V`Lx8yf zb)bD%Y^p#X0#%?V+tm-2e@>-5E)Ir**2*TnMHK9u!1wVjFk8NfFpz$-zwmdVRT-iubAd6b*B}}d+pKSX{S~K z2Cy?iCkJ_l-4@q?H*9}E#MK-+2YJUO=Ju%I8e^1ln1y6-P1{Oju%el|+?lk_<#G-y zB98?3)I}cUAaB1WaStWeK2g#Zxi@Od=v=su;te|`iz(UsEa7opF=y`lcotK$`Q=}| z{u$G8uw=--;v^TIyl-PbPv+atxG3lmfXmP?{i1wW0?Hl{r^?~6`{amnqHKzT|o zPa5o`qbJR?IAZpxVh>S+t9hWOzXkA^9EDfj0{q*JhC`VP_7F@i_OY1}RsMBaqlHHc z{`b0A!N2F^k;H-=2U+6P&y9RoXWpkDqphm z=&3K0qL7Op5AkrbPYyt*BA=@E)y8*Yy$mIB+U_qi)QTqF!7)_ri#>^5L(^-_t@p=MKR2#Xg9R=U2~lzx^A4)&VnMCff=O=-u~ znQ#|=mD}udChC%TD2E%A!#`4>qWS0ud=#($(4-pZdJ_zHz*`js7jF7^EIhysiWL6n&uI>p+D7K{PbA8Gx#|jV5C0 z;@8<2^@=&~QK~olt%8$s(^U!&pTL+rrtyJyLPvEQBBki?38ZEibs;yu-Ww}s#8N3Z zd?FgddPbJyya$NZEt`QXdVAGX-s6O{kwC4GbLmbO$$1aX5!5IWIIm6@SI&E!j$3fY zGd+WHczxlc5ud2bSGu^ZC(%jZd)ep$$$M9p?O!O*xww5^?O{rBJF?5#@TEx%A^$=c zUDC-KRr_WYY`hxl;Q!ehf9)^*t)xjYxoY{8*TIbq3#0^?MD!HO8waGOnDRLmZZviO zT#(3VWHTWMf022dEGU{()<^KR-4c%q_Yci0iZZn|kiHX5YJxF_{YXaQdPUKsz9O`l zAjc1z4Ki7^plDJP1jUHaOrUs3y_e5XG^ww++Jce^DhlatfeB?n(WJiOhI2Qeo53zG z%=cMPHK|K(5z&g|VJTBPDoN3#E{SO!k~cg8?WXY7_<__nGD!?`XRetT$Q_UX_4Np> z+3(ICka{^!tSLj~tD{%9=eXurci;l|OO%A0HS_&}-C|_GYhNOT*GN`3XbJGI{G1pL zO^qK%AJzE9OZ5z2!RqGho@cmw%C+iYPw%Q#`CTr+n!>l@Z7$tLQZ7EJbl>n%UHV|~ zf~!M5M(l6tJx^};IM6b5G#qGTb5~V4P7}f5K(ntk*FS+I9~m4^dmZ&VP!ZH~*v}mz zih66VU$UNjPM<8(mpNjfB0h(A1NG{BIr{Us1AQNxcpz^8aMHIhQWn$tY$wd7V?`_4Sc99+bxm$I}0V)?6KBCnS$!Ig= zB0K&QBOqJ%GrPz!`Vp>TT^o4vZMBQ+_)p9wY7bqx$OZq&1Y>iK*+mZgC%SH0!OBHO zZAtN;Ai3`pI>2IL5pkFp9QP#i_c%Z^X*SR^R5Rkzwkvb$%tTS-oK}#oIQKqBgLfl6b?qUMpoGM zi1c2sv1_f-%vkW?+FP_*PPs*^B`x|_{PK@{->;k#s)3s*d)Wh_TI=FhvB0AZ)#^yV zEV>6lr_}+@1cQr9g=!e#EP)L|HA(eF5aM7TJN5xQ4gv;2x33TqRgzVxw%)KehQSiZ zJ)57r3f0JlBrz(%ux)r;hiYgDmMcf7hS0mdjgrmqpbjvnt%hm`BBL6~DpW(BPp=4A zl+JnPPz}wjd;OKbI$Vd8qn^i|hiVctsq5mC7}fjf>p+PBD?X_-LbY=04-dKd5}{f; z2EK}2-?xpMTsNY~Aw+66;3)H+g@2KhPsx~G(%t2Y_fp?|vc{7neFSR0-gcu_P5 zUehhK|9r9ny>T5-z&b{jrH?kas^dDRx*IEf6skKJ3x0iESE%kV zLa_s5%W+*$-SyN+a$Gl9(a3RKp}Ir2d8bB_<2vX;rMf!+m)8C~bzE1d?m%-}$6&A* zx%3fKcYOqQb?L*Y?!XDqf$!zJ6I6F-d1xbAwF2sqfiA2;FUZQZ8xXn`wHUQvR<|h8Q0-eW~|H^CCzBIprS{Wq>Y@r6ji9R&N}DLCC)fkbA_LMQ>k4!pImPzj+j zaKWS24p`1!TH|mC(qS)x=%a!^3c)4FK13IUARR&%Jgq@8M!_Ax!K#QtkgD1RF{6Uu zV4Nd*2^5+ff>hNmcmjI}3goEjVp4`C%tDZ=+6Cdgl9h+BcMyFv=SWpu1ox<=s~{WR zsMumfQPnO906ok7Tykw)-AR(F+9k`(O4u}0|1NoB6&Sk7^`9!so?1ksW>gL=WA>qK z2?NKcD$1%Fk<}PKH;c;V6d7ImsQWh>uP3WE(~F%~G?Ab#YA9;6qv#+dmGhlbds;`T z0v+dcPL*Aohd~nTvZ=CZx2SdS=TzBcQf2pk?2~`(v%~T#pwm$e4(|+dPZY-0wAfc> zd0ns!lEUN%s~3eJEw2kA?l4d*a9wIALy(r&1q(h5Ih)QLW?EhsjNvT+*0wC5w7f1D zETA}BHTrRAT3#0{y?f*fXa#9`iy%6iV4?|qIUx&2%Nr|-t1m|T%Ot&xk$l9TOe zvY$ivS2b6IB`Pzc?aUMmqcAgS>6|#?6~3EdE2qeW9X4a?75tv?VZB&Y1$il1WeBXq zf>FT-Gd3WvQSv8-wyK~*pz6St?PPtLJQN|dRR^?`$WsS#Q!-bGK{~!v05HCv79DM!SkkFICc80ZZ@X7E!xnfN`yqB2A#2DHl{(o(_@}1W4c5$E)CidC6ji=Y)lQENd7zr zbHt&uF|}l;;7B{ZMrBNos7y%+eK?#thFmg^{!1dkO5h*Pat91x^OK9NERxFU2}$r7 zX&y07Q`BgYgf`cs9~n(&Q*O=DE_p!GKtSO*O-#?sl9P?T8stEy=yp#YF>LkJBx3Ob z?8Dtg)z^9fJt1{#)Wt|Aqbf~w{*m@@Co6to9H4j| z;YAP!SP@1l{5l<Hl+Zf8J+ zL^_7Nh~5C;9vMz%!Hi6a-hczp8jT>8h97!YdIQ!Z%d1zEn8^+e9tsDXFp{qYMbY>< zmfiq>E?NkS)Fgey0GzCrZR>>8Buy~zCgkgB4y`pwKQ<`z4a0I0S1bQMT9Y(MOYn0} z=3a)$R+3VaG|7Fzn;<#f!G_d#$MGinwM?vzHAn3}Lt?eZr0U393C0hW8Hc16s+t^m z`vP7!R8|_XxLGW(UX96uyjKseldl*`A@3ZecIbx{R^)-BMZ)O!ed4i3m;lqW4Z`*{ z8By3tbCOzi{LFB~(!;$djJqsqw~wM`1oS2^j+jOOw-!w|8_r~{pKf(CTyl*#T1!a# zPV0+i2}}~1A2#y9wZvTB7H_5)dz0L4K&Ppa_}Cm>eHLHyut!aZYc7{Y0&j0JLImZa zF-cUL2)l;=y&{^tz9RKwO!BnCtYVQ|V$?z_nI7;(&|kv6ZaZ&uTB9G`Cr0QkXpWxm z(N5I`UPQ3(*VjJ%r4fFc@>q{E+vKNPo?PtWFAYCFjG|AUX!X)Se(U&k<-|VFspfOaBE_svE$C15Z(Z=CTf=aF)p9)&NDhZ`bbkz>o-voWU z^jfh`j(q87^UZ^H4^MV!d?#;U<^Jjf&~*IT{RJxxGqJHhXa%bz;0O(%IK<&Tx2%9l zN1uMbmeo($_0sV{+){-P!p)cB71@phf%Wc`Re*X9aAMiWjh@o=ZhqF z`Tamg52l%P!OA8RzrSq9{`kT2{61|~c!n-b%`K@6y9;*RTyW)yqf2i^{$IV`X$&je^|@!$ zu7XULOk_!A)v3sLKY#Ty-0G)al$sH?0{lLd!Ia%fas@BWB!R~RFBjiCFBjmec0?z<}~{NS%V^WG4g^B;cw$A9NOLyGeE~G0uawWR%4&ix!wP?x z#?uHkSQEk*UBc*mcMeOi8>&OO?c7Ge zWca0HjwAeC9(}npXpsMyqmG(J2bowJUCQFi=rc{Yc#D{`5ATQ%dHe`jBArHYLlA9sG9$^FMGom|H)9z8vD6w z_MA?nlSVixBAwJjHIYK@Cy-2G*a_TZ57k79=D@|1s3zK2vPvgypn0S+1rmok?5i7{ zPN=q+;7YKaIoeMyXd-Y0qsUdhE^1I7gCMhIj00jxj9n|IQ1UxGr3dNE_2)tkWWaS-vkHA zCqyy(ilA{9XB2>e&Hyk`%qF-)x!eRn8!0|>5V@&hHp!q!gSJ(lIZ5W;qcT(+gm>rC zXRE3i@99x>wHD`86b{vr{}&o4^w>iioUI2y_OR%&D_4-Z=5>k1X3@?;xn7@4{2L{P za_;lEY~+=bvLava*G%g zRK2oybc<5<%X44XoM|_A#vP3ISwBKS7ppv&e`Id5S4P5MrYXq}V9%L@f zMtOj^bTnjeyI9Ol`H(H4ejdc5yN&d}X7qZyy7ZtvAZ(0d&R6umVfgw!Q3}S;AK#wX zV7bVJB?NSUr>DY*#)458LE>yhCF=T@Z~TN)y-Dddla<1(AR! z4<-nb@z$%mY+oS_PBr6#z`&BkxxYu1PmT-10nudee!I7sII$wtj0;+jz-Cr3!HYf$ zL8=)SG=c&O?f`~hzB~l!`xim52}lNhzd_Qz5ftCwCHL#2=C(l?V~E{YQeH%tH2xR1 zy>5&nAW0JAQzTEAuAvRGq;suLQye>&B)|ws8D7w`(?Klxa+lm~*2Ex7AFr_FrNa$D3uy9Fy$lJ*bh^$W>P1p^T$dxdO{ z>u3MloInzu+=n|e4_uIW;LU<)LW-7^sSQR3V*5sk8+)L`MeI#^&#}t%K9!5N5>2~B ztpS|-axc@D`@O&T4}aHZM67~W4*j%V+0iW^S};RESkf@4MaEEqmsbRrF!^*MT#(iY z<`n27R8O?%9)fftTre1fNP!K@msg|{;etDd*6vVR)@nqoNGGBQ?#Rjw3yEx}S+fwN z6XAkj#XgG32O`SqFfKS6g3w-sacGOl@=XzO+fx1^jLc;WT&x$L@e z(;{c;;pJdLOpYh$a3^3HT$aj#M?6r?Bi1@oiXZswB!|5nD1&&X0^%vkHto+RCGFqZ zV1z>zkgRRok?piU&bMm+MaBG_Zd^K+X*KzABK&}n$yl-p@quL9?Abimf=yUn!jEao zx7wHC$1i-{Z}^H=Ky#q2p1p+#KQN|5I|uyr)$qf%0KW0k!+}FYYZa3<$KkNV2nEI| zOPx!bby3zFfb1ie&qD);Tq~`+(i~IXZ`Cfwwa6XpAKZQJFK|v-In+m^Il8HfS#!*q z<9&iY?Ite1^HV_8L0=XF2&ktIKh7Zt&8NnFSlShz~|0Gxa3N~j>66G>c{;PHse zg=IL5F+T()abbes%urwheF_E9g`gxZ{LtEL%%WxC_0q2l=x4hzRYg`-rb2WS3S#Xd zD2WSO5fC;4{g?`IVv`V*#DyOk+_n-%WD8{`Q49q zytoJhUtd&4&$W)YO|#&6P_8ylmLepalcR&vMeZayY7ghR-pL&mn&?1XIfC69KFb4C zWdgc|Chl9%?^bgv`woKOA2X_sX}75L@aFjXGV%52f8W3OtzR8U`hMi(33QGC(xJ6* zeG(ja0O{1$Im9xic_AI#cEd_>M}bPH1#*N7)BZ3hv?F;%+B#oxx27$G;9&*&k4BJQ zauL+{n&qWar&W=*t_V8Q@ew%qwsBQzfqsrY^yL7e$QEcQ038$DEkU76GmEC#PXC_* zbWC!OZa%TINSAkKUr9a4BmsU`N=|^Z6^&Lh-yU2DSWLL)zS}3Adr1*(FL7JACg7Ou9nZa_C?pj!c}%2ikDl+)5dd5% z%mB8zt*_{2PDb$p)Pgt2O?5pSq&Y%0tipXjrN%LlO_jc5T6wnuJuV3fm^opB&e#zm z5Mjtl6;GtOtBeW2kyVC8wj;-&qYq<$P86#$CL_!ponB1WGJI=rHQMBi{q)Z5fx=G5!G|}voJihE8({9o1luWP7oC8q$)8G4N z|NYMyYv%}GR|veiL~HrtT&y$hU}DJY$k9? zFpr=e=yYjhrv&5Re9q^((^HX6-VT;oyWMtR$7+mYc1l2(jccG~hC|f)1=%UVR5`}} zFw7?~9W*OeP6;M=>XaAEj1%NQ{vj2@W51+1WAw2A)o$0U*g=+K$TkXbPzS~y$8>?SKuGACA-E#IX-b&F|-XAsb%tV;Ye+1b~H|*_S59g7M|rnDRB z_$)17-=`z@m9M-M(HiE7U}{gczm~tQ2aY zTk09bTr1S2PAWi?SVyO2g$gjz5m5;hDtM5aLpKU_XuMYyz@)BwWf{J-U@7ONLlYd`qevAJm;5*wkK+>-Fn{hgA@T z&D0%2zNI{IEAcPo)G$By9U=aW5<~T7BeeAQ5H#1o2H=TsAYElRlf$sUoFSS+NTTPb zV~o=So*9=g8l!#6(i6S_{}x&*`OaW6T$>pYe3GAMFfM^(ynV4H#Bs@BTw0?6zB#%^ zKc?b=`H7a&K$NdtmA^~EVbKi1hP8wG-EJ6{K;NcL10kG^WO~NIxFm#TcozZu z=$vO#L5pB<0@Hf?dusG)=b2cX*xs6tKJC2$MNxiluxYTv@}_{}D7`n>>UnSQum0Zf zr;bwYouzvO4aN8)DZuH*Fq)XvZ%%n?;ZDyXINtgCZ6p^>} zyuTrO9~OAr(;uSuspKkGa%gp?E~c!W<>*Q7|E)KC`A-BMPaCNu=hSE87 zmgg`cNp}7039T?XKXyG;Iq5MJUmJP@#doKnx1bi|{26*zbbRWQ#RbcDST@Ptq)qvG zB6PmtfGT@aLMK(_Z>Nc&uFGvkwKkPq3a^>(Lk)I|E~fwbH$U;uygwKW6bXI zN z&Mvt>Ne%+ZmzDDp*jF$JRTq;bLPgT%4kXE{iwW+$Au4M6REKg%yZjh3H@4?+%z-|^&;D$PoR#V4nsXb zn~u~d>#j;>0&~d^@$Fga4VlSGy))B!PG(Y?-QsezSRU#TqZjZR6oSsmU+dRj)Or3U zovR*a9Mj!#8kqJOxkYoy@X?eEpZ<>ZKX^}=X{BKF47YPNg6@(%vPhW$@XBORrm19p z$pwMAVS$gsch;S8K6H4BppvdrkXf#JMP>k9@U#M9zO0A=e#}_qL(@#V;0|0!g4soe z%fb!&3Ko!N+6Aq^$4cN9oN@JvG}A@U0~jpanRY}o?SeZHvddK;K~CD9qnPO;DPULp zKG;F(n)zzPOuHmNK~~sAevP@ukenQsh@~qgum6KvMzwAwgXX9nQm?6bes$IL=HTQ! z>-}hg6ML9PRC^~lnXT{LS>I{5XtutO#`^x-pZ(4M$-U^9(Q=YoUn?#>5h&vA8iaPa zz;Ydm7|5Qs(kkf&E!m)Ay;OU?M@wy621@le@UJ?Gc!Lpm&7o8I4Ip85@uIAS`SzXh zAgTKH#0gQiRP>H0;kE$gTwgva=$2R^)~xEQ&h_OTN^WBK;hc1k&Q*PR5A?KRfcBiI zS1(xscJR9x1L|IBIdYY*MiFDc3v!Y~{DO(4T{G3*a2vOZl0xF4gFuq#$8R`iChB<} zR}!LEN6+_XzXXy>jt=jrz2gmvc*${t{5iWAEzP{y!?DA&qdwdgo>X;3=XoCLH*{)w z7eNu5PZHVDzNlV>P{^|nQ>4KJtI~&%mVdZ9c;*$~^c{_!)NsbMNnkQU2DWBkoL~d? zLBxMnNd1~d5rYvnX#sE^B42^bnWXhWEP`|hhR>XzFz|}LXLKGLM%Z_K)xYvl-w=VC zc0;?V@ZRVkbXYD8!xBx@R7NfsgbpaWXhau+G!-srGq0%Mz|;V30N{3^h@dJeg6r){ z;0l04Sy&Z7)my>A(O>wsK;K8L`G-&K$|CC9hxNd?G_4HxP zN!9Z#z@tg3+EY7+b&qc!#J2ooVum--@ zbZq3ivmfl($hQX_9VFO92zGwQhMLw4lk9>dGemMzU?ViDYHMFJ$=!M2(RtmVk_bqp zRe5ovv?_DlUqg}7*ZkcdTmEclU(IlOq~!G#6sWY39%Irv1t`AXE(nY|d8r7QUSlRt zF2pF*&IN(hz#wZD$q=@*btP(F7ep&NSf;24mMep?*;htG;!OIql32bB)%PbVaqnv0?K^+N*|r`Qjq=_FFhhptLm;45J8#d&jU zBo*u5rz&Zc*%^So8wXBYw?s;Q^y3MmJ7i5po$Z?W?tnFnAbHNP>yG3T@7LEvc2HA# zh)yNvSzS@SKPX6Zcr%N1OPTszN#>d(8YA&5isVKTt|2)Z(Os|6^&3l*jnA-Caj(+_ z2!2`#Pfdf536b#3{%IPUZjK4Biwh&@Ya%>PviM)&gRQ8>71fe*WZHHSD3}gx1={Q! zRGyB?2-pmha_2O}u`@p7wb`IoajWaxqIAN1fI=CwQy;HgK}9lWSD_+DI`tbAkp4WO z+hs#KX&_-W0Wjum>sk) zR7=jSXTL6n*@2V170hm36jRQjWNF>=>~)u73MpCILswpRDW)7ZqN(!5WUo6FQ${FR zN-<@Ok_At!7Ae5rihN%ELsrEUXmd6Ivh>hxPr9arjy0u9*Q+KOCNOo;*wrtj5NtWgUQp8Ld6dS(f{ zf&qU&mdMV(=wp7B|0{;TKI9^YF5Fj0QDJmL*@y04eFc>;2z{S;R&ybxG7>W#%L`Y} zKLgrHVST%L7G&i$=(C^a?ZciUZdx^~HKsF1++{f8e%mL0&p-Q21fqH~JP@^8Q=>cD z=G|=arl$c5N8AXyqvCC8O=EUQPQmEb#bRWKBpBU#uo&4P2|_o7&8;t#(}2O}b|TQC zaWkan%Ww<9=Z2=Z_J?d766bTni1gYhqgkR#1Hxlb=K)BJ_7|$@SiGGCfQ-f^7&Y{2 zUN4v26Qin&=Dz3AuIH-fx$bzb`<-vq?d-vxGr;=x%*OIo2D9e`pMz{Hi1w0q3q%CC zZuS9?fJ2Kw^);0C`=)>4zyIKQ_AieylHGM-59DD+vY(gGo004Yl1^!OYSj?jA1A#g_^?f>jN~QfSm)6|9zOQd z|N4KF_${=luhZ_0PALH)166HBx9B})tYcfCWELi4@IJxJXe})SncXXbWeny9DRwbf zAxQVf1&!x{Y!s+yHG;IhE(l_6pfP05BF}+FHCCkcErJAFnxJrYXF*!uB6t#0$&PE} z`I{AKeO>TWhF0zXqHF}k`nqHctwg__8g%=rZTH@lVUUO)wLIsNldZ4X&)~P{+Fq4- zM4$sV?EY5{-~5k%=YN~8Wm8YGzk%Bga0*6d!}~ZGUVB(aw0ft7Y-+V>+VZW@V72M* zzxkIw>+^!pM;GcSJP<-kNddGBRz~-?&xYMdLn!+0wI7xWZ@Ft<{Yv2#!Ohz6*s)?( zcu|&u*3kea1hc|BZdhx9&yOKl*4!I{S>X|dErj`KbP@L6>lEH@OTr(ncsMlL`*u1< z-r$GVRL1?)=ilb_&tLU?Ez*~g>C-Z1v&=Fln#0}Cy>F-O=W~c z1)x#w#mMvInRrX5kf?TtEJ*$P)CuGj`bT62<61jRqKe3zQDyG_bj`yvM92xV=~Zq-%RzTgBV=?HWsnzP%!@T`3Y3xZku4i*F} z?K@ZzthH|$@zhrm%-VOjB$)4x0i>w=drE@YIL3&l+Pc^X^ zf>cBC~m^6|kO@*&{IB_BoOy6z^7^pb^NiyFZ0An$%kVjvF zm9vsOPX(7uMET&lSN`^r+`qcYnx0MV$$QL$cP*c7SFt_2sRArl0EPyY7#=NJpK62B`vtcMRCnuo}J{J+NMQf*ddZ=}^9 z?i`Ep;HuktgyZ3RU)29Li_4~e=YRWT;@>Eh=p|e^`Ck}eiCpxDT%ZFDC_*N|)*JEO z^DnNEi%FI_aDeq#Q(fFdja*D}w?ZeAm=59ZuOCST9{QRq47`#1jrIz40n(c3>0JO_ zgTg1XLDF8_teGC)#R0_r`e>|3mDxz9=XYT-4xhHANjJ6ZF!`>~&6;vo`sK}+UAOBmCF;MWDXAB%VYb_t36hH!3ojHfj)@WsGazy%axiR=a z2vS@5iX3eS9{_?t1*pRrf^-2~5Fs|*3bGj>%OK7|2vUE!;OU6sp86kanU{MVS{bAW zEbU=Ma4)ooYN{|_agX+U0VHtc_8h5mT+n($n65r`h!n&{b4j>9Bs^tHKPF~2S0gT( zOX3G&X%CX{)!MVHND2<1JHYio@~{K*9o;EggcvNkXujrYZE*t%i^~q3LB2i4j*2T< z9Y#nBz@Z?t3&?<2h~Y;cb*cUj2^D!H?eAuiC<{Vzc z4d)(BTb>pvk5!^#|AT+^kG$a*gQv5<=`UCaQ0!}g6B6Ay8Si$>aiLI}8;nAQlF5}J zaZ61wFdGLbrbbX=J700VXPOl&u9q@UA+IQostG2{2HeADMe#`e&;_%B)M$HX$xZm7 z1GBO2pg_c#^h0lv*cO`t++2I;s%nTsE572eM>@7S$*O7?_=-KuMJt)BhO~J2%>{!Z zY&!WDsxTXSH1%r}%x=G;v8W{T?EyoN6G|iat|Uj*b;P_mp4MnuwW%TE`od`y=uI1E zWgf?HJsnEDFOb${y(=)u?me?(wYswKL(qUWCZpM;Xv)v@B#mdl#(9ys6qzGXRAvef z*l70dvIB6Q>Tq{c9SqVoVjWxaHTV5rrtkm%_?c&Z`^QIYr|?oQPZ-z~wM+0;(#pWZ zS=Aw6*K`3~@VG_S3=Q@uG<=Ui;UWl@Hw|54MY;fGMW9`@DtbKP92mKTAYA|#45y-} zbA>K|3tG!6E@lK8emHFuY>w_)%WKD>=>qtQ2aJ7^_y#zhCB+3Ol02wM!Uw9aTVgwx+{wfz#C8`%u&c>jS+uoIconzXBJt39+aAJb7wcKk zx{2N7SNXrjm|j#yPrdG(G4s6YG1D_k_v66QZO`(E4PaU=hcJ^T!%kbiH8G5kzVjQu z_UqmzOjO~WhOgds0A}@A=C}eo(&;J8 z>T$OrqD8dCN!UTMj>_s0!7suC2tt|JB)K?8Mo4~+Ncym+kb-N=x`kj?kC+Ze6%N52 zlJ~7DL$--~>b9Iu zd@Y}*$mr6wI`wQ+`*&tVxhyNneO6cT;NI0F*{T3jbC!9MiaOF?!VzuVr%r$QTR!~* zsYyuR=B~GS>CS6H4xv}zmiFk~TAi-QDMC#?qE12js0zBLMf)0c+SQU-ogTL`K?Yh9 z_gjk?*QireO%$+${C_K0d7C>-xlwgWF6CDBWp#R7ZNmkB3VyikpF+;N)N zqqAnEPB&{JAE|h?Gb>vhX%g}^FWL89be(F;#&uO~)~9$?9L&Tw)sap}#S_rQJiT<) zpL)8j_U&|MA`x%-)}27AlwwP zTCL-1WNu%XGwXsFG`tsxILeeVm@yY0seN4#z1Va;k<8w0P)VSx7AxjDF2L!;EK0%G z=2(RwwQpGwYFyMbrfC^4E(CKO_XH56P~U#PwAs*Ve$E2|BIkH0OlS9M(Uu&Nu+Dsp=pE3$c@IW;oC zoM!;<^Lb8odsVMSbyl~V{6V9dTenyD$=QEV#i~nRDfc(50na{l<@ETzv-W))w6DF5 zk4pPaTfWct{miHT`M)y(MU%8|s|srEi&&W|a1=!|Rr?Mq)vSHfOpp;2O_>P-M0l-P zo_t%@zB}N1#HHomR#|23YvYsH9g6nPN>>5L!c>CYANZ>r8bY0lR-HlZi`*>;j6{+@ zY1V(nuv*qcf4t&Y06Og8ZK}Hi7A4XB81>P?4^`TiRb=s}k0>K|kU7~pf;^rLue>5) zo~!e`v7SyEwwtApFSC~#$}sKMf}I2E++UqleQqcku5SO}0O^}M%W-;*uJ-h=>+Bgt z^Hz=$sc^p|qdywl^Ngb5YrA}E$sF+y|M2I1<5i$&)cwCUsj)+Dw~d=~*c^0n8@6Cl z9a%up$q|F6Ns=1Nje!|Muu5u_Tm?skH!J2GanQ-3ouo~_=A_2H(8*y)t#)U`p>tAW zU+CnZv(%i0V3i{-baER&FPn3$k{UrLhnair)l?<4NGt3Q7A5$>Z>KP+UW#&5xAj#_ zC+Awx|3W*?baJm^I=LCUa(CDj(|HP-gaoq44b_K*G=Cr#N zXlM-!(&WGig#(5cyyOxvpj>H*omjClyjx`8>9M0)Y0Z`)m<_L`(vT`&GiP2Ox-z^- z(I5g5!A`k18(vJSx2orwtd8}H+3@ao5xOGVtZKo^@CMEVox-(=o@`DVt6AehOEA!| z34~u?cBiQSrv|vc3R;2<`w+F$X3^f2`(*TJbv?9=FOnr)FIKtT524=*!7Ij3;s<2A zpF(t_T@Dq$RqoG&LxTp*yaS&b+%n#)?Hab4+X*&#TvRg&F?C_Mp;J8h*k5NDMt>l2 zmsmee7y{MtWjpPU^JN~B&hcV%@2zMkJ*z)dvYoM<{yc#K#lS)o@$RY~I$P4&l0N_L zXRV#j+5&0JrEjymXTqR0^Ti8uVYpZc^IN2v*~>nlU-%o9^#nK4ABRrbp(Lr@z{ zML}Uso=_{5AqX1pZ>*SeUy+-{RL1tu)PTNX>F`73X_UjUVy+BDhu_ZY*dds6lNc#% z#TlSr+mvI@O-5~IyRo`_tk~oxtv1tl*;Qqz)Mnt3jO8X#5t8iSmNXr-G9o-^z6od~zKW4jMJxs4opW!op@V(#nrZb}Nv9vegafIlNro91@ z@U(ZE|E;3$jqz16`felJ9DSoH11x$Bs(Z58RrGy8uxx^zY<7;mjpqm;Ibh)0WPgsn z4{Jd5XgBv85CslK-{>9Hqyv)KwdCzAEbUQ=e$~2-C9{)d?(3lsTNj_)`ugAaCO-Lh z{x`mfPkMrN`0dVg4xXj^qF!Ah9#^@M=IsC@>QNnXbWrl8>CS1+occJ73 zt563Mn!|5U`|8|KF!l^Xg94a|G-W4LuEv2Db{{mrc0y&s;_d427v%-Hp2G&CF$Ti# zLhr-izq4j@dyL)(lst9#ePffa_Vcp9OxkN%*M~OiK^*>LTSlVe#@+vhX^&6-o&Sw* z`aV%A1=MXaUQ*hq13{Rqybg?4&gFwAMx6}TT^iTUEZGH|(Vp~(-i(9DlK$(-?c(C%JVsQw{92N}b zaQmg_U$}Z8j)#)Ly(WBwIo!T_#{(qnBg=kI()aEj)?ZC=F%!Hv@t{g3_Xzxg|U zI%fDOA+@LIjh>pi51p&<5s-!zI-tzPPamNOYOBTii5(gwXam7(MJmmwmWDEOL5%Dq z2_MwYX=6S@2+~JzK~%QaXf_iz{-s*<5TwR%LA1Fc)P?CstC{ghg&ej&E5`oN9Y<%~{=KC`J78#rA1&}PW*Cf7Y9 z&CYWTq#z!aUZCW~G~dT29EbCB-S#Y>o9mt$TuxiQ&C{|j`SJ(8|IhuvD0x1Hec}xy zFkpl{AHFvtC}^HB@_e*miHaH{&j+|)+kp3|OKMgwsL!eNZ0iZkai(HMq7U<}G(HGH z@voFj9cp%kWF-aBvaH<*AxNd?D?&Sx(i2(B?RKY8o(oRbJD{&mJZ%>s&sSvvzVk+% zkSE`YXZ(0>`OGz+|Ls#~@o$t$fs~%(4EXqrlIQy<#VO?Ze1Kq) zM#=L%Yz1J;3f6ubjhWTYbH6@llgu8378-;nNr?!IkRRp{(W)f0$#=_OG)dBEmo@Ri z7@lk+l#L-d{UN$IdA^#&?n6YLe6PUX)k8Fs=ZCdns0Ua<#HF`uB3aMm`H!AFUsS@b zkmuXxTi(1C9G@zTd-t(LrhVB2mp(VqcH*0$)`!X{D7=|Vf9BGE?zt&t6|1Ib4n(Ug zAMRZGpY^5R^r5egR6h#J2roU1*Da*-5J|!;W8BmFB+dJotCwNC3mWM@1i{KeZ!rss zOJFR;;p7~;g5nXFU<_(qf#IN8Q9N1~MBppV^byndG?uorf`26*tsfdrGWu50sfiB|cP082#X>K^1Th;`%Si5GvJ65~Em7{mJBbjdxdX-6hhVulk8N-9> z==uKamj|R_L~<>_{z|gCLAcQp#K5uaFj#BK+kem13a7{469Wh8Uuo0}REOV)&ve7c z`6E+d@Vy&RN9vM&^bg_h$0wEUOKOBnd{SwUKih{UX1T(kR{7@YkmHru-{K69D|+w; zGEONuOi1Jp7ZL>E9y<`cR`m<4<@rjb3T`J4?Y85Qgl0L8&q_o!-bd*2Q~QBzr{m*% zbL9gbBOc{k`H&YrojH(Pjt4do%AB9xuy_32^u`_G#Af^fDCMTzqBcb| z;}0%_Kll&c{fB<}bAshmZ$|5sgs*{_w@mP7%Tt*>G_k?(z$w`tJ5w97)dPjx9)cY2 zz(J7pirMI;@eaq1Da$qwlYlw5N5&yw>3YTNl1EQQpFgqof#e#Qs?SM5D%@22aeN8q8)2k9-qWe+)&arWK5Px>z5NNSNCAq|$f7AJRez+|UD3 zFpmU250W6=BFWCnOIr;4O?ghIS&a@xe`?)g+VZVYV%_5V*U$Xa*MvgV%DF34#|(iK zNfXZqIE-K3zH0iZ(1OIe1ysv=-2oR-p_Ua_2wp^?qR3h!hMs&I6{-s+3a3>E`NezV zf?!!@A2C!kv{s8Hqe68-R6ni!3lMdCXev|}v`CZ`PM}VOPRor-RH!a!vjo^vgy}zx zpeWQLiK)nvZ9jk(s$H_`r`n^MtE^D4Oj=#BMevi?OnZohr1*RUM!UUmQK)`&pz<81 zwX_;gTC=)6TBss}6Q>{KOCMFes`mQgEdA!17AgJm)k(ECpnlsf=^4=_?ox`YxZUX6 zv?;Dos9%ZtG~K(q*E16hR8gJ`o}fFaZ~ZMkH|CnKJoW9w<@;3gPc7en`UfBRy4T@4 z3H8)Un6oumT?|#&y{f(a@@Rx~Q9%=5S2O4ETnP+ip>K~C4tb?RP<&kzJSl54YR~Xs z+nSu*JQqYhO_DMDE&AM>6~%0t;BJiyuoi$f22PDb%MJDwb?P=s%HVcZ^%#QU>zW`` zo-<41YN|t@r=NN+upXd#NU09>&AU9NjIu*-N$v3ZXjba6K<}R5bI2xQe zTnD8hgNR~azFvuj()ri99I@C*1i@O_{B!Buo=s%LtABmyev*4d@GE55Fi$+CH&^~oz&w=i_~5H{pUBm#^c9)=u= zFh_*^3Y=$|ca@!ItvC5OVgi|QuYz7eF>Ha#a?=J&H?ZHS>0_8VN!*2W zI`Z>`eUz4xJ95!y+j)1k)9&zWJ70d`i(Y#AouFd6%a)7J`h_2V z(+`C0R7a)_6`_$x%MI8yf-6nxM08b!irW+W9{OR*IaCCyfdB(iA^2>wSTTo+M=~3T z;Oc0c!XcPLMNE+<%u}LN>&*zk94hWMXf>3JS^9K~5X_+>hH`QYkc6|wQXGO32zc;` zi9*O-GWgs|BSj!gk#S2Pv?mTL4DJ-k!_qjOVo43AY)vpNA2|UY&m4l-0AG@HPL|kNdPI$NU&*elP_R zyR(-cr9#?dKc+Z5z|U9;!|y`N*SsMQ6fM=hLmiw90AhJD01#wnL#3>rd|UQo3J?kA zYLGDMxUp$R;9%@<5obRJ!;t|iqLl)jV{3b|AHz%3o(r60E0_&5<}a^5!V}N zv=E=l)VZco(BO*>NZF|21F_>EVH6m$%rPU&a`Rhe1C6$!HP?i~SuRgCzNj|P5IArk zHn3GkH2hR+jv7<-q|AFOUR>qFb@L(}KP|ka@VUWE08@Kx+8pSasl&Ut{f)5rw)i%AX(LQR z&%?G zS*%U~Ayt%p&cS?I)iTFhc6~yviU!uh{Ol@@(ECKLNnGHKr)cXsZWyC4TTbAITFJ74=+AUEP~cC_ydxt$@m zA0C59-}=`7;^#g!x-*qI*u8*4xeXR?*h8?KgR)$qD)yB%&KE%hQxXL2fK<`2Ap~h= zT=00<0DZs}x2TASg$+T5RW69>WIBWeft_%7WP){i0WNp|R>O=T2zr|p=>-%)9RbGq z5IbvLk3-W7a6w>Q!PE{f03{}&Y|n?L7vO@xNobY`l&%<1q!^6fbk z;^yVfqTY1$e1CR(j+2o!mxzBE_9n@EgTS+_G;ZLKldjlGGT$N0m0{pZ!upNi!9sG> z2ccme^FQ?a2m*Dx#t`ejodmmeiD44X-?iFOmru6P5_4jb(y zI@fWT8a7T_zAg7K5BIxY|Biq33FAnJiKDXyCt~HeB#IwB6R~osVsIi>4jXSYxf;VG z>u4~Cjr%RK1ro8Y$sbb-=CE;a7*`cG4o>5$!p6gzBcq{+*{-P;)tuj!X$1ur?Kwdd z+!-sLp^C6l!X!$31Fo&Ppb7~AAPX3EaCFp5gn}$cW=2)EDAtD!(~4@_h#7UetFv|I zHhS#QkXaKqU(2R0bSKaTud%j&=gC=b1>NT5CTCgHm^wgGHVBG4v9Ro?3~rQs-W*YK zDAUvL%|n?Uv)P){Zc%I8W&(uE5FmWozxUt%^iPaMlvc7r5Vix#T`Wt44OPstK@cE; zB77)K#fD%NvaEp=(1;R5JO%<(*t`5yS*fv!kB2#&8GkD9 zx5On0*x1A=;yI=$Mo^7Wz`7yhk3FI1Mj~?x_3l!r({9mh@E#3#?{|L1%YXCpV^E|v zoLMK}SMHOEf1`BD)AdAuv$=ca&7D2S=bF2z ziMMIXx2l?nw-0{$A6<{Cnc&gfz)z@NxF_G1)lBecV)Clys^S%{)J);gM4yj(>LFOE znZlz90+RY&SHIeJdm?x=cUzkOOlGpm{4(LAlKRn=E~4Ae|$0Mk64 z>bGQ5QDYBL1PI~ybR#v>su$m$c{E?mJerSQ&HS04`@nCy3RsxFN3A!5QX|Jaq5X4| zgNZd(3igbO&1%NVx5B~HVd2ec=72^%L6P>&l+E4@uvrx3Iii=bQH5E}43afvHFE?{ z1Fjn03>XAjRml8)(Qv1Y4$_7PCotq-K|-N5b1q7FB^76Dt`zvwl{^td z$v4$;s(-ErX6g6J94zW5Yo5?Y@<|<}a~lZrg8bYp+BxWTGO-^el2_G(#F|H5KMo_W z?cF%nubR^ccb7((c8glybk3V!CU5><{>;z);C~vU*R_b@NGvO9pnZJe2$MoF(8sAn z!|N*!&N`vtb-}2>_p~48!unwQAq{U?aUNj;;(%^nVZJ@L=_^zd{Q#wP`&7sS$i?IT zRz5ioRI$0ff2poNcnClgzxI6{9|ig*2qnJ&v&0{|)Z;#zzGhNFW0Vqd9=6iX6hH>J zZs{=}VUbGD`3Q>?JxqHez`3;EDuQHtBCSfrAR^g*mmK7q5+)Xx+^tU>U?HC-}>5o7+O`Ip7R7Ux|I&E5t3>lf}Yn~2-@bT!vP*Pu!G~~GCezR8` zBLFO&5lNtDOh@-7W26N-6l<7(8Irrrj+Hqu`e4p#=REU0Vt!IOIl#`=j5^T zf8kHZr~G|6*Z8{mL<#ue17ui4#vK!H2MB@yjZ3IJustD$3f>NqFJ&?$p!SHy4Tf(B zv^!)N-v;DMZ1(X98f1^E7|tP3@6YWhppkqj6OE8us$w{YK)pY=)BZT$Je(EWQD>1s z3C=K<(;@M3IomZEnbOa(m4aXVe%tM0%O0k3{insYYKVhCb^fxQ4!B=zGa1%)v)EQ- zSX;hp>4wR6+W)xNW-_epZn3Rkm|Kai(+P7s?T_=#_kVj>Y^wzER)X%dKeyBVe4b)c zAFomm)nBV@;@h3WICuB%4S&J+z8wOhfQ9*SJfeks6`xav_8QuM2ORt>q6s9OEzf-H%J zBeBbnSojfd&yMI1nI&fMF7W@JTF!asN05h3P)AWZ`UnH+DA|vCQlE9wZp#uI z)#28sYWkti)k!sf>%-#4s$RM%e=8QRfY{hfO}f}eDAe2Ns0pddcQCK-*914BRRlHYbW@jH~I zHgX?)v$Fgpg1JFmwaliDh38r>@)exo4@_ zZJplLqgbp|W2CeBDR2-=bk}yoV%#Ubx z*Fni~XzCmnJRpm#%ss$_wSwZL70IFTmQ`ov;C#!9kcX6L(zM?<;Iithyv>Bm{#-%x zuN$qtT-C@}b#zKLxg?yo4oNdS2NzSn9zE<$qBl9-eE>E+gnL#YddsKmsA;8DW{|Z z%68acb~omP=GjEjGGa51e^G`r^tGqA9ex0Q-55jPZjwWLCgiDojn$&K3;YNh<@U z($D>-pn}%c9*2Qgqt6q&ixct;^6XGA_@Mz2jklDzB^a5NEr^X>iTyei;9xV%s7f>Vz$#a-Pb;)7! z9EOuFiL4=qc5wJ7GBEAY>7%)1U|A0<WM451tHI*RE^qihm7Q0 zjc00Tm&2}?sx@oY>NCKb2rHf>`LEtFh+^;h51K}`2fuX>P4^qTAc*$2eU&}zP0)D7c zNC%{ukNpuBAufwJhwPvDK7c<0aLaKP(m{EDoNpBv0(o>!oF+kioR0oHp4GgMO+<02 z)S6ykgiYz&>8vtdk|{T>@?>+atr{d;O$KjU6k}opPQxL&jrh2RWYnCg?YM0)#0tmVE%};e`mbMjQ6;ZPWpr|eDLi*94?n; zbUj4&{O(|1Lr#NqA>LkDF zfp!5!GZew0dDc~;e0Tjo>+{uIpTAW+rR*B1;HZbCVg=<~VVvbVDn0uU=;-jB;!{3& zIivWx`9z7?=ejeW3%ThEe~T@6um#UXj|_3k*(9s>GF+2CbZvEGMBB9G`@F6n|98Ll z@BbU)B&*&FhM-a%6+5D#Z2es?yI3S44nuGA|5)N6mpsuYOkAn>5FvU%Ece)6gmh!r_G9#IJB zSlb$?1Qg}&tWYf!N&Nikn8r_3k*p4v7xVqOO?gqZrT4O2lL%D3+r-LQg*N(97k!Kq zfbOnZA`sd}YPp0d&*Nrq1>UL?fY9XWwMV#&Ul-sbNdysmRBtj{h}`{MDfYS9{O+2~ zO~;Mc-qtwI&E}VBHh;s{{hmMkm!rxEs4()b4w=_2WDI=-Jc@%Wwet3rIT$WzRCI*Q z?*SrY{x$^ZV7MT<*Ew39r7@=o4?!9*7u=nYTV}lrWw*|u>0r1ZG{;K2a}GLHA|INX z#s#e$$u=G*l8r;t!EiyVGE#7b!S?mVFl$`|F?~zP?RJ!D!+fx662f2;XvV>%?}&+6m%kPQgXBhdc;EA0QNu$ zzc@OawtQ;p)GUb0Y;aS*~caw%*qb5J%0=DNPzKxkn3}nhqFEjd#-(7hXo--B9jYgNMt>|(3t%zF_|BKM6DVh=- zI$ZQbQ%t250i(b;<64D-8 zS}?0ISyMU%(8#G`zQl{qU%m6~Pe1dn=n0k1k}2cLXuv!+8M#HXt$Q@1L7w~zU-9PG zjge^|wrgsASSHvqwIhM2P1r&dTesGFal+VSF%Ka~TUSuBYe&zGFq2@uBd04f*NK3* zS&_ERSKK4jiQp1~hpkzrI5cgY3!aYPFciTpvWd-#;?EoA7pzMgP2BDGSW~Vz-0C=+yGxt91mGRuN}7PMWd_in z{}DagNfypw-`$0MrlLk}(QFDIjVb*0KlI{9d_z0Yk7fCmJi#6uRJX z!&4(j3YXOaduR$>5KvVzFtB4`WmJT*B9qod&>L!wfS|T3R-jD`sL-+~85r6hvVt^W zv@>$_Jos{F>DV}U18KrhMH1A=ng~yl)!lIls$;1RCdqtzPH;Pr2uB(c@Gy;dlVo*& z)|h)CM_(;1W$u!r{(@Te3&+OAcd!ouFO`3|Iv13xd!goNAKsJF(^EW-YrP2%q9av2 zLz-^KM=u3H_!m-`YDC82_m!zTl6(7-5SxA-k^jmSmLd zSd$Z4E$h6ouM8bh1eJpjf~SMb(LH%vGX$v&To4He5UfHKVeUNUKne9T zg-1eg--b0gPznaRlXJGmiaAgU20Bp8se=%LRiG3Ubl{6upCd^!F=U&_@Hht@{&qsD z)SiSqt&z|n;#_qk>$_u}CkWHbHA+X%x2JTTAWTEBNZ-gMN5h}W!mB|KxQtj(HPF^; ztQ(o~!2ZQZ;$j+!%Ulie$LSG0l^Vyp0=JyI8U8oyd3^Hk{BL~I_lZ(nKOpyWwB!at zR*+yPf@{jKlLlA=AnbW;1OmhfoC|R_6&bDcw&erzVTxiM(UBRaLl(Mq+l5wGhZ+Fk624xW~t;!dvF1YH)=Fsg=Z4a8k?6N7$* zQIdep4a^;axn{FFo~Wor&`=p6Nd4>QI9OK_{p*qgg{4V)O_fWa0YxQH2j~~kp{Cno zbeOWch;pz}S;ujJ*C`xVP5gUPnb?o346Cr2&}9tUNXwmcR;^Kc30=;IKiD%ohcb^Z z{9)QHnnRgKQ}g))zxype@V<|F-Rm$vD?Dk>Bf4J=HfhuySSC4j=M!dUnKLqkClVRB zUF`yE9?@4UP3L1o9eV)s5TSrcV6*L1A9BrScY@`UQ0C|b=kBwFVF3DQTs`L9aH6L6sFXw>BtghsY z8eKJx9Cj!MD@-IO9Of+@BW~gi4|Ui&`Q&R>CKvMz6^hXaS9?@B#d^NSrN_jy8O2gg z0aa&;{uaP^k|rIki+vMB(*wP*d^aAyzGwW#WQ)M% z6o{g)c|1w=fN_nJXN9EDySd~6yvL2f^C;H`)ya=bHznVu&UNkmmjQ1LgfYKUiK) z^BN`7pwn8*CcecqQ%7;#$F~?(>YHzQv&g;{h7ar<<)=kM zz8JS2+S#1Wu;j0>$#!s{^80$;SRgcLCZh&C0?i1_>lU0Z|H4SanD!P164I z-S&3@BbRQXNp%r8Jy?ZB=A@bFh6Bvshwf$^AXWr3SQ+FJiz<>xs6i?Lqb7nOAi01V zD;klK1OqChg32u>pkDg1&bH4q5YrlJc`?9XjdKN$< zZI&XZGrBFP$Hi$`!N`dXH1EDiXP_wTefc}MIaDDbSfw-2mH~hc(iztyaCF}$fl%Re z^kArSW|}n~P%$`|goiAtAE=*0#qsVki+ZJPXh!30*JR~ouQ9lTn=78vJr~E#idbjV z*)b%q#X5_R>JeMFhTh=q?l9zA&3@cPakyOaxZY~dF9BI<_LHP&9DUX%ee|H# zPlhld_?idQHuTZh905~qB-8VIVRI#cj0QD({a8*q9pyH|lSmIy@j1fPg%dE%1lsr; zY4!3DVd?%u#6R9)Dt=@n_yR<_84#&$p@qXT?l8fDsYa5+Av?>%>A5r?0E6*Fd0-?s zoKFtMvrTvy;!LS(fe!&+F%emD(}m$-sN?1?#l!oYlXvGH#&7wr-uoSYFB&=(J>XfM z0$oBO8qp@aNrr}^Q0*&NZ|Z0l>{bU5yHoJw`ZNUTVYncoSA_tBe8&=N{go^a#;OSGP$kLu#t#naY$nzav7uW?J*)URVnK@}*bF5f zgfy286G)Qz?i{vThv+%(AW7!i({X03*l%!v(AHMxS>K<7Cf5L{6FQ1YGT$JuYUm(k zP+bV_uad0p(BAyiZVxO#d-QyZkSpK}I^3dFA0j9z3%^Q$2I{!nZUxSeW=%<16v^Vd zUtd_+n=k)|5;B>EHW+nOV$w6};vDcwDPNvDTP_$n!!>iyFj>^d&aV-koOCqBR z#GCoIO(LTX#GiW>d5NNCVB3Hv@IaiVuHMAHP(kMx*X#|6nD=%kBFtO9EetWB{`i0U zBR~7!34E3=)SA27AJB#I1{}c+n}beFjY#Y_z<}236rs5qP@R&MQPh<-UBiS>^PM&u zV?>A*voZw_p0bZ~*n)EVgkaXC&}Q1BfgTwfArpex+#xxQ0bVps$o{q~R^|?xUc*49 zbbCguGIy{uf=fewsZphtp|^8EHsBTpbWN(~qQDT^sb({^j0}Np+vM{Qth33gD(_Gi zH)>Qic{2IGA({9aC82q*OcN|4I?2K`o-?P@6e(CK=A=!@fO*_tBRc(^x~-Xf+~SQQ4d$6Z=s*sW?-0sC&3`iAZXht~E|HM>CytT3s}{B`}sf#doE|<}E+uFMjjy zdi%RRY8p@K1(*StXi8znSa%R8BWAA?(^O)X!G5OOtU$#K1%V-?hHZ(gcuHX7f!>g@ z167cLk%MA>G{prsaZScyI`jQX4V9Q5dXF|fK^DO4H_pm9bS}Uk7o-t2h)tTAT$387 zyxr5;HD@Yp>TgZ+g#S0^pKZui&gW;cA|7PWeP>2K@gPPloS z=TguXhAnswdp3KaYz6404HCDntaRXl97v7~00fa9rUQEGr+3ONPMzjSY8K`p-9lGc}o0O)WOuFOi3PMWV-%Jj!>D+P{9 zlKz`Zf}WZrC#;!ltsg$Fxw>|C^!@6nscv9yt;Ie`=Gz167lpj)G~|3AC*1-y&qIL- zExUYMLHK5;Ty)`~c%<NM{-II;}FJ{`Hr<+M)dbbzb2hS^zE+MU@X*(gU5h`QOUfN;D5j=SZ z@hQVJN^BjrPy^t!KRB<>N&59l@Ib0n&~Pa^rxWg%pw}51f2(zshqzh*{=bQe)ZhIx zf8+2QLZxby+oHa4wYB{Xb6|RX-#O`s{v^~GpnE}+WwmEdlvrS8DMACoQV=Zr@^*)y z_+BpP>S{lLBhN`k^t>Gr{9(o24khC{-&rLc0bLTs(jCB(*a+sNBl_*G2As=FVCOgr zIM=|+Bu5eF8P&{W>$M^0s#F5+quc$Wvg#Aph*;gw-->RJSVlNSQt~sE4v_6k-|pv7&EF*3IU|`$$9rZnwe``7*m>LU z_{6XLsRgbKZHB=!qw_(i3|(+^J_s+P3u3z2)$>893|$ao(ypElLS^WJhE+rb zW`|sk=s5cdZWfiH3m!3sQ_9H5M(q?V6oOQSE{JTD)_h?CpcScJLy*eQ1u^@L2`(HO zP1voVC_|UDZc(13V|!vrQHCxFFPV)SFuWT~%We)X0M(Er#FvtQB54sjt(otRIrTXD z1{L&n&3t>{2Q#4Hn#dcrlGXjW!B`gR-K1&f_^hgvom=UN1Av~qKMlKdM9@IFd>N;G z-k3CL#ZHP`d>L2dZ}hOsB}sLENh;HLOOh(l%{;z**M)c3zVq>AOv$k^I;Bl_V2;k^ zOY2$FcGfF85&zw{zwkjbM!9&P1eUHAol!+p4&Oo_q_M6?pp<+uWV-;nm;>VgR!#dY zV4jWgFjmYFRR@@d0K)bls%V=ab3_FYulg_hU1uEov0{#>jFAkMv{-x>oR!njP$M@$ z_IhYMh=Ci5p{N;iKG;ZGKrMhBXqF%ZX$K;tHH%wh`f z&lH+^Sxn&@VhaE9Pk-(YeRagsn!k6{MoIKzU^MWD?2+6{|6e5f>v|2rf?C*Ft)zWF z*FtCteZ}a20peLRd|o=Zssmb0TddX3@>2wniBv0X9OYizPNn{R-(mRK%aY8v+%1){SGJkpaH1tu1F&S5DAlL62fX@BG} z&*Gkvf>zoeC83IY30w<+Jw<^`wv%JRw>viV@)SP>o2+!gkH(v^o}W{-S7yS{E!dIy zz=T_$usZJ+wR!0UL3%p~(qH(+Kl%}=yFzb9&lefvl*raDe31c=Nj7%x59AZpgUtTk zowc#qvx;g#_C-cnDk@)OoTegoQrXt@RP%@3sROH(;PE(PwJ>xVL*%v{v zVuYq5`y!*D9oWV2t`1%XAEc?s_h^)+q9&ghrK!mGXcP$~LkaA8fj4-4nhLr+>cU*z z2d&=+O@(fom-PX~R3Vku+6x)?f@Jnw+5zkf=eRA(7?t)1Ar$T1N!|N;{smLkkG|`7KHCKdXxKLHXA?8|7(W6qwP_;ENxIDF1h{QtriiKs zELqmP#y^ZG@Q9*r6E9YZcY}rw1z;j>Z3MI4jd_1qvK6dsV+{N|Y^-M@u1_7O??IC+ z2M3)|dnIdPrY&mZth(a`kP)&GQ%^>g zrQ5I$-yE5K z*fhSD9<2-qqW*Nj17^Tk5fSWr(4Se5`m+e`U^WCdgaJpI>x)B+{&YzrX9aSnL;G2? zWQ7~DY%C-T95t=U*uvKYyq-8HSoQ7BN|;G9-yIB3fWaWXX}2*dk0hCIPX|gO{33b^ zqzkNny1X!cbo99?)_o6N+}AyB#KvK>ksiArQb!zPjauCi$p znN70tqi3`jQD$1J3ho3eznHvqI&}pX7778!`ChlZALnL9=X%}qmT$9q^twO)SHAo& zeQJ2!TB>xbVTDWja}K@7M|q9yE97RVms~Ig%|V5=f>cXIund}m5^td=5)~O({kZGU zq9cfUfGL|p%ai07eSk6zQGc+}m~oEONq*>GdjEQsu?BBE+MG!=D z&~nhmDEevDDOMD#;gZP8sA=1SAg;YWVl|2+>XEQ*v>JfVHfJebw@V^?Vb6hR_y!>} zsX0|k^+EbcJ6f6pZOAG-Tud5pb*CKTyVH4no!h3n)LttsDJPm|4GuM(xmITee0^muq!G2g7jh6 zfhm%vEocQqlN$ekz184y*nwlZ5fn{og2*!KK(aj;C#h2MigNjVMdd!girdwRzE~C% zP3nSLZpTxx+K7V8f}%-X(9Hq|ZMKINP3q@}nQ4;0K>1##4&)U@la>{sL8oenEpMy5ECQ>fRRv<=y-j?ZaoS~3aAUbNn{x~myxAi~)?#Ok-{ORIgcu2$Q) zdY08WHe&%B@G(w#sR@_7ZO)Y(y{Bm&!r{Ia*uY+n2~FJt8_YHY`dUsbKV%5L1kbR9nUUJszMZ;-POvac0|)qlWMZV&sgf0p?1Ib zG`rM+=EkHN&4$D|W|tb=K!CVWZLhZ$=7(T*se$%ZulBHBBfV7n-r1$zuQt|f1@qTB z>6J^3>&PYH{_bE>t0m{FI1DE8)WM@CmUk}0kyLkZmY#j`;WoZmRNf;`A^0w?_OKR& z1{Gf@p7_)%$M_~b&5^04;Rri|s)zFiicbPv4W=-9XvNXlc<6>kB#F&*$%1)1V)RjU z`Hf4#o`egK&T6)9aF|khk}vSEVO9ieW`|bgUObf^4!2--3lU=b+tcHWIhP>mHOVHLoBxo?*d=+g zFki_Y(lUI>{_YQHOknaI{2|3Rmgn+a{2|3!PsKwzGJ#2PeJQzvi_{HQqun1j{S8oQ9Ay)Ga_Z;Q`#RhBCGT#hCWuu zm+d%yJ842xUvXXZYL!hqd>B9p(ri%K>SzhflPsGYR|%ILu;&%O?Qh~d%2GRt1%0#f zS<0-&(k*tyu*M?jEoWEA&0d|(j$4igBdcdQ<{003`QlH$5D0uFC-%tLsEQGt`Cx6% zHxy-RUztPXf`u3z04G54=|hAd&4>#IF*=Gl>THA{Uvn2M6S()Mwb_OcC>8g)LjxKK31mi_zuys^I3IC#;%eJJ)BlHD zB~X6pXFsnTHQLYq5bhv~Rf(7+z1v7|rf-?=tTrpHJHpCr??foxd6R{f{=WO`@0)jv z+El@UslOde{pb9~&-ulln;N@POgyLVDi9%zO}1ZQ;xU(5D|8{4%@@2Bj09$4ciT6} z*?M6(qjJ$4PGp9z?Q!K@pfagW!)a|j@p0(vUEpiN0XfBNZ!vcWCJh8&2|OP$v0!j) zJ)rDeptqh8H^;7EuwZkJwRhoVRywk+XH$6>sM|t-Yf54}|L#evP4ipqaQUEy*wZk> zg~(en*6JJ#jRcglY5p&J3+2=snb@Sncu{g;51R_>>6}KCHitdze9VTuQ|DUV8HSyv zz&+-Pnz;M6Sz*B?gH%o zdexv7br_|UVR3fE**(oVcjd1_V1H{dBW<3c!IUAQ|A1u z#8Pe0rAGsT$UeNL5v0iK!b9`$A}otf?aJ}Z(5EJxb4SAe6&qJ|IVrh)b|~cOOb&(6 zge4CIkou}0h=Xwp7 z!4M1O#>`8k&JE}6?YKrbxBJnr`si;>W5p&^_3CI@B!3@U2)?Uoq?1~GnRP+XQBdh9AYy)FKE4)xBwt=~z zm8u>c3|gz|8%zdO)$|5K@J&D7!YKl(e+mO-dOZc7lKT@xYCM1Kc>i)}ZCm}wI{p>l(& z5JIm50Ex|tVy1mXxb}keKWtWFeeC!ci z5+LT^t!COJ3*QEgE-1`OGT)v3ezQ}&3wzL;AEd)c{OB9D{1F)g9QR#!SmeM&qnsQS z*>09IxeLFUwjn8I1}ASiqbEbBR{) zjEc}W-UasngNWUSpiQx}uaN%BKol@yk+TFVftO$WhO42TELwgt=3K`4S~KNOfN+cw)@oq;ImB(gc;n4?~US0~esw2o>6 zBjqRwJB@BF->4hY6B@Fu<>#T)pRP5864CQ;)~Gm(OU%@svvM4b(&K0mIQ+QMh46wT zP*qQa`aqFhM18WJ#tGe7)%&z9wc4RVTeKKb1n{E;(l(#h^iQ(jS}}A;zhFvl_WK9x zo_*jd&#AYH_n7LH6{g>YGWhfU5UEyNO+|RJUWrsFT=BD6_iVi|EAx_%OLTpI(e=Dr zv_#i;6J7uOzx@CG)%Q&rPgK_wbBQ_BE%=b}o7bo)3|LsS-??+R;TDj*OT4YRgNQcTyU--%}AQ~FlSJuwyf+fG*VfvBweTE=S zwF|;ZqVW-C5Lpf@Y=Wu)~77;$~x}Is|E|T@Y1jhS*qfv*}Tnim7%OgFD#E9 zt!C78rVRTRj*g@-R!hD5dE$#J(trs#(I`vvwd>XPz%FL`={3{yZqZ_<@5W5O>(4&i zeAiUH`UI;BJA``m=G3DZ%oS0z8WLj)L9%Ycxa8VtU9WcPphI7WjOifhkyGoecfk9(~_on+6sE%e?oBI%U$Op0C(zwoXL|-% zJgx~>U=1?mRx%d>jw@V4y+Y~+#Sfof1W+SsnFX3YF@*ZpxvURqm!Z^unAZ5D2e4|s zZ75-|Y+QOZ-?nZt1In`to>lOFm+ZprW*1<{(~AzvjS@?m0o81>ahpwa=Z-y|myW(R z6Y;#|Tbo3ph;RAc_q_F2r;4gYpAPZqRr+*{{VrW*wjr_`65$nQ`m`#j(x+oId6hmL zqshzq6bN9l;X^|sPHi<+)~6k!A4MeL_qX-jN}ul67OsILrxmR9=>ZrhNl+tP6H@rl zeN9od1M{6qq8GKT=t>A!H1BN?@7=HFRrLsRJXN8leM>_4N%!&_jinmVzAZFLpiZfC zQsdqPjcVd9HZz@tWolbJC9FvYyz5p^!EOb3jN_rs;f@ffSi(|C-X?KBq_-W~7gu+m z!l-%^mlj>3_ojUpXw?F(di>6p1UOFUI56L|(1s!}4q1iM>qE!6gW$z=UqO+VeFb|Q zxc0}F2_Jrsxa2SUx*z|aC%pi$-6`Yyfo-pii=VxK1Yid6xDl+p0E`nvk`>|C08eky z3uuKZs4gA)lI>>p0uBh{U^cPh0HJU80>DhC6u3vw9JHXN>;)LlJyXl;9yee10&EN! z!CG_&>VU5D0*;uHZXO6y!mT75m)y34`9jBrAr9+Bla&{+KkOL%A*RDkY9Zc21yR<7 z>CmI2cdNaPQiyX&8$iKW7IAWQo|FE9TK0a=vYP$|xzz-4H_pcDL56F?JXZcMg1h*X zzc1$&UpJp9kp?FWl!F(#s9U6q5<;lO3urr=-W1(Qrgcys)r)PMojJTeCK*cDztAKP7f0VCM%>;;TM zwkt27+tFmh3mAZGS6+Y)#ikd~hio@qzzAl$%B^D3(Fka}%B_xqw)5Q?2W>aENBxCs zfVS_`3!oX+<8?VDJ3KFLj)k8i6Rv=H0q)r^UVy~%N4U-G>$})KxZMus#S0khZ(h8B z7he@)m|MiQfr6pq#R2|;=RMX-=PqFk&sh7c4lz$Jt15v-Y-hj7Ws7`s@!GMUig>dU(t z!5Zc+KFu+cb7blj$DvG{i*-i!Fr{9>jg|W{<>1>094~Q2H`q(s^Fc~UYaw_JQ^x-2 zYDO8bQMmi*fZUG#d;HIUHjQJikZR2P<9rDKa8rtQ=d0wX1#zTH2V!F9+yr@sjkkzB9fxuo3hFXe7}X5tnt!S>w%nSRS}d(YSZ=!d`M zEf1c1HZr~~kZJbBJHV4TQ;jinf2LX$&7t0|3G&I5`IPjs!*9~X(17|8rsLVC;%b!nvN8nOfCmJL`3}gqCS4|8gXcVhr02K?`Cgq^6MhqyEbRd(BcUQ!OUmSkB8j#L zq}K2fZ_&olN`~H9U4V>G`yD{bi(%Q#nkmP0!2yekM+9=Gt_r>N1?lthql0nRGDka- z|21nSt#koOANFG+y|#lEX(iKRe_`DyP$EL3*sPfzdkm=FQ!hbsMZMEV=6kf?9F@QT z^hZYGZa)G3KSuJEdfWxCH`FZF#ORpb^-QYi;;=q3XNO<3-}NeYGLg4jCC~)oJb^hI zpijUs-8i~cLFMR1p6us2??uL7XRpYWY39wKXnFJSx4{>ggQ2ZKfgimi0Uzy6@9;$)86}O`y)bLxb#F15xI(^QHge_lZx0-OoOak; zY%AI$2(&w7H-CHL=;O3MMk`lPAqdp_b36QcOeWspaVcQ}CMTaY$`}KO@f^nf7_E$H z#R+Nzf$I3O9mkhp$FaHF$vES3I=lVFu40ke@y$+A(+=l*$j9Ga?5Z#e0__g@_jfp7 z_zH2r!$pVh@nQjqyuP^lJI$bI*23gFbkOEj*m10R@}5)@2h*bs4w%5Q}xWi288! zU{%yt#yXco+7(lyi=gvDOv#l6`zRWP-W2*q2984d*gM8myOzu-chzLz7;Pgt0n6z$ ze1TU}U5r3>c%l;B#;Pg(n0YLVq+hn2%Ut2y;n~U$n0;3m!+Bw1?o{rOD&?g~NGU-bE3^@pZYs7U=u*%KaDFPWG!FetQc z$%xDp>dsh`JVmH9kDg0Bpr|K3t4N{Rh|UlcRco2iJ&r7q7<{>v0+2$GK9sN60rDh% z89Y+7chH9l!77D%f@?&_Xpf!}b+$rKl(rw*2j=V%XlZ{;2#R%c!PN$%$w_|!25NOk zL$FPu!ebT5?Xf<|NwC*s2-)*zpz$?qGCy*E-BQ0scd0W?pxNHSK56T z+B#Q;VO%RLa2EZd*Io;$d&+Vr+w7J(&Y?QfzIo;jW}NjSRBq88PYG)IM_>4rU;3O6 zD=Ac%o^JIvPoe78iWAz%$_O_eJW85`u&<0|$^|hxfy2}wxT9gFtqVcA+Ai2(K!Nlw zoz@$fJCFrwW?c}ph%j*s8IkbR6l$zUGwXtwI1b8Vi7oe*st7@vSrC0teGC|i|(*yiXOr3j@hgFWszd4uL**1 zI;D8P)~93du}Kg21%g$5UC0^E^UTkzq)L6wZVmi_uDKSExROkdcce8jb;RbA#4nOm zsjmqyTnCh(Gr%Zhl4N?k@!JL1h{qchd;a!(baY3R$NK{BzXJEVHLgM{Ngv;jj-mq@ z+L0YO9W1ryN1yaz)qkCO5s+r{#^}Kpp_jUg7l9eNlFEBB=S9FC{vz;W^CI9-{vz<> zjbDV36kd;mL^wrKMyNMu5S8_ug5zMqDg~#sACbb5?MUGuVY|&KIHZrkh?EW}8Qqlg zlI^sAn{xz_NR>j899!O>k5Sqm=bKY#Tcq^HbCz|^2^Xwd4jIUt5ky9DJfn$}AySr+ zfEq=&yN8usV~cJDIx`6w z&>`1)LCL(+3rA?e*y02>^~PPwu?0dHvcp0U!{IcK=6-;pAZ?4|xar_CtO5C5vtrUJ zaJx#hvb6$qteD&{kjDa|Nv8^K8aVQ5myRjCa%knTqgDlzT_c#BFBF~0u_xxo`!(Xw zRcrzJKJAD+p;V*5$|RYbFZ^!wiprXxy47S~l1$DQ#^|6zEKVY-&pgfSrey~i304f} z*2o4W)=F~H`5H?gs;i$Wsp_c>L?I;xiJB=Yra2;r;|x?ty?#ok%XiX)W0uTS5rhl! z-yxa!8zuU9%$!VII1z526Tu(rmJ{K+BnY-%*!C&|6n1@m@kZpTD%ZeDO2xaV&a|Ja z;=ZN4XKAal?`S>g>Pp@lGMsJREn+_IjkracLwxf#K77a@`S8E?%7>P8qVoK?$v4(N zCI?|mWO^$QK4L5ED@!N3;OLlFntT@=9rMcI!UaztjE9-x;Mi`b$-g+XRPAiwDERcz z*pIOBh~x{cX(n5hs+~_J1-UJZDZ3hxb7=&nYUhHdHG!5CWCF5YQL1((I6631t9B-d zj32puk(C;l99yyBc4MPs1(E4j2pLR;yJ6C5Z(^>Pe3wM?DnV>S_h@r!zC@A}b4jFb z31Y)f$9cBbNOEE>*{zvi){7)gOtogdL8#A;%%jbBXnY=RzD48nX!AWnDvZA!zo<8l z7H~HS&;7O|6K3~_{2TRcSK1-J?~0lwS{Rq3lALs*)KjtgEAXXsH`G(ITI?_wtw7NV z5G`P6p3<${DLqaPM+)aDT%Gu2{?mX%rRU~Uf3k=D$?>RQ@pbP?mpM2-4lKUSAujP< z{K;G`uiLs6^MAb?Bl07f;7a)wVY5s5jZMp<3;L!ClLmn*AtT$7kRh>cl@LRc;$9PC zINvG*g#;6#p1^b=!&RNXY^U?D^J98>A_Jr8G<}L6Jj52btxC$J*~GpGW+LHIrBuX6 zDS>Tvr(A(Ap4UR^o1t9uYwd4#&ujCEzqJ1R4+_sqAV6))DRi1r@FE3Zj7S?AWf_x z3(}I9)9TQoXI&888*r!~csyC2Cw@%EOP$s$Q1tT9M<||Z#o2~c%nL0``Jnb{lT2-$ zln8@Vc5#Eoe|2{xBJ4x1;Hbg#>QAoyG>Z0GyQY2zj#Gu zkato5l8DU;PwZV%VC`UTXviuWkmj|lG59zo715H}o;#TPrewA_->h*FuA+54{b0dg zr1O{UbpCZTp!z*D9*XDa)H|Eq+n&}5mBcjV8ErL2Y6oR|0 zS>8A_Ew2k6(3>h~Quc|qzgjG>OCI5mNVtN&SL*}uC1=~yWD1-4o#`6~l#K=tYIol# zdm1*DR<~cpgv-0ML>NIEqJvv!l{w#-+M~J{)2BCEHt!ZK#`JEOW4`VizWgu!h8TgR zc_R;FJqdOKE(p;Vn+7`rXh(u6XUl@g4UKkfpIy$DA=ES`Hw<&s=rmT8I;G{dtX~n1 zG~m%1orYk}mLZa~a|FdqE10uoAeLdp3K(+GX{a5K6?3-CVsga0$n9yo8-h{;vvWKF zLJm95wA!Y3j#{U5$^D8cJxG#oP7HZ0sre+6L>S9f3Ix2&AyksH&8~)j5yt9v)WLLH z6L(_TJeK6YVe68Oep(H0h7T=K?CnRfTEr4MxAYdvd@IDbUgDaObYu89GwIfgaAz!k z!<^nP{?h9Ay%x$)EmJ?TaL#JbH@D7P?IAIpcU7$B1`_7SaYZStuN%rqHtE9FiWZpYI0;@6MxY?uifq@o-tSv*CB$+<8P2@VAvl@_)_5#&3T7|P3Hmi5^e0K_GHS7rjU`t_u;gI91qSJ#%@U4#gAm>k2 zXa@iSs`d}*kIDH(zU;BTw7#WH@nRysC|Hbp3yJ1xUwdmNBCgh*XGP^d{Hfpn2fsTy za1{yV+ShSW6=aUDZN^23D{3I37$Co2OS&ctiV-tGo5=&`7HnbEm03_+Q4>U`D#{+r z6m3@0R+k0E6*WP$pOS3?h7ps@+ZE*|`iki26Ib-mb=J;kztcK!{a0hEkk)8`vXUfB zKD4-^erQxjkSSsvZzq~E3#uz>lK8a>8$(V>v~MLT#XCRC9nkUoIT*|?-2s)P0A^eg z-I*{u_~9J_h2~OLkh$ZIm^w=CfK#m`XX@0_qNpm541z_8+Mg~rsxzOkTd{oUPOb~N zLRGTBq*4n_pdZ21s)#iI=ZpHk&DYhc2I%rt4{5*v&cQ)letP)2S&YY+=-5rqH#%WY zY>US8jkeI<1yBLV1yXW@7L)3xpkp^Z;TOj>QkL>6YzdOA-x*co`bbnO_ho{CIULa9#-O6~{+h3G1hZmf9 zC0FUV0c&9Mi?j}8M?Y+UdDORCyK3_5Ae4o6+MxfE*BcKx1|Ij;%=f7LA~3E>++3}h z@6ln$HW|J+CrtjT&U4<2gBDtAa+fCzo9&B(Hd=de@a0u6&SZx#pHe@V7Msk(5+kyw9M9k zMs9~su@wMj+8?OIipv}V_5R$B{TqCzcJ#(_+Q6HxU&}ez>(E6TYUQF$kObbiLswV? z(+LadUOHiEs!casw&VBjaK4CBii?PjU-Z@H{l(r#%^W-A>xT=LH}&x;7sn&IiyOfz zc5wvl5%uo&$0R-V42tDoB&5dz5LfF3<=CZL9T`0UGLMvZ`(v8e1hgtBDFIJJj=B#mZ6ayNMf% zuFOT$faS(Xt0vev8t#{9P@boyFQ!tR2NG-9$HP?Y{uZHMlkAg_i$wdL z!szSNlkgJ2KZL|m-a#@K)eec>vPZ)H4n3%vuiZIplYt9R?skB3 zKk^&@`A>W`fO6Up?oNrdhqLVg#VJ@bd!6|z3isj4AnHYMcOYa8f?$5r(n<(2nc;$a zJN3+dwXsRw3M9a)tRzz!! zbv1_|by5*j+BGs2f~rugXb93Nalw+P#G@=lyo&gP{jDyE3=@AgP7*bKbzfphaY|eg z1SKSE#=${ps1AfnP8#M&t3+L2j3B@0f8bwZ7f*GvlQkLEp{oAXhIObLM-x4ThwbJp zY_2c{x^kBK{&`g_@m<6C-V#dP9@q%|2Q@n*_ywiDdaw|H^ajk*=o{C+enf=lZ+-11 z^ixCJ;c4d+{@#!L(r^5~r?DiCQipTjf)FxVp`g@(K@d9AjQpVSb+AGJ>p&F0pwz*L zp~ly~f;p%0bwNbXtY#uCNAsvQl7%3RuL~An9gMVL`a+P#*98laDyGKuW+c)07QyX` zbtXhK7{JtmPBNARHrHk;ojU7^i$m8~5`g$+NpPo~+6yVh*AIT|0Qi?I!$~s&`ASw; z5`2$J5c3fda%bF!k>dKA9l25D2N_37wPtmDd@wEUqRnYYzbN0I!wNt}S#z~BcPmNe z8-$@?jPr3hS7^KwH_9a^-3bk*b3w@CeEr5^d@l%jIY__EvA+GqsVTCjAzziDO}&v# zF|cWvjLcma|98kU@$dZKA({9arTXCgj3I0$v?>rK#k*RLO!01uG(jDyc+{K~G|@?R zW26ZJ@~V>!NRz6Jqimk(g!ur4Q@{iP-p^9TAQ4Da#*l((YJ@**9h2y zE{lhsvB7i51n)NMHZ3`fVmYjV=&Q4Rse+s`!4fOgf;kh6nR8@EB#LD#?2$Rs$fu&5 zW8`g*9SuYl%z4Jh+XBeg=9VQfWa-d7Yu1tuJ@g?tITIXNTTJh6SFAF@k+sDj!S+Jd znc$MO1=O+qIaMYY&}_CZ!!iP2FrEnp;gc5Qu}7T)zk%kZdQzQvHK&5gJGRZzBudD&$}IB()*!+%}3Ng>`j7aS$6%_*)C&e}dNGTL zzux5!@pkLphB)T;_6K+V-dH~_A&yTM8GZ|Mu&&B9YDvN=Y6%eF1y)rWtpZl^*@?o7 z3Bs3fqF_`&FOa=PvBPP9Zl^c7rBm3QNvDAGt>DhUTUS*Y@zRnL*LZET!0Bv+yU+E? zLtHChu>(Ca!;zvo@aOwn&2djdjd+R$VX_d#TJ!Q?DzDYPOOfVwiZs9V`~T)2|LC)b zVp}&i#|srvY>N)a@xt|pV%rsSyimA~fElQX(87c9<~Ff|x0%;SFWJU}WKmXv<*Ry>$+w`4mU9D)C*O?jo=-+As? z1#WvBg)JoX3b?k}#HEEgJKs5)7x(nSs>L~a>GBgYW_lkWPqmu{I`xlKISs7Q<_TNKxug&OJ^-3w?=mLUd#~_U<5MECO@1kmQoHQVU|^z`3rr zuGdx+cu^IsiJtUtxo&g~+nkdY1BnY+agIz_drFVzFNGF1%n;nTiL61}1`Xv=)oQfU zF6>s@2HX^N7l{r+cP!MjC^k`t5(}cYpD>PlE6~LkVjm74eKR zt22}61;LoDIC_jK0ltr=s8~_rJC_7J zf*sX7_G(i@>kwh_L3BwBTVrPc5_~qaBVQnSMqP5hLhX(9^%MT0a0UBndaiI$LX}R` zjq_{kp_XQAvN_sLPsd^t?qGlLe69oL<)HH2nR6yoCnl96Dln-Wjc7wd}DYTx?<>IiriOMT6v2 zAEtP6ra99ir-mg!`JXKFK;x(M4CvzPkP~{2-rUT?V#LfkR$L@axNC$2Z*eAE5XW9<5tcJ%8FgT zT&IqJh{?jZSF^BUw_a%0x)$mg3^UrRM{#cJfcYU$+#z{Q&YZ_>JfUWj5-Di&B&q+q zbnrdDbnvYdWL|p9`zZ6JILyFz$$!4%QNug%`0`yBo?ouNn$zd8_I40oVlYL$UNL#l z{oa4$JHIKwqzZac995)-JEo(qKEnZo14S=Su z$X32ukgkeMvebE_qn3nIa~PUomC*2T5_*CBcqhtpRIfIjUbY=@O_(y#>Jkh-09~ z7^uhgl2vo*7{eO{)|uMQRH_*( zTFV&7m$ir$CnQqCApwWgl`Y*bk5?5`ywpgj3T-NVx%1RaE$v2#LJfJ_b#%;cq zP2JbfFvTW3*?aETdk8}K65r)j`FUvN_!}isI5(ijTjZ<|v}8Rlsf?t@g|Q{+ar94} zQ^RTrT~H!BE5FPBs$4^(T{sJS1H+Z=5V1E^4RNC1OEL^$mJ}Eq`DM_(91QFtdOW?{ z(BtXbJMY(8a?kgddp7lRrY&4@&$p9%e(_WO$6_tJp;2RPEL-*`j@=rFJPM-S zuRV0-Pn=GSTPfHfy<1z=q;fcJPkCZMW!$6x&;A6Ur5YH)i;`SvzC4vbaey7yo@um$ zkNXpAjxnG`--E=hI?G8rT}+!=NwdvO0=5Y8ga4<-I;ULiFMZpoUwBNF>}+Q?_{orU z4(l49{NVm?d^7ZkQn1P%U3qfV|6S&ZK2NXUoT{;4#gkQ*B7|FIboQke9A+3LW_cNr z+}Pt{!vo-0p^kHO*Na_7+>P+@<(K%@+s9KP7(bev_S86*~;~xU)>y$yTi+lj&L;+_7!4i zzP2t18c?!~QY-i*wGia{?t)-4gSBCLbF(qC6N0qME{Kj2)*O*AJ#AKM$wH8J*#*Jg z20|DLf;hevq+NDFgv|(?acCBiX_qtFigwur%^QK>;ecON3ugQlpjPS^ei_Ciry zwtkTznV#&6J*fEvM+iSsdZ#PkU3#=Hy2D!M<8tVW)&kO+>Dj&jyRW8)Fvf08 z^PF^L)Ct&?w7G<4^{iU-kHZfxYeGKVz@N=q8vMt}> zbUHd#BJF^w3s^sn4}o@veEiecd1OpQpI5>q3P>P(Cv*u=H=s?0+yh4~c`MsTqm)I^CZD1lS+)tiG=E^QeGQT*(n zWukLlBE=%;PWKgZvsY)mX3pwajy0X%@zsCmw|?1&7d8>aKDe)ENC;djng0mxrh&m? z^4=(9f}R_k9n$@8p~S@~*&1*PwHRBQTf9@Z8>Zoj+nY3+BAC=81=KXq56HYS^YPzinXQGAlvQNw{ z6Wd{u82HRU1aI1UYu;Zx#aab1$?*wi>46`ga3BJuwG=*R@4A_+SWzq>bV5FQA$x*h$r-fC+ME|>YUY0dP& zU!Ws~S^>VqdM#zytQFK!_>~`>nwIa;_|!Cd=zgB%Dh*Ce%lGIeQ`71f6JBP1F|p~D z*79QFZ|6--%P%EA%bNy&wR$nRonK74yHDhOHgWfUBZP}jE|U2Nut0t>vA*~D`0=kC zjWOa2x2`EXN6B^&g9WGc!L#rcE7t}C%J@bUNDY0_$`_iNV0Pfdva~-Yn2kD*;vOYm z>Qwt;bVe&*yd7C4+p#}=QR3{Kh5!@2&hkYY*SvL2b--D6Z5!7d4jg>PIR0*Zv8zhK z;{IjlnW{6LKh8J%@|<8+wOZ-WvGC<(JNEBZoHLe=f8dz0bixp*Zn$ix{c*ne{_ilR ztcqni@dV=dvK{-&fVkMy$E&!f@T_rg-+b=bF6qt(53z-ZvpB!l+`(^=PEw@Qu~di7 z?r?pvSeJ9`lt`;TtPeKZTfLSqTzux@Tn_=5xpd*T(hVe@%f9Y!U$tvVpL18CO&HCa z6Bk=frv}E3?2yY*)g24*yBZ+94>ejtj0Z{#p1I_A5hng`jw&CJ2-tsP?J4_Dv8Nl-x77%&ydnI&0E_$7_c>s3-9F~7?lTDQ5bvl0< z=(H*J)=lO^Tr5DG)hG%WOup796IJN+Elg&;Sy{lbL0-CAq-+KW2x)^d+4g-EI4c|a zfyxnSifQ5&wK(bfxS~HkU zHGx$KI@#L00u-jUZhXPr5{&}G`RcnMP$dL+4IBT;RF46}Yg1j?!uno9Hq{8k$$m^E z^#(C_{bRDJMu`zug;`aMwrT|{Q$30duiC=Kq2bIilq)m}4X^Ib)zEOmJo%dN4&WE@ z7s0itLFACk_lJXIq(;=gke%4b^ik#=EYj30Epk49+qE#t5VEU;{ponX9ZKpvDHd@uxa9gQ>mR$S;{c9co zT8w;wy3d$0t_MMq!9JW@6yQPge~s_bY*I2M8N6WN|DtfvX7U$45J?+EsiZ;fw$#*8 z-tr^^I@Zz~kKzEXZi1SkOaTyal-K803+$o4B5Dli=u$0s>B3vlO8s|$Yf9?p+SulJ zfB~tqh9=CrMN73{ewOGQ(y+hy1E2Ayes*eT0vI(>7l%d1JVEI%iJEd^aSHYejUOh*qv@e%waG0n<~^JoK7 z#+M|SiluN3tTCQ*Dr(7Fv(joub#AO!zY*)GHvFlh>2h=I|1OQ>x7$cAt>PKiHrMdl zV|}jSov}PEfxq<=%eO{@(4lw!-4{OVuLnz_!h^4F+dxW{S|>x5rIeyb<qS%Q52pDc0djZ>imF-+uA1^D~iH1L5%LE9}f&7TK3xB2~l`{ z=pzRvh~OF#O8sL*;rXGrJIs@y9^>6>1V!Qbp?7PfT4hDxmKs4-crJ-xM30S=Z7-xk zYg}@TaSf97#ON7juk!_x@~B+~G$dl;j!2$X{ULVw?(D&WteI5Om9=Z;+tXnxkY$l~ zQfsb`Ufmy<4ZoUFoUJ6Q8>A{6HwZ(|wJX!_kB#Ggba)q>P;E{N9LI z$>-K<*QnLOhB=Ca7@{zvt^r&ala7&ywzv_@VbW;emBXZf1q=jUIZQf$ zgjPbi!>NMbV!oRdSTV)6r^K*I z8(!Wjd3<%TK(*#Thl;9RPd#0`6v}hR|LL;BsU|&_p+ab*^P5eszd%l_0%~`$&fKSW z;ai@cVX~)x$uPa^l`nl=VTmJ@O>f8;gn74U$uQjwsPrS>^A&&ky~za-oTQhj91CeY z5U9_s$=pu&JFt-A0vNn9c$`2*t{!~|ij8qWR5b)T1;`|Vg?dHCF@9)tYLILfWvUY@ zi;W=T7#BQtdy;ShRJsOis}U3zzz!XtQY@{-nm9*s0sI_~TQbR_`UGYTO+|&ExBw=I z+?V!tf+VB&W7GvON#J6wpaoKo7IdpFfUgN2c`ZKewl=@X4?byx)u>J9c+@an15{e9 z{1cx=RFXOoAYJ0SY=-%{v7)j$M<({8^tj;#OH;*~1iMrLfh3idavY>p%0!d!uh7nr zX_n;a3FJ^)8_J|P@>6V-RSiwtqQxlRjZuE_W8e4QKN3dysikPL`|Cp%znVVk(i$;DA}rkq^lDM-W%d~}bSDJK`vW1?e`jzBB7m6x1cJfdS$ zB4hAf^t&ucjaPm)M#cz48sN>|OvS3~gCs6dH~{kH#$fvv^IjY`ahB{9c-J zL1QeVd>_9PJ*j}pyt(Nbzx-3b>B~Ph&=@*?%hTmHj0+m@z;O)dT>vmaZG?Sgkj^3q zmS72i87gZ+HUw#wT@Z}(hotJWf$Co{z$5bK$G_7`_U}BAZEWQp+X19q&6IZLYqF!8Imt#HXDUG9=d`fF1s67bgAjhEARNBPm9P!(Sx2&G%O)X zXV#b39k-UMv#%P|JKlSM#hBCEuDTY1O%>@Y8paEPz|ps$ZeDU1EU7wX3spPEp{XKW z5F{-|3%}l&E)7Ad$Rc<^0$UK>pr6&go)DypbU_fn5dudr`v#r&OnHVNRiq0ZHaoQQ zh#<6OBPc4;CBZMRGt7w*uO%ndnW~e1lCUVS@wj+JG(W}p5b#<*b;5r zU$imr7PV$z!G7Nk_WKjR=MTN~BN1(AbnelH#p*~z%|sY>8T;Mhs&f)>6pBbwS`NMH zSRNzETpx;s0qgC-Y%VL|p1T zx#Z2912ytj^pUxp=D%T~I4kTR{Q!}=Y&yNzK6sw=3g;lb$?1j`jo2TBzH0iMP{8FM%r`zc z34E{9Cg0vPu1&}f{AqlMEkK97ir8+lx$HXJ2e$mV4#wOVY2Na!ab%42vwz@gf8?)D z#Yjh>DWMUDLmzrz9s`WF5LYo0cvYmt(jAVgHkisW(rB-7j*&)Nh;xjDTYGg2agLEj zTZnUvG}=O3#YlTTR~nyf(NJ55T~gigD`|7P(C<4C@`)2%Bu_&74M`;X+L%uiyGtU= zb)zm`(S9l6q}xrKj;hEj$AswDJI@p4piP&DDO!gI5PYi~jZ zZ__yw2Cub9OSpDB;o4_^=YRBbzbV2s#W;E9(-2Rn3GHDo#HvGMi7|3iU(3$v12I8; z(V`h?)qO?S9|9+sfq|djtVpZwf`BNJ0|h^U1PVIPyXDgbqCWcen88Up7R^3;O6zLHI-J3&;Rd-2{ZG&ioIntA- zXXDrvkaE9Abx0)l$da~elJCRUJb|`EB~k5CtL|&wO~9mvuuiNtG1DBItM>g~W^#B$ z)c(5^hMp&k^NlYI*#kRa19}*axk1mom|WE9j6E^zYd$YXNxX1jrWZ zJ?m!M^%HiF)*YFZ8TIM?vc$=xIBtL_K=T6||%F%dRn@ zr&&?dqYHLmSzxjGh$8z~s~Hr$g5 z1M1lAk5Tn#lKaC6b$y;B?pS+$R6Uxc@e>d+y|&u9-3_mu`^`a- zq!=*&?HXf)g=~k}5!ITr6@JQW8S^Jqwrci<^;7k9rdSHm9F(Oc=T_1x6JQ%kxzN~? zw6-<9sq`TeG#oB9bthk4;;Uv6o_3!(FUM?62&NGC6tf|n1-qLd?M=+YoXpWAoM*vQ1pN<0rb~F*(}k$6??VlxQzX>#_v>xP`KnBa-jVt#rp* z0nS~P0&@aFsNcn(T^5@lK}Q5TSI@?zBSK@GtojEc{rEuAc%dIZ&w-KpWjG+np~F8* z4oHeu;BnyU0=(u92+7G$iv#jz916KOAeZlW^v=hZk5Z@R#*P-5m%PWu|yT;?}B(ze*|ZJomiyS&y+| zol>?5(IAvDe*O@wQ_A34mXz{-FQZp-0=P;kZ#a4c`GEau)$5NJ9n?!HBgfEYbwzoZ zAXu;s$2%~+s!Ay%na%tLQp!ktw@cP3WlY~xMr;6Zdui$_rCf$c?T}xouKA>4*TDP= zfUbVo$KYtletRipJY!y%kA!a`IY3t={}LIb!X)J!_>}1!>bB zImdoFZqT_Sd3*L8);}gi70+1F05R}X6-0f6IaLtthh)V9u}pL9stU44iAHCNVR|*~ zbiU*Q{qmq5oIqBw=r*UiW`OWN-yQ3nM8yIHi=Jf8_;6CTAoD<*2!ihB=($P-yctNO zrCzcD__~p-ZV;XbDbAyY-{UV71{?oHXg|g2v8sf*MDSdf+F?Yq?q)RS@0n5NqpsqY7dlvX2uhP5UoP6@(9HF8jy}f4wRQ>l{m( z;qmKBn!zK5rOt6Xb&gN}f?xRifA53e@|FkBJu6IO5;?bNhJt1QXI?MhuF?#c_<3cl zpVJJ13L6OFQ)~h@17znVFy8@r0Hc?)2RY4fMDMT1<;Hm)`G}lmIALt5u85*d9hTR~ zH4M?_yg*U_ZX58}dA5O41b&-2Uw9z)He|5P+{kLQSu$L9k zSsI@bN z9ap!D@_4feF9V&p=i7CA_|LWLGg9|7H2!W)EZ^EBBD;UrSA54;{#vS13b@wwsVA9E zm@y02oam=Da$R-eDre0ir#XP9%1N^~6-)}F!471Qz~RP=6o=`A`W^Z1E8u* zrMQre0pD+Sime_R_#stFlN=fN(d0D8Ax-)A+yv63iqxo5btCC%)sszDk!s!lQ-Q3f z?Jw2=`(!TTnlI@8M(!^@>FEs(5YdA=mO8A<<>BR~W}5iS2cS%Clp|3~j#4DDjVK3s zvZ{5T3I}1&;Y85>cJ<&{MHg2)aXQQ99a(mCy3{X}p=OFyK;I-+8=s7`~NbQ3X1AqV5B0Liux_{C$6R9S*rx z!rQWb4D1`=nUTDl;pj(sW+d_Bf|{V>tU%1_7OfXWc*&c(;26&gZ=>z@%qY)HF6-}4 zpj#6Mc6GAh=y7PN<@%w~fhi6-_&LFeS0f5?_W(T1PF&4B2M0HTnwPUB$wL8UkIe24 ziOW_}@^UVz6A&ob$*kfGXHcx6Numfv^Z_MTIE{RolUg*iU*E}%@0u){s>5^rTu+br zzoKHB|MTzs-{x!C^zZ!NA({9arTQcUS$8f)j$15p*rx;a9t};$_E%S4?T#5|Nhj!N@+zH>Ys6Skaa$lk7F2U3N!@9uA_QUrE<>F}IA|)# zTqEWlim4tLC?_~=UlTS!sl*O|p!bq4_3c3uzojUe*>jWnSXHa(;weH;>Ne4IeTB@g zT;!Teun;h|5IOeGD^JNUog3R}F|+xKekt)jdj#qJL& zW;*Ij3$_5GZwDCt!TX2Js3NGJiI|CrIC1XN)zh*0+Gp#)T6 zE;u@rKvbAZj!z|EAX6j}ov2#eZV~%X(b`w|Ir5CUBq*-fy9))nTUoj&Nz%Z$B$8UJ z%0hXg14lD&Ns<<(NTM_Wn?*?<4U;5kVu~b2(Wyb$FpzA{lQzaBkDHwaM`+)bX4~o) z(a5+YhG&B=n!jjE66a>ke2dWT!#*9J=h_ThI?sHM?t0FG+KjLvqN3%G!)4)6;Ysq` zQn0Rn7sSr`bLNgcfDH)pVXhCXseP>*iV0XKqTzDK*w~eAJ7p&KxDw zOa*N|OJNnZGHoaAej2=4_@8xId4>4qFbg8Z}ssC{K+Q!u*E!vfv_`BJp^nx9!Le#sYp0oy8f27+6+3R-1+ImYo{PeO-&bvj6 z5x*NF{!JhJMc?&bMEz2W$!^3Q{xUikKBJgMDKTioeZ|8VlAcD~1xL9vDiqTg-9&|A z8k?g|BkqSDC7YlTcfp%-O{j?r3}#9PTbGgAHk;m??bv|P8u>ace6PdO9oFOj0^Wo7 z85giL0F#%g>JCznYh+(ZyhPxccMQWf0)awGw?d#wx5{>;TXiIG+FvH<=KZC{oes$D zw0}d?NPJS2E^hmuWIOg}zf|scMtjg%9oORF#d3OiJY5XqnGkU3AHvlIoKGOanEnJ| zv|gQ`Ot$CyT;n+xdO2_TR`HWg;v4?+cm4EbL>^lD^opewLg7)1!;Bve^3oQkP=PMs zCJS8xc;~JE(AI$aPo?E69zX#rk;i@umN{|OLr@++17#l$>mwo$VkvOT8o>;@u(Bb~ zkuwMxC&Y^KKswADg9HWC*Z~<*10QNOx+CD=5_w=~9;p256{)oR$Dqp;0SB{vpo?ws z?KS8k2RMEiW6~{pEyu%%qxyoIF*XceaX z4x5z>k;#V^!)t=a6Pvo&903L-<_5E%s7_yTy+iI0W)cJ1VAE?sMhnhf1$#>pd-!;0 zYSUa={1`F3e(25mC=DENPo%LdA6gBsNrGyjlamBDz2KQDNh(x7xWRgO@QtK8D@j3K z`0t6yx7%inT*KpZ+>vIAmuQC&z53;|jk%aWHM}=tXG#kVne#BU@oA13*HgRklv|@q zSP#%9v|$VQUn>>@X+}+U5@7=fW|Lt>oN`v2aZ|(GOxdMjK5NRZ#8q+rWQsb6*{KcN zPH)?-l&_wS>YKE zB!T89U9eMT3xX5KuaO}KZ+(H<)CCVnbgF3p=%N*@kP&EcgA%1a>vobG&BqpP>TB-N zw=OXnCX?BGb^8jJT(qf6VjdQ`^Wga&bu3s0aELZ_$&S~EN5?=^)8)SAENz-18w-(C zTUsB%Wz$cPqne0y(jr3*1dU*l9%>x8TKdKmwq5{g|3!^r36!3OK*=86b5-h+0lmKr z=)7Chnx!QJdOI1=pZkROd_tNGqEV}xc2CCzlReB#n_V2vfF5=-$0O(Mq^4nMyNG{) zJXAw$2ZQCx8Bl~~SV%IUoUv8sE9(rXgH*Oi0jh=u)=s;%HKpoIxbiSEb8Scl{0LjJw2!yx9Men z@DN+&TL1>@%^603IW|{EcV%Pj6`WXp$i{sCZ#aBX>iJa&i5E8qDYxRYn;;ITb^iToB~#!t3Q&A@6C53fD?Yo!1wkDG zos43@maOW0km4NWG4w+pPgL_FXev1b#b-A`s9ED^LKUQ4QGNDuY*I5p%d?$W?SU^j zsS`s-HE8JgBJyFXmd@yDo%GkA{wv@3PtF+S zaav1;CHwg~ASk5A$OoZnU%TWvT*IUaj8a8u5X{3lNI1h5V93(9f8^KxOaE^mxU@>- zi8QMj!6n}ur8Z|v_)Ih5h2Li=z`W|g^UhnxT&rWLEgb4xB>B?92#5=svSd+n%M<8F4sb;L2wH{X)|$(bBwbZs6R>ebC!inA296}jij83f8Jzr0+9ZLzi|yksSn?XHsbG*^<{ z`%vH^{6nbsp-|HxIN}Q2LW7y(v`NZ;Vgu`OW zKl+ZZeD|N2YUYoTB=F8_XYH*oRQ{h&8X0oBEB6nz1c8$c`O?%e?xh}q8NzO~` zmfTqB&6*F-~Kz_5?EPH@AQ-N z0Bz9Kn@rC8EMausn}+e^bKT3|Z4jzb9}MN(sk3aiXV9cam@d-U`~60}%vg~+%LP$L zrUS+1_r2vPLQnz|OOWF}YZ-KCxEpnruZXTcy39zcd;I$2 zS1upP-*tzVW8arw%KN_a@#VX)vh9qmN2yPe-}$=rSFc`v;TL>K?Dy(pY`^&SFNvM; z-8qDs|M=V2zvo-0OyL_yYctALlTG1%jIAb{!c_UzRKitt>J!E&YQ{r2FB!MFDb%(R zx0y8il0*S~sj-%WO5RULXo8%Y+k>}$YL>w_z{)Fgp}{ z(~lUwm?bAIwi?=gB0O1Z%Za3(5H;&{4Llro`Q$#n|J!_>mOmH!<16~VLo3JMD3wTX zEDH|Tmj46QDp^}4c|JG2$|W2ST%YPOrUq zD9NJBhCnl!(<24ZN-iu4NuZ7L<6z1iS5y zO&Fxrf$3~6kD6&e$^DMFJeGrYh_CAlsb<p)HzYUfo&bzz3I z6*HN!UL-6eHfe{2d=5;HnArKY%}(5y>ygjtV|=dNotvREeKWEAP=@Xke%n7hbkmh( zp}#^U3cOKm)i&2Iiy9-@roQ=6yUe9aIQqr_DM8l0^N&XHw!BMyXS>1IcAkHbdX06n z2haazXPBQjH1(aYct9>sS~Q@XtU)aVQ-KMJ6I};XPN32-GOHx(&(O%2IM!N?tUm+7b3`VnS+Uli1;YdO#P&jpzgT`w z!SG<CN6(&FalSui{& zq}6)onQu^Fcy_4miwB&Jp6^g#c+fV@>lsJCk*}=kdS0GNZN;F^+*$?|eVSoB4Q-=V zNRkgN1>jUof`+ZSz0huPR_=$e8KQklw-|C!6(7DJCG!FK%15$D(T{0=bk9`;(gK#u z`}6Th`wQW7+8?l>D)$DgO;vu9?bu(cQ?aR+r})s=yia7#lDoRU+?6S$GwtZozi~VL z8=t@Z&~KYD>zxQtCXg_uTaB%8p_wn@OoBlO2|TJ=rVymDD}sgR@o=zV10hJoLT3L;}b!C6QQE zP)L%bFXEDjipg<}V$=rtz2*l=PA4P<(F;lR^0)U>TeYTSM4jFA7BmquWCouh@e2dW9LM#r?6O0h;n)x0D$L0QDO{l)+o%lB?I?hcg z>JIICsXqPtP)=~n)6u;w7B12DZD9TMsB`Hr)wxNwUwoPD>;3gtE+4-gMLz->@PC-(D!7V*ndk#9SRfQ>trZGx!!s;XU=8jo z2o2p=a2sXccfR=U4Ayw@-GR4wAm0_RM)lPku*Nc=_6oPGe|woIe%kRguRZy_-~Z^J zd&^rMJohYZtzuL?@nVQoGzT!Dz#`ba!{JY8!Gt*@x6s=Gxl1IM^RwM-0TtVl~*1h=G-gCJ81YVkvmhO`KF=mJndG~qTYinHUANBDqZ@X^+4 zoD;F6BwmUn64r8XQu3%7Pm&4jjI+a(DjpqGQfos?l5}=_&F+Y*sGKK!2=!T$WYw*p zWkUApA~DwfB08hKCOigh{Y8|Go&eg6v}V3R7?D9vCtS|ewxN@nbT`zhKYCJe#XYJU z2Od4Kl**Gw1^n~K!MnadaXcP9@ubQVeDvm}N0;%}%aFYcfqs$l(G&DZ+4`6L^b5{r zppPm_kMXHZ=)^ZepC~<6vILSVJ@prbtQWn_UEQ()$@JJ?V1OG)5%I>MyU`{+r#qUS z`-=k_nWeG=cEqL)8mbnIE56(G4H%UBzx~Xu&^~ZwKCFEOeJ|@)uZ54R3>@{rq2WO>Hk= zd?T3Hb{BZ=Q!ugRL!1(k+r!0npLf_?Y^%&A1lk>P=5l+w*ydnhyT900!2ks6{ka{f z%H8^6hbOCKUbn}KUBz4jfogx*PW$70Gtby=b+M}&ICo9-B-^n+E?9LsyNey(>2f-| z{l%_|t#*91)Aifod^7XUZhNt-GPe+DcgP3qaK5?GVt2Uc@I9=6N4mb$a!4R?l*5JpBv*%4fav^AjXau2&!&Z<@_1my)XK_uZwAd2i3ko)zoo@Y?pF{D!@&Qi(zz!i+oXJNoK-{TIQZB>kp zLx_v3Ts5AA|2HHPf1_05K{Km>_gk$3z*9>TS7nQCp^59>5a5-T8P;Fa8~(0^q7m6v zg;Dg2UVE)bQO*1d-~0Ri-CvFd8TA9(fWDAQA-e2wMJ3l6Nn|6H0$r1gLd@YE)r|R> zfV5eh4oBYYP635^&8?4{+-CUd&H!~dHSVa+Rq=BS z0;uj}3GX;`3T(*AX#7v`-P?1l0{X+2Gc*~50H#s9!U(HUVDk)zzNpLIL1kA?GbCxg z{WMSetr5DzA#VelihT;Rw#d*d+*bmvi@P(aH$y81nzyGqHLM+IfK_!^9B(+-vaiYy zu}Fn}i%%*IEovWvs$G`{&4_(?En$3Ji~7H@-q0u0rlv)g>62(oy@%B7dy79iM<4aC|_AP47*L~e09{0`MBm3F^&5!-% z)FZ1!U5_-Z#0z`0*&t~RWHev`ZS9#ZfD0PA1CS2emGrA*xB*>&BDn1U1Sce7wY4z- z_7!3mx&TEG%wZDbfOpHt9Yc`%!UchE;0(wOItQ3#W}+zs=>oVQl5sj%x`Rnoq&N3v~?Ty<%4lOQ#OCn|=%7O*=4S2-rlN1-ANUB>QlKtVA zbOBrvtQJ~P04Cm`oPP94!>-2EjQR~nP==GxGxctM{okvMuYb>fuPdWoKwWzGc*rfg zZ!bNv&p#bKvi86(W;*dCuq^LE9P03?D!-K82k9u@%w~H3(;xfK{`b-Ipy6LXQb)@x zO<hf zlYhKzVmc%raux7^e2}o;qQB2@t&t>(nG#MHphqO$L+U@hJdzMj#hN>IW@Alwa&4`&s-~BTSMZ&i=|_|PS$XVGPjoM> ze(X?)%#R&52Qn~?FE#tI!)ong$3I2kv;WxPM6dhUjTALW&XsG7OFPJU?H~kP<{IM` zHPfobDmD95<3pWJ-vV;}Y#%%ioB*EnRFv#hkr~~kDjf4w*QP2C zha-n9;r@Yv0^NTZGgA98$Ms%O{s7A~IqiBpp*2>p@{I9&&5FqYU?|02>8{Z1C}U3} zOI||=JHX=9rht#UP5bAH19~5Tkm1XOh`tr%Lu(c#sHtPuRclTfDNiDZl__q_@CP?N8l~q%f&IndaV_A6 zaGS=A$)+B>wNhcD1}xNd?UQE>2C@hXEg(RdR0Oc*QX>dyB6bz`(}QlmSq1^ z2jHJ~i*c*N#>aq=IDwb zVCQOGqC1}Mps!dlu)L0VrIL~9$TfZ)(zp3yo(2-0D6!2@^!q#%KS%}ihj()t#` z4J!V!;$hw6IiU3|f;!EXC62Z5MMML?5@HrX2QoXDDhrDBb;&)dB@!Y6bZ@nJ`wBG< zvA!;e^ry|lg5}q;K$2v5?2^Diplbkj8os&!Z>yuT6yuTr{gPvhk{f9ett9j9=?*Ir z1F`i}J31@Le1FghpuP-RA%%{!lB{kJnh13qb}O7pO6T#&mYf zt#NdMgCE=v@_$tf05bcKK@57-bDqp3x|zZ0S}+Y>HSj0J;(^ z=HQv(V0bsuvye9VJ{Nkk=bn{d(qDH*gW$0!(PFcSrUq!oh!v}7a2O&cFbG@z-1^Fl z8 z?egwdA5;lV>NnLarAuNknsWu`|AwN8PyU_%8{fny|IYu7Z-zcmYE+5A{4Nt#DkY{_ zr_^I!D>3m`LWwC$cSLN$+pcSz3$*X{1 zkC06Qf;|jdBbeg>qm4o2utM4H|7Y*rgKbH#`Y=^M=H(>V5WtD4T$jNqJRG$5?)_@Y z5e||FWewu4gneVj||~GLo@`4R#C!3ll;pT!yj=8$%I0R3+uu;gJe19+pEP z1dbC0;#dYd0i1%Q?EDeC42JxE>+!Aiecfkw?{m*Nb7$02NoV)ntH18v-Mt>awbpMn zf>k)6MQIFhPVf}*&#L=#@0)__D#@RIQ7Pa8eUB!4j?kl-)^gI5YkN)!aPYoL|(h~*{PSxmuq!wJ* z))Tuzmc2$PUFCCMMV37|#=Z<2Kx#wGbsV}5AdHVgkABSD*owm)sk}RIA$-0-!Bu7Ou zg3v=ySZtib>(H!7t?q(7Na#cZquya~=(=mqxwgPzVvYN8Y|-kzCI%Z=iW&rabra&G z4pe30RoobE1skSyBTmlWbKP_mZYE+!GZ5wxtliIkdm}#^$%HT-yMG# zbBc}4Dt<`;5&Wk_qb7@b`3qfZeuF4CReqU%wUDn~&77y2XpkRhT}Txh56ShoV+D|n z&Xvt};1hM;W9&P_Yclh zkIOKsyBkJj>Sp2=wGRG*_20}es#pJxzxbhFoyy^-=1M>+VqH8(S2tIlFRu8A* zCldYZN5|AoZLq*;Hth3f2T5v)huC~Eyz)V%a*#xutTuPx2&nK_p@8l%?h?!~@^fUu zIiU2Z8435{^CM#&F$V@AtSg@MB}n4Sp3FX9>9olgH+ccq43g}zo-i>3On&hK?#>IC zcZ(J;;C7lkH=ptU{{4UNW6P8#&0!5ohA|sZlH}l5RC^fd=bTeUUEUgj<9IfmUV!cP zM2wyu$)-~=xL}N)2IaeY0dZ)mj3QVjPvachBsG_9_EG+2l3lI$uy-xqtZY~n`4gWNbC9}ihBnYcg})yC+o!EcV+SCw|8 zC;Vb31LCnJ5|C0dQAwsp`~u@hNKA#t3{{>?M8u6o5lDK*FAC2$Xh8)%uO#W}+G+b( zB0S{VeMcRTC8ZsxNP@!(M~CEQCn<(XGCkxM=m+2&G!}uZpkSIc(?gD5i3tN<5ghXN zb&!!veso+B=8AF6?RHSsBEYUoqEJ_7Lm>0rl%Qb9R8m41aRr?sYhvzgeeLsJ9PnVZ z?E~cvQpdHtIH1HD%hkq<0|9?=__5Gy4PG1^^b`%sV?)<97=|wO7b%~x;S-zk321Xg zOKtepAp4%+r{Y5wzU5%(5jdBs88$NHCm)bnH0>`#lH&N}$ExxH;Ge2bxOR2HURCpJ zfj;XTx+@tDpH8P?zaoXyN%ks?Gyf=@NG0OGjo1p7T`V#zH zM{23kag9y<)@2h*VLDTQmG`k2#xtdVIC-!N+kE-ab^Z8%{l1T$fhYlt5VQtt3+kr@ z6p=9t%aus`h$VJIutt=6vPX#EV5$Y*JlhQxVW&nJ#HU~ala~-qXKh_PVw`4v|!0V`U6+{WmJ%cry+oRai z5zXG35WpLdHEMCo=ArEhw}pZw(C8hIrE83;j3@ttge zOjfHp5TyY-v05zs3MPqOfbIrCj1H7;bx>%c0aMBwiXhF53!-9yAPgczgq>D=R|M$= zxM0FyoO{K-GB3adj~y6Axgw@!8SP65(hG3G9tDIZ*x4l95TqAS1f_SBD{jDn-CT^6 zf7s8n!_`Qk97mZ-R3y<( z=XWIEllE^os+ljUg0_j-gRH4zevIwaPg$Ag~x*xY2= z;VLjW!5n1|>0%roU*kCM7A?l{c8udc|Mg$^P5&@BHT7n+#xe2S3-EEzXYiC-=#?m7LsCZ{ga;L3x zL14D*-XWjq#>)Fckbz(kMA5p`AnZG@8w^2l>SeeF5+FJT8Y^PJvNnK)pkyFS5M_ld z#%I=kZ(|-pkhabbjWYvk3iuS+eFK<7khabRqqG17MD0~G5G;aa&^^1SY1C_C8~}KITEs4PVV(IZlS>k?`8KA7CK}x>Z6#fg>|Ojfa3E_Z={(nE9yCt&xZ9!x#VintWoWY5m2+zw6_&IQa-r3 z3d1q@sw~;anBjUD$;gLyZ^inHJV_5}Wx%WnWmFd=c%T(`4OkLY?^R`u`M2ntF-Cv$e|Y9wFH=5JShPG0EgjF!euODJHVYzp zqXlx6-Jly_38mQ#q(+`*)URL&B_HX6h5cyN^=)6aK&~bj>_>Y}0Fn%6Sy3QY6AbpF zb!V|)oTEUlE?C%)Fm1Lyv_P&dSlEwNpx$i`E%`_j4E7_;T(abGseXUzj9Xf|SnnfP$%kq~O1P@GY!8@!tdZ z7TX_43qkzoX#11KHujile@B@F0NGTC&V`3`Y_mlSM+3aqCiQ=MnNMWE+r$C1?7 z2t;2==6eK|ARB?XYL7nY$j~ED7p0yZ82{entJU)vE!NU?M%|k1fW*>X+AMyG?u~{a zJ;J#&l65bT+xnsG9|kpKjgLg}A&j0XyOsfhH#tD?K4$`6r?Si5rwLO!fEi{a(`kNP z@lGt?T557V{_d~(1Hbt*KDsn8YwfRF8LI>a$`Ui;Mdjs&4u*FwST&t!zRkX}@XiHK z4mY6|b3sg7BF&`ql1S%bJ~XY23!-aMt<1iRvyDSjhq)l4MCwJXI5IAUD$E5>&Mct@ zD}twHmWY*c$-}Bsa`Um7E>+VBCXgr*`2hx55o23~AtSSlWzCa=P^!Cgau5og0AKU; zAe8Fq>C{~JGGh8edHsIn`xx=cX?lkQn(@+!MD%*juqVpHT2sk9{#V_OQ?aZv7%@l6GVHeHNBwoL?Pi2l&HrK4T@4}0L6H9>$(j=jZ94v zjtzbmcD-!D;)s~5_l!S1fn1qn^;4`ja%vV|b25@r1K5-RivcT!O+`iuf7L{eMozlV zfRn`Iq|5ObYK~oev-DoYWYE^Q`=r{C)-=Q0t!-u?G?`GXxyJ` zd+m9hQ0$BCoew$Qcsh38Em~~v{9ANRI`;Q}@3+781OMt|yuRsB%EO>pcz;QkQkWX5 zi12{AU=c*aq?Yo8U=9e4kO?cotha(W9^Aq72nE74?I?zj%Au!dM>hz8Y5!1mI~dBD zRn@a3pl%0_sf6H;E!Qb70K1c7*9|u(q55Jkhx?J3STK% zJYqJL@>Ysns}c0qZsQXp`>| ze{Xq}Kxa3gSl;A&bXfOBXD4G2>+|e5HpY)mL>cFav92QwGNAZMlFHkIE829{f8kd& z??o2+HF;&gnC5WZ1Ame6Z{93;#fyyn{YB2WQEi}F-GKyV0fUhcts>-tK;??dcDUkT$?9^MDG1U|GnffSSM0w9 z0T~{b(hLuRFA$ui6NW%_eA!O>3xQkg4+gbu6-t0Ht=_*5C4?_7E!Xm+?Ju?!stCfe zdO4i$A)P-7(Wi#WB-O9P9Lulis`RaHu3F_^jM@7*@2L4cd_%)(7SuD zf88$W!h83zRfPAt>B8KU=eVrAliT8(Rm6AWWNgC)-}&NGm+yG~ozK7h@`1D|di&+2 zcVaSVFspvtl8+b`e#&bMDa{}$;jl}js^bS3`0(r#3_fZ2D2@mq0D2EW)d&wklc@3#Kd zF}ZTWV?QmIwkgp3VYz__XmE_Hc9niwZLQTl+n4=aVIKCKU;h_>Dh(OcAiKp>jhq!w z>7K3}y#ojMl=jEAnA$W<75}2)S_o!L)yg!`Msh$oOS7W9&yH7uI96P({bq0RO{|zP z)#%Oa5$QB5W=wTgP+D;NwNPosR6%nKf<9@ZM0DA#Nax9~I#Aj&X)w;Q!c+sM?YA}+ zD@j(Es^hds-8NmWlg<+(1a(k6`muhO_#*O}D)^XJ+799wR}rl@v;e9vZ$P!O6XT3U z)ZG5+g{hcD5kQ6aMW69cUU+{D8Pz5aFFdi959m1(<^#w=5@f(iPp8NQcN#NN5VX{y zBkU_gZB%$J2xd;i(_C>2>a<3X3eN?j6R+pIJL=k4kqXZROWz!hyw!j)1gY?f;D!}@ zJTy4;+ulAZJQqaxtyLm@zga1o6Dv~Tx!?iCpNPKEi8pf9qVQaDhe}A5?A;*5k^*G8 zq!G@*BCOV;@N&PP6rQ-`QMp#xFbJMC{6dvvb$9x$%?#*qrp<3DK$fow!ZE;$z&$Vv zb3WH~mwq|IDX-_PxLQk(22OFDFFI+roA^ zJyEIzfxb(Lc?PSg)eXl2ow8R6_B+eZJH+*-H&Sc3AfP&}O2AA4)9N9ZLfQ2pVOEuG3~H6)Ga%{kC+Lo!!LpV>;`}&-~sm{N(8p z=-DaN9qM(NdwmH~s-QWPLrf zKd&fSlJH403uYFYStdCq&76FZd-OI@Kz&D=`AWc9aC61WfmbI?JfYWtUDxQsIOrwEm;ZciK?J$TA=8Evn-Uy~)=Z@mhP|(6R+qfmNM{^F=_BV%bi<5Bk zPQsnRN{b_Kcb!kBVa^P57f0Y`9D&Wfk9pOLla9b@g`!Du1Y~|nl{w0$rZ@s)tdNx> z09q~BB(XFWUAv`Gc+<9kgIaYjI(;o(55epRkdi~v`M^yjV$PN`I|7(Ay_V{0B*6yL zXtN_=t=}Z1+@b=!wy@a|0Pc!xktA;TJJo`fBalq-76sdlB%uPoZa5#PeHE8MOEKee zu5XGV)3##|o&4{Tseeo|_4X`JX!b!)XEDBu@qPY< zXJynxsjDN*EtpAp%O`*m?qG#=`Voq_?2B5)t1BC?E?;~RwAOD6^k;_&bZ1Dud@CF)&-cc54@8k5yN#FUl)w=1*KTbzOssu zBDhD71I;<+w%b&K5M&hYf?#4s1WY4@-WtW0Ly*ST1;Ir{xSHL>oq^CHNaI@sl~_as zH(JIQf;7G^*mp+g6aq*9DPj2JI#fjjX1k@3Ep7-y*rij3T`nAoei6nA2=O zXi?^?0z)+&Dl(*!Al+a-?F6YvBrZub5cT^ZurR%aC`=jlrV&FhA$XRpn z&I2|QL3$R=GNzRXB?LiF*}MyDY)6UZ2}{>tBFjUQ~wI}S;)(AjkH z5X>s<3>10BUV!R2%^=2#S%n=)gurt|PZye{vc7rK8?y>Ksq1PLc2e0fekj)s zg{&I61DjHFaCt^u66L^bV}kfJa;s#9MPLq9n03wjqhA+2)=K~bkg627H?EFhv8^kLLQG`kImUbSPx>h^riYx|C> zIihw#w{;ZrK>akTM`YOwZXcp{R#&-QofjuVt$3ONZs8`sc@~;fEJ0A!pTzsnonX5= zw^+hz^+E+-nwNXHwPuG(!sC3)rB=aq1bf=cdT7Axs(_C&4KL2 zkle8Tr1m|fn8dFL0Vv6f@MvtjjUXL8J--)d&|;v>2o!_Zsx{|7L_*}j-&`FaQh0Dn z?u`A@+=mD}9{v#VWAhM^hQoWG@bOL_q7&R4OS99XQS5@&2AsMPpn{SH@l*~_CAJ8> zl3XSk5k-Y+WX2iMLI6HlwL=bJL05s7Hyv<&f!8S=P}wfh{xk{^0+S16m-p|;wUYO5 zAO!4C?T_=#h(HH2mvgvT@Pp;$6pk$sxp4oaS?b`bN3&SB1=|<+HSjHXT*@YBS+L1| zq!qs%ZsK<=D+|R-*{pgr3e!SiX$VA=@qU4`i=ZcGu8^C(I$f?gt7kdFroZ|HpYRF4 zoZ@`^0>e=#V5&l(%TXws9-LIH7KkYpqLgJffPN@MgaZIUP!MQYK?sUVVS-_0P-oZ* zib^&?usf0U6+Is)melIPSW%uY6Fg!`@n$e(1Bsn#;+Sq7WYZIzrO~mYEN}2#Cb`o1xUC9r7aBO>^=Jedg0%WY5KJRdz6n9; zX2>1%V)b1TUFl>TMW~95t%jfvhZWjj5n9Co3a!wGf7dG5Ywip zPg}|(%wru2JE@9|9Zam~upv6O zn7GaHO7ii*y1Zf#f`Cr)t+iks&c)wfo3~flkHv* zOe~%dOgT#Pf4)Zv@g6+fH<%|59Swi1z?7 zA@CuSL@y@&2Uai;pst^9EjcvFBXDat5O@SyCv={fZ77c5BiZ1B%~XkrpA^(ZMRA^Z$-$ZJ|?A zt(icJ3+Q81-EvJ#Qf;vnp)B{K+ZbLrm++lh07y-bAAL{n3Tq;lFnGOloO!XwbO2lt z9kPuS#Kn2edvAco=l2Gi?Rx`oKD{^C8hLN@^tGD;ya904!75K`7UgMZA2IqLXe-NnmuN^%6VEJ}PTA;x5_iMEN^{-RHa#b1N=oXeZFI3?s(*lx=WR?Kz z=isI+Ref9x^yTRvP9Cgs_6p)ZaQKvPc@B7Ar4VMXo+1As7jz^ZvK&3hxBb$$KQ)8A z$w2~S=-b$q?^y{$9V7sGlNG~xAgG?C3t~1b%MxJhCgF@pq_zTjJf)HV!Vj{P%8V689=(>X?_7OX)6_;6~IfD+Kk<|QkT zV2^P)8hr11uw68g86-fxH@jir4d5o71PPF~F^dQj-d>P+LVkO!yo9#1Gj3`Bjpo_T zL=LJBp9S(h>f(xtH7!Gf8ogpaE9)6PXYx38hmtYBn|yhjKqzlHvF&vZi{Zwh-6Ux} z#OamR8}ySP4u;-KaXh)elA0DLF4XJ*2Y_9^Qyf70XTnUdpt~}&>Y|02mhCuy@txDA z{5%oICwe9hTM(n_59UJNG??F@{Qfp)K-v?_qj_=?!&C1{BNd){R~p1Hrzi2oC+685 zJ`*Qd>Je|I9`RjY`MKZsHK`s^ys8#!vH%WP6FMo|pfIBuQ9OVK(>5l|#RH&0Ad3+L zX9U|YfDyiF&y~fFfVmu$0(oJ(V#b4f}z%bDWrZ^n_5nKp~gxOZx2-aBBR{9PIh~WZTNtvwRXIW4w^z06hg%pQB0SCfR zDX=>Z*35SY;|`hRVd=uK8X{vQr~)UoIwirz)n0nWN;nyV!@F=r=;#_?V$}^o4WA}P zP?K>c(pAu_5xU6uZet~&T>xPGG6V*$hJWpUPdOOs>3D#i!}AE^G{>LAA{trJBMGbm0T5sef%zTBDf$H+MP%j(1K=04@26Q1W*PlvtxzFH#!*<7~+xG&NTE_EA8N_)_5QMz64naI#wTv^o z3fiHPwmj`sv?h!2@H6lEto3h;;7=oQSGN|I!wFir{h=E(mRrNa3%CE}GtWNr;F+i1 z`IH4TJn&Asd^_WVWFhIQL=xR7<`> z@D(xC2Pm%OniZSQhB|2sPJy&~B?KnKAG07sGGDRK%^-3cOzX)hp^!l;c1*E zT)Dx~s|5eLBgYRU`;86$wM9^~U!{;S9*DG$0EpLX8=;&e^Zh}oJ^^B^Ik@Aq!#wo_ zqIz7+vHAfP<)Knbw@0ww#&}o$1C6--!*k|vxc?9bx%3k9hd_VbIncfKz86Zf;yCi% zCBAcE{~ID>pD2|)3is#z?=p117FA`;Y9bS?ZN2Lvv_K#IOo59$~N>{IY60nQlK(l6D5IsR? z32w_?vaZHVbEKWPB1X2fDl6;i9wRa({d7EnSGG~XSyx*#E)*7~o41NJ>*}DdfU+EP z(2UP&Lt{g`WL@q9rLGN0;NNY_oGM+7>2Ii3r(QjD1G6XaS<&h%6pT}^;_eu|WzxXS z?a{)ZBif<}w8ag-wgy%W_ezZCT-&yPp?#Nl?gryIPF60{*p_midsQlMnbihhGt&bv z(cH~Mb6@%DfB7}Lh~{*vf=6>cF9fZweiFTiMA>P=^?nF4VFeoh4v3M{2@pW!39yP%=i!# zR~XW&WhWs>51|Nx$X?h-P|_mpxcOq}A-G`7a)G2Ku3|-r=3Fv{xu7jqlVM3Rk7$Wu zE~pIFBAz74avxta4RZmdOZA)2w&oGqoEp2THomj?s4d=Y0vL~Q{BQGhI^tLbjRj0z z6Z7cJEv@zTZCG(3osSw;wd$z`SIe8ChE=P2(+*%Ey;Bl-nJ1l&e{Rt)&Uc@BOW6Ed z_10*wR$Q=br=W?xWZLBGT6!~p%JOS}R>>NQlIT)5gWvL6oXWP|Lj%^OkQB zMMhZf|C(?71K&S6Wdq2J)Y*ZRaWHR!5tfsn12+QEq39-OWwfu_DH|OlFgW|5DKPyR zg1jNVBAN`@A4{6PUJ4XqE`_o#6hY87LdTOQkKG?sw6P-frwf8$3Ec!rs3-F#vmo`S z3qmbI8&VKe5*j$giqxM)5QGr8Y6zklvK18l8InK&^=gjBO5sbMr|YPdJYeVCOO5v-$ae&a>_c?FHjB!pujqfrWb|mq$YTK`a(y;Z7q|@BIFFTH;_sy2 zq+&KOqF36GjoVrNbX|W7032-7x+@ra{TFdG!$9WEoJE z&Gser0KsirJ9gOvJUO*Cdw?g0)>aF&+U3j09;0{O2SC{x|wzi3*@%X3V=+yt zn0d>$rjba@SAYJ0^r1BGRL21f25D@;@W`kG#0jppfI0*P8nY2opn*gJ7uXH}l#QYsAt;Wj2_m_UJTpO{9tgEWMj=SGUJkul zu_TJP3A9%u$UE%Jh zq!MHtF{%LLi>TgF!5WRxe>jc?+Uf9HSWo3T%nO7YPG#oPz9{20zV zwFmXKRM@=b$5hw{Uj6kS{NNN6Q*vy559V%sF8=OO42DDC)r3+H}JZ7QT@p;xBOdhj@SUS0i7i zBaC6Vs)`B^+ifPQ`ip8-CmtZ62SF91yaEYqXolkf?5MIl+Iv^YS7=1rNCq&cqIMai z#rUKoG((1uCU8V%b>{OGQg}1Ha?-|K<-yvQ&Nj7I{gi#;`1(_RT^B{9U7Z9lktX+(B7B z?VAhkQI;WDRm=t&RGH?;9yBCOze)+^fQ2n1FDRVvOmN7mPCe#_KCIU8js+`N4a(wE za3(>4R>cc@ORmY(S#D*;^^si5QoKJX!WA3flBj?+?$rKxE>2MlVf8_c-L-u4*2?Nq zURrNkmX(mL(OgDY?fBHL9N&z6q5va7+`e@3(MJ%8C0+VBq)Y7~zAYbp-tuEU`ak`u z-}!NWDTZt5Lah$N+)7d#fdpxU#xm#jl_6P&A>C!@ zBzUx195d^%9+M-WNKjc0ltC-&V#Tb(LMx8w*{s7tD;}`81RSwqMvnn(fT5l`4E$IF z{5GS<++RSGBYvQPWQ88PrUk9{0OPJo>WROoszq?3l`ejo^J5O`5!G5v&ATcuOX})I zTPdgeodI9y4&%ylj{2J;6UuU0MJ^R{H&`*p@naaiaelaA5AC@sa!K^wU7~m1Eo#lo zlIXpeMDI_$=SSZEiIM14T&~Rsn7srn-y#1fM$3WhX0-OUN2~4?se_ymKvIwcA(>;~ zFmAf?u_8^iZGm-hubh|r?G{B-wP4N&oXjx@jN+)g4!V*Y!(gg+&IputU6^8-z>v=| zX9U34P3CT91Zautb5zL5j(xzO770v{I~e3Qlsw8L_xOFp{O?g0tEFG@1Bt2jUkKqC z7%X{oka%n2+9fAVwc6ex^N1Ka{n8?t)GMr(w$3($Wt2~IjHxdFQa1-LX8Lh3)Aqog zYo=%9V`(>BnM^F-ni!%n-~5*!{+=(KCVMKg0MOJc@4q|CEs?`YJ9^k0+}4=nNTv)7 zWS?s5(&tDf>R|R*vN?1W$sCU?hKJTGJwkDgRU`wFKJ6mjZG<~G7tG{H2J;F?jEexp z$ab?}j%1+9Y+xYr9BmL)p)m*KHym3UTz1tQe8gxTQKX2Xn(=yrIvC(fa+=%YkQ^e% znY;eLaS6O2eZKB>C%GN;zE(Xs%t)NfcCcv&Fk{r7Vqi^GYpX+?BE2HpkzOHFf397{ zV1cumfH<)aPg6NQClOTXp$X=H@HC)SHtm0tL=I>|O0PW%U-0WA^Hai#~ z-e=1j5zu}>Q<5DF#6RX>fH&!+gAo&nkDI}uquRkJ6N!&2Yex)!se(#lA~8lDv`$@J zXj>){v)HV0)+z_1lnx&;$!H*1JAgKk7-NahiCyQ!q?e<(B3?SoIZL(VwOtXl{tddz z;7_~`^))iGYyaZ>PZ{3TrIv}rxfkcda3ZlfAX1l`UU9f5GELFllg0gb#Z;Tj^AOwE ze1U&&2LAo5PkjGZ{RdG^sW+p|)Y^a|7LjmTUTtHt`>}UUGh|DTb=I(+br@7-U^Zzj zb~bOl!xm9O9cDP=8=$c?*aCcwEn<@0k3xRdgW$0>t=au3OXiw+8`d^Fvnd2f$x*Y2bYfXws@#hwI*m%GN!S+V#z;+z;y}hCg-K4eD$v z_X9JE>3IR)=yyY>qP{;spG6Y1c){c?6M$3C;=(8uXp!AvZA0*4McSAUWUi8eE3Da^BQ=-{?odrB=rmf6Y_^@R zIH{NTW+qM3=%k`n$_Ljm&8zPJ?0=iDWwTN_6tsWv@XYxTLiiTwd6$a)N=u1-OIk{{ zL#@<0M{~=;hJc{pIuq9H*_?Q_2O#ZS%`tEJG0pL-pY_e%`=gFfX^gx$!TFAkV9CRK zj#7edHDGU|<|u+*3%y@;){f`INa~#yL8Qo}ppLXE>QaRuHHQm=Mo$|cLH%d`TnJKg zxF7~rlaq-mhDwQ+B?PHCTo5)uGhqAe-u%80q``7QC^srCWc@oY-!aAN-}&F`%IGzXlUO;B z?SIjs({>|CzASlQ;*V$DA_=r`c@P7f#mxezrp~Xy1-sMynzT#B`O`-|$K{kvZRl40 zc|x0tLwbJ7%bwbEwdqoFcy}d-^KMaVkrvG9W-zD!@Y|n$&5RCSj|b4ePnafq@8j_R zDqs|*abLTp(~WpwyB8arR~(NAc1KC*WWg)qft8Kfjzf>e1E}d2%HLpyn`PU=0l%9L zUbkCn4{vi^$FTo@i3e^f9(euhmJZ&_XZzI^mUvh%@QTT)Trc8U?Ay)Qx8tY&@SA=n z@UHQk%E?p-?VEvv0LFHNvCt+52H-Xgk!wazrb;qqe==WDKIvBims4}oBKl}xVQLUSJ!sVx^9t`cd+?x5COa7CT%hPm5ULQQ zhO;fC2KMZpLAr0-JWf{_9_uSL%$=e62I7+mD4?@AIs z^$xjqXV7RSd8T|oJ|lU5Zl~a&&8tZtSKgmbMBbmm#Oj0=N3bNaN{(m_NpN6skZfsTq%kAdROBqK_OcNdzR}jS-N{f}$AhVvy`&Nj3%7 zdxq;-kjB$j+;v-|_z+?q$OF&_imLRVXA1;CS44$fBdEntE{XYTdTg95X}0pQML(8< zqmEFDeGd4|HPIN?twbBPCWgbYK8Dw`KepE+F|)4;&JODjXSaQO^n82Z40KY#j7BdZ z7>o0qjIL?4H7H(17<^fSmm2+!j8C#?I#n#&zdTpMYIhLy3kNa7FSta+?K1vXWycE# z<-3Pgt6Wb0;bA;(4{wE{iH>lXGDP79?0a$$WM)yamom{l+1s|b8UDao2A0um#k+g% zbjhYxnuRpy6s|Df%{<4fm989|iNyLf&yAnwmJ!ZdzK#6| zSN_kx_L+b1*CKAwg<92%A-e$AsCv6~&)$r96OH4@)d1U3MG(Y%>|M!%`P~lH3tqlf za9s6nR#tFe7YnU_h3f5ucOoBpT=fFJYY%;e>fH>Kc~-q>N8zAKxTR3$1BEL7sQ*6u zHB}mk6SN08pJb(aLrro*XY)%|syEamXg}JUQ>k8Xk?d9R@0d5M?~XLN*iQ?V4@?af zb-u+vRg#mcS5=t-o4R3z+C{G=!lXgCrCKs)kL+L01vg#o`rlBR@kvi_OzrAH50@!2 zw13Jfxlz9(;O3%!U&iWpiNNkI0yEV!VIf-+wnShz6M_B44}bgT{qm$606uV7FSr4l zURocs^^&Boo4Mi!?2f`fl?7`zK-eh|fuWnAwMf|w*l#xolNf=am$Ef-l^X!gD@ooR zK-1fX7ugNiZ5aGWU3;(yK)08WeSG@tcU|i0k7;gH*6UZ7-nD(B&)jvenpKG0Y}%d+6@`^F!WQ2OEJZ2 zg~xce!cxa4mA+HlbtJ56VbrCcK;G-rLdxwFNAYb=oASEsU`(=|m_@Kh0IVech=sMZ$JPMuK&$U7?!iJOWm3n(W-vuYtbUOM z>ZX}hEUhJ4v7}gimpq_nQb6A$e3Svp1Fe3ML|><_d4R*y{FD;>yCh4g?1I*U&}M3} zn&AR%lY-@<-*Px1rn)~{RGZOvDyfe+lbK-}CNI`?w#92u-Vf z9H_P0AB0gGR)L}d02L%ULB+nZ9GMH2A&`h31}$XN)h-A!K9rMk#qMBiM6n`ufeY@t z4wMse=wYuJb+rrb);sihA@~8$k1@i>iqzFE2)Y!2%@EvyJ6>Vc5aiu{TS%gyZ$?;MD+kBmlcrF2tujqfr zR*rw8)ag{#!bg7|$Ff-Uq#^mb=DPg!o}<%b58|q!ngQ zq67@KIgPX;%oFVPh+3ESVlvW-&J`4d;9@X(PNJTxkyaGkO3L%N>x2cbk`x{?!%s2w zjleP1B+rc4amS-+)8_hKiLb?ItAkq`Fll7uC`k~ z;g~H}|8}hYmwm^NebM_r;#IG@2jU4>WzZ_ZFch{n*;=DL1cs010)${Oa~S~yb0rgS`{!>Nmr_NPNwg?Vf80~24oAlWP$uB!sbsSc0*MINj};B=1Shs?P)=%3&yOkXA)QcNgFN2c;>mf-x0;c~lOOn7KlG|!3N{O+&MI%UAiH?0 zw;X27w;JQ-HQV9jeOf$eTPy~E*Gm_D3s!A?S#=G({_dbgCdylFf^cay zb%V-4n;8~|=56yslcQ1GWY9mlo+yXr9d$u?$tXjBoqr9S<8=U zyub7p{>U>wFsbpB7J+^YG*I>WH5zY=l(A|&&VH?xM5XaO;K!?vjAJdBHQui4boL?q zm;vE!)_B1GS<((=f_9Wvhh~ju`CSTjHj>HI4776Acs(Q~6^r&88w($TmBvGwTs7WS zk%mf-v0S|9F*NE3zXUzQ+9Euf9vkt}ZlO{uyK?1-jUJmLGp52^WwokIx-{W$#T!ir z2Fa%A5FPkWZH+b_{Bt}Wq#B{}(gCFdB_FVL5V;*XW6*H}c71#wCoCjb{T^l%U=widmJi}?* z?x=9oX>0wQN`>uFMJ4U5Ni2@`#8;4d{68=M=-dBM4Bgasu2qjOhq(e!w=81=q_rCkAgI*i zsR0C)dW22T;CEyIK~|3^2M|>1@$>|OtRDN-3YwO>8HF~i@2|YZtR7L_uk*2hBiID) zq)2JqLy-zJAu4xZYNUFgNcL>16y?k={O0J{eC@Vtng*^3NV1j8_UpLWZq(XrdYgTo z&NJU3;-U&BV8BfqC&2wmSJZ7-w#lPoP(yq4e2;E>rh;l^o0N664Kb^dn+g-Sa4u+| zt69d5_Qn3er#6f?pU*KwdO5X`aih}y72=d?`hiXh&n_(=*zA&u8Vw(sVR!{vPIPT! zBsX}?(*6j%D;NR-^#QpZPOpy8O#6c;?VJf$os2(E`yV;eDqS!Hs^iOcI)3evE9g*s zaBQOM>duVJQjBwV#W<$%CXk*MidotoZ>H_>r~kyKeEAbG;!|(N(Ag=tJcpsxwlAAg zBacQb*sW|dWEiw676gng0U6S611pt{DFAP>zhK2R%zks|%9eKI6QHvLwtzOo%ceBN zf~f9qR?LAw>kp{00R#u;=y9Q#(7`t+os7G0&*Q1uFm_trQ@L z<(i0X2ku68b}%%Gt^o{wx0L~P`7{OTv0DJDUHC<^=GGfE;_lSW4txV#5!OV}Q2S#_ z_RQDBuuul|JWn_t?X~9{bm-9QVn;vi?Ce*2OoNguS`9$a+Sz#oc(6DJi7!uWe8j-I zJ?X-5sRq?kw_~i%NZ0g~hi$!}`|Dn}QE#NjneDwGm6%hYN@jD z?V)KkiXf0fu^Pv1XKK#A!o|>PxFD#XV#If)v$M zKB$f{on{jZR6ERUm(T^E+U&!;7Be0{|F6-PvYEdx=Q}1?q|+FKB@ZHOowt?;L5n)w z4u3}PW9uaxW3WVMcNd}AEngzEuXy{Hy;G|rUwHoVD=xhC9UBkgs~0cd`t;k-DgV@q zHxr?K!T3gWv(36OCZD zwb3VzIkp<9xQ3ZEbXPj~L}_Yx~mBy;@o0 zVH~=$wFvbzLOX!qN8=fnoV2xS53d|(Q7l?Eb82}9*|V!{?3g)JX@m{-p|!{WJ@q*I zcceHapGG;ivE{S1bt^lPR)alQstz*ZIS7(!i1T);4(w^2P}YM|(Z!xF_VmMp^5qAA z=;G=3hCL;zbTlI97HWsUHHNqlu;c1zHM#Z`qBz=97X(Jg0yPMtc3ESy5Trc~!5)<- z6a<5;8kP{GJ$1oC&;lG&*i3u>3WsLw>Vg0p$+kwsb8m=etVnz6f=2YlYD6GwV#-61 z_S6L}7mH>Iv;b<58-lc_E{J?G%VdBL?pH#!ozGG1sY~{#_y&Fpd=O!CyQKC3$PHj} zN5;le5+~f8rRd7C<`J~zvgQ`;kCo(X8?E;6isEMdGGIU5uImFELpjHo$}$h{#7uia z(#^GRFV!zkl1{s-hD2%>Pj(b^%XTCt1sG48@~#$W@4D45_AD=EIs&H`o_be`sh)b* znK4zrx~HL+hh;B5k4WsPx4bRZ5jwJabK%i>w`fUB-crBdYoGqmFGQWaHg7t_Y^|Jm zA_fv_umrieU0&+}AL~Jrepyh=w3CR&=nOD`H!I4kZ-UWTgpn7FX7h?-rcE%2TQ}Wc z*o2sA69lr%&LZ~8bNphxqL^tvG;*h~e-zvd23?4mHo+Yl`X%KCLR8~8vR@9agqmqT zN#$@O4o=#i`q*lwO%jd#oU#FyfPO8NNO9eh#+OF2HN3iA&!q^t+UBZk4wmg-Zi5+p zjzteAfYL)S8h~l3I$ipT9*4dnd;ZV0yR&VEz9kdOw>E>Flt23MpZea5k1PGr8pgZ6 zv!EWm$p;RaB@^XJ=Jn(RScdt~s)KZv2<~NkK^Dv~A1V~JC?8WOgqkD^W|*&pB?nB8 zZPB}&Qafxou~sr=&cNmf+MC{(VZPnE)83xr9)%OoIr-2T=7YLpg#(lmDnMJo4D*4N zMCb4hf1dfe>EVM?5b)rk&211h^YDQ)jQQrx^mF@agQCR;5BILv9$XF!7jdHa{#t6P z4GW5zGPB?yQlxN?=!L&XOdVp5lvx5_$t#M$K!BK})@gA02_MQrCbEXOJ0|#%`BHvXuj>u;yxG zadIhs`{@5t}#o*kQHyvEx5^wd~kqhZDW-WB2rx z&)t0#jMPJmq^mVooHXU5T2LGHrl<=#E388~E!q}hf0nr1X}vAZH^b!{)Z12^3LA0x zQdr9E6o*pr#U{&dp+5`fK_>;X>G$ghKBp+R+g?zkmK{+4i)TIWAZ~FSA3IbB++P6y ziT%6oqN{oaJ8^>3{@hL{jQZf*GuZ7ey6XD7=K5tj?T_;%{T2KxA$jNy7hP4a+=(Zg zjz9Rp^66-YVF~N?*YeHRzi!K?&tL!h*g|nP)Dv_Kreb1~BO!bfOKo$l@_j6}B|x}i z!flNmP9CgsHJ*9?x#xstyW|stfRz}2QQSq)qu(jHvfz-o8`tVw-~6Z>2(JgnxN6rz z7sOpj0e(+n`*KKZMNocEa;foMl}tT>37km5@xAT^W}vCp`Akau*|G=MSrN?DOslrHzYScjYa5+20lV&A)i~ zwr~5X1m}wb?tZi51l9PqHoP6j3BXm-M)jf)EewwE|Hj6*q4*7jV-nR1%X|dZ>Noqv zpyHM+ARLIfYdH0qxh-(t#DBt6w!v%}(T;X8M>y&dEgX+5d2XVAsy;vV9%R3Ak^n1= z71+Kaq97*5)-0qiM}QP8G{~2uaK1|(_c}c8uxhPkj=KNaJW83A`x~~SO&M8H&0XI zhj2WU{mET}``Ek|O|ZQ-VJoU>8ezTH7hn30cZAfH#!76i+FIDUs6XNkZu!FQ)jq|z zu@UJo|8Wl0nU3#UJODF0f*K&PS;J>y*>G3Z+`u2A_1VB&2HF@!5Ksd8|Ba0q2QZ=e`cwt>2Se) z2bwJcVm-{Pq7L>I3>OW%3+@h>vQ6s><7%_BLy&-&3!*-5r7cW*(2+HVW~@^Ld&2oJ z>|nhyuHIOYID!j;99Z+(yA|52s}(2B8%;~HNEL3Cc=1Ifo1riqgRjbxjf@(l7B&db zV2D&*8!+%^vc(PV-6sKI1b^^6KscC!S50n2@CP?youfq%)>JuQbu%jY932j9rf_EE z<4I~L?a%FGGg^)?P*7COxl0~1xcrq*3IYeUw5~balRe@!hjYw{ z&N^8s`={CI+?9-AcO1+%u0w(i8a|+6;3N;mD$DcX4ljylfM)~6e|#r8hpRmj2vw=W zBiag~SsAxLZ&glt8xnwU%p#oQaQd?J!`m+e>N1XM;+hzM)vlTE&gm)9`SzTc58h!z+FGrNlR6WBiO78k7g~iCgx`q_1-|yyY^2*pkKcjSGMxq!?CH(jV^CCc@8Of zFtwYrm@QM7Fn?u5BpK~cgn+uLPC{tX^QgT5%T}5X)L!SX6Jn?bVBxS7tp2HBP6$-E zsBDMk1Wa7x(jWsn2PT$iA(m4;@2VFqH3E|AQx9AazwRM`LYoh)T-QBXrYJOXYk9do!LGEzgjEW z7`0||(#9>J#Z844p!#Rz;nA)nc~jmD>Il9-Fi6A1h+4%o{`d<`gf;2^mNA2fi%StKWY+uRrlq~3eRDx86+-jK_9!@Dz8GR7MNp=M z0N&eAFc|I*D`ut0qX!b4=I4$zGTGhm@R{OR`>9utoF$NuDjArR2n1 z5>q_MOTnY-K+j4t-yP)Pj3FCYw3@1CGOSe#YfUhydTT6wE;~Zeks95ZBoT9LvKjbW zXj|1&9cQ~432K*&*m*(m?PSF4*`Kf(^Z+v8cULxp$NjL+h|Rl2i&?*&mYARW&}aYb zH$>Pvj%!D$3yNmjx{HjQ1^~Y8Y-Y3pN0t$Dq&xud?bb44A((OPezRdl43PTvC=IC@ zQcpk^8dkUDmOusurL}F9jR1&-1NR_}ldRpb2hm)E153)o1kt3c1n&nJ&Q>s&x~ddzQ|CNYT#xQW#-HfmRjJsn1{U^=Q>9-mX~DfyyaWN&SdL*Uh{_k?@J=q z)TUXFHN9j1u-$REA}^f8d<>E7E7%%Qdqx6qKpzQGgb*xbrXeVq8W%i}i541a*CV^q ztSCy)1fj;kDad*b)N5!687qp;GePh-Xm%2vE>ilL&rwvKuh?&3FBw9znWQyubX8f3Q@EDF^q>~IvWPQ7 zB)d~ZoEaejJq%js5vhPVMbbkV^T`-ik4j1vL~5?Rmb*NgMv7-D4l;V?0kJX3j>3EZw z>|y_u2XyfQQk|%q1OJOAv!$J%4_qpYJ+Ga==@7>zAd@>ojh3+L4#O(7P!pEAHKj{f zbu*PRpZ5!Y?wcM2dZ~U*8&;hpQ=-B1umQwKOkB{AILE7fWhpfm?2l;updcomAjrw_ zMIBaQjwZWQVCs+B2$4CGa##f@(gHQ`*0d2_6;`cC+a@b=1Xq3N99Hc&$mdD?g&CTs z!YUxK@B1ImiCR<-R7n*oT=z9QPWO$#lSHc{^0 z-58U<@B9QK}MD? zh`z;r=OtR$4cL-)Y`#NRH0w6rd0DN5ExAi`_}X=#g~j&Q_^ z+uq3Y;?T6TF1TN#DV+wiKW;4j7lI7@iy#V21eOHixofXlEUio83Oi{v=?^gD%}Gj4 zs!O8HUC~qcB+}lRe;}zzbxD+MfOLhY*^@J}{)ME0+9eN%6*Hvlcn48DBy(536tB1> z7;g{aI{^GJ4oLe7H(bgLU9w*T50*6nKhVpl*335u05th=aCDT=(8CGINiRdp{=>Q= z)*TJ~&RF3wI8C=-=?$OK;F38DWi=p2?f#mY;WvmZ<-B3Te9^ z{Ni{2xA^P*&1V%3FJd?Heo$?$^TO?!{G;6)&9vjpyz`7c~yQ6sA23AB~HT+OLxo-cE`X2U^>em z!TaQw*oOx|Ho5&ZfPPzVG5IOBeat8y=G~&j5K^tjW%=zLvRW0mBOjU0NlZ0r&JZD>mn;=zfaJPNcQ~*a!FkM?As_w|Z#Ny-O#pG1=5#&dLA|v;0%|LPJTmc+4#aqk}Z4O4rY9FF|urbcEImbfBew0S6=ytRHi?XjJRlTIDpMB~@DbAs3 zUYN(f?41(O=opmSt*>5+!fvK0?BS=q{=I)KQq|fU>#?GB%BIny6a2wTjrvlf?(^~$obUR&@V#1upcY>N$1 zSMzodB`AWYcsrzj%Lpuxwk3);@vP1X%mIGTr@B!Lnjr~JV`|JE9H(NBeQ4+mo+EJV&rN7Q4cYEc21_O!} zg{xQ|B>2{sC4l^vD=D-AcECcdw z`LWkZModJ^9^vm`*i-CZ%|FPQFe}We7@gte5uAvoAR!Dxf*B1VOqj9%(Ons z6EzAExsyfB-ad@!L=W$Na02S?sv&Ez9_wn>j`CK9EkYN5WxSX^sA{dX zO~2ZqGG0vtPiud&I9;l-!`jhU=2~yqb_^TJ##>ytIDW&Eh0=9+7vVgxP5Yc$cPUhi z)6t(NjIWF?I^X!(i!`Cx7vno$v){P!op*~C<2(Nronw4I_Pf64cmB;OkqUp6dY;u%Es3U%Eybfv++gPsd?`)E1iw+0V52}N)H``Yrm(J>op`x->P>IV#&_4R*)Asq*h0D$O;*6TbNTmi1r4Xi z-eB!|$;$W^eqywZNh5i+W>x;(Z>_yeNSdWh#+vnJ$H+sAoa}B)J8(12zf-2#Z1(At zdok7aA*6MA6ujAooYv2$!OwE8SNDB8ZVDB1;M&e3*l+{z)y_qzyoJJ9?S!|o6o zG%M1O7s2C^>@6_6NAPSmf;8kVSSBa!*Fb$6K^k%wER&PaDm6GX4Y>;<@uoh+9wV08 ztEM3@f@L7mVPg&Q_7#)WG~_P1?=inuXA*6#z%NP04OjyW-=Z5$rWbWG=AfEhL__Xt z#`K~CxM8XbpKZw1T1L#R+iUC3ZiW~o8~+%RTI;FLo?EmS@f$Sa*9N=oS-wKEKJpy& zdCRx4B0-yT|WfkhCdH?kt;tSK64rw^?sxj+-d^c7GAAH3UO4C3pM5 z;2nu`{0G`?SC~Cy=J8mbRb(hsxsuz#^e-OG&S zsz%>>53k|5W$lN%Osg63oUS<56%{h^Nu?jTRLb4EVYt`=rAqRjfl|p}C!kakhcz-< zlLQN)+>s!bwP~m72FqG<=CSZ6o1GB;VltK#)?pl z?Zv1+*L7EAsg@A|n9{=&yaEGaK!t2^P(F|dJN znyf2zB|9@aSly{{U4>y5qWyEUis0TAAFM#B|P zfCk?#xkgbh-w@2C7(9Jin`)A1qUQX0!H$uLuAh8uBJwpcr`Fc=Om#YXdZcllsBG3X zkH$!vB-1l}aa?y8P|pVtxJUbzXz=YvM>Ut6=Rs!M*5@h3u6BqE5SMUl2_Dt;(J0E} z=u%lzB=xQ5AiRaGMYH(^r$5Xt17QS+Ptxco&VEV&OLUMvd~F2H$Ho2c;9nxYnvHO9!sT3Cng0 z-f_OUEDOOr-pzEuU4vH0cI+=D)3MoYFNkavETG$8;33Y-i6Kg-gY(Tb@VAK!rRTocAfX{FSgb7L!jQD+i8ECZw6ns z>x*qwQ@)irC>@{Mu|IyWyeYZyT`6npj_;!nELbS;a({pzhTTh+SsoEyneeXWD ziZJ+6x#_~(l;^mtysZvYd0@{x|J-vzdtP#w0n^hDD?W1(e9oHMr{v0l!;Gq?QZJvS zrI-II5&ONtF|OLRu(0zKnVY@$0y)mgA+hx!@t={JB)+R@ORnZdlhm$XZJpWWi3(a2 ztELwJ6%K|=6SCAISr)1Ul=eF4fJHlWV^qwTWic-UISGSAEomovj{TDM4jM*&}Ga{z?H(- zG&Sh<6$JU#x*%Kw>(N5Vw)!0*$oJU=qe^^_oRGK(E;!FcfY&RYVR0?_K`piWxrPB` zCo`PMvh#_rZ&XIltMN)HYjdGx;$iMs1zy=#^^4Q~d=S#{_ZQh(66L4}ztvezyVIn^?sSl2OMaSS zLZmtD{K74J5<_8CBR0Z>ECk$uovmr6sOY;P;GTDjsNY`-j`U=b^dk&wv3}LVG&E@3 z;c+kA+Wes}xtuKAvT|T4v$AQ%Rtw?QMQ9wMs*rF;V9B21y#?waDAvUUkKIPQns)p3 zPQj886zgJwJ4b4EhkmE{O9)bZ*cRq@9hiDXOuHG@L{YO8!2>e&DmW~OqSA4}9h2B1 z*a0_J!=`6tl?g7`?=}qk86*=vXOL`PK~T^nm)v2-hoa^H;@is>W$u!P-J0E8SaaWD zKv}aUqXd_Hl)|lIauou)qCGk6aow7%b};AIN6oB?c^qzAw>Hj8*RPGUzp!qkeR~1o zpJY8jU!hsAG}BegG9E=z2m7lsu{=eyiJ|lT#7}?nfAxdWprWvtJKqM9 zr9>qd5Lz@@0(3>_SY^a&OzKfg#*vpga5o8=U2wmK&rNr}2cp>sN-*t$TJ8gP9(tl( zF$L^Tkn2EZ1%v!xfMX|Q5_%LmN)!)tbc=S1Pv(aP7%R0?dz4w#&|nR=A6I}_Wkt-C zYA;6AqyLaBXHy-HxytR5>igQkcSxhUq$$$)B{Oyma{vHU)jbAJRX^oqcoK^5m7S<` zec1k8^y97QM~B#K0)aoccblkOijD5D*hsb3nf7NPk-Qla$)}$D^uPCyf<#h%4Y%R$ zMPp(vii#z1$Ps|GzbycoM=nJWwMJ?mZ4C#|T(IA8mLP2Sf!WJ?#T??4Ds3bp%|6D8 zImCfMR*Q=O1_jRbiaEqV2@x7)_0X0Fj}>!>bLi2Js*wxImYWrGZwh)*84EGQ0To6g zScN#Kz1CsHd*m(K2AV3wIfAi59SF>79!Ta8XTJpwq(|=@v@DUBU|N0~cRZ?Ed(Xhe zl}r9NBxN=sbD)@_*j`b-KcJmGFH3St)-8FK#Rq9f<1HP3qg0v}# z&I0GMvKrlKXE);7vp!)-nE}d?++A5x9=Bu_KU{}9&$~r!uzgpzh{t^;S62VgkNn&> z{6qj2dNT&jPPeA@0Smylg!-Yr^;`utmT4o%hv-~GK`_iso0xK32^_Hmo9#MW&X7TD?o zdbHKD#^@!Q4HxW>2V@k14jfi%izq{oM%@MXKrW<-;DC~UwQeCuqwa#%Z2*SB^~R#v z5TsFeLD*Gx4I$x#5@iMWLy$(@1$X@swh#Wq5htrrZwS(;yCDC09HnN@5VzZE1(5RH$Q zQPv-3&X=+nx@;zHXBO;R!LxmRxwBO39P>FxCeDY_6IJ|lf$Vp^ICSv9c&p&rf%}yB zMBFOL*%ZkQTGHhS#q-k6B@?{IC5;=7t^O->tJ0e3VMY%@zZS&hcvuUiS#|XEG+!Kd zThy`PJddb*7(6NIalSx}oYDsz@qV-qpWr>^JQcyhnjj~rpD8$s>4ClgFvPY#R80$| zT=OfXCmO%!hK?_uXplD4YhL#`9jU^X#|S0!&chbZDTGfvr%{-1D?G%5$S1}Zte#WW z%_Z2enW?FGmBE>g2U@Kka}z0__gDI1qLF zbz%SRjtl#7zBEoip@o&8Lt%easfkMc>ilIp;IOvOp+?8hrhuItEJNu%zjnQLA6wwI z^?pWfLRXbdAZut52xRR(7DJ_e`Ugm))%ktetV$|MJ$xw%j8Y7`;~r5BOSG?$o4qn6b; zv0>FVJi@A!S;lE5g{IXgsg$|t=msD5X~J##CZP|=mz^7>Sjk=K!->*qxDfU53?Lg- zp}F`NNde1tIzG-9j73GC!Ff50zgryWw47)^S77(?W0eV1(w{9~>yz%O6<1)DiWsly zqU!O-IFN74&;P>Sw?1CxhEU)%GA*gU2Yq>H)ub?Yn8e zhG}~wk9Xwk#l68Sn%2ck{w?dAbl&iB-3#?n_HUDWi>Pl$bdzx+CkxaLX7X;INO9uE zP-3@1%5CB2c-*dlr*cI!OKa{t1e0%zAC>KLyJ)z8rd7cDp*6W zs|9PT?I8Y>m(6~NZKk~5)@h%Q~;*dB(h6R>S@)eR;*Tf$ge2tRpB#rcJbg}y2R}u z06n3_+pz{RrXZ_vv5=w0+cYmB&C0Knv}V$yZJM*AZx(u#a|q5UuT`#!w7)darW2lG z>yo((X@9VFRd!_4_|>wV6gORqv?)JN=y6PCIFt@il&__i8+tr_iyqK=bB5j(wQi64 zZK?Hn%a5t`-+04!fBZhwx-vq$S~q@ZxK>D%Q4{u1gzAh1yE{Eg7epm25f1hOudTp0 z1gX|t&@>F5A*6-WVGKd4br;<4i26adqGH&5)ez)OcR@h8Naa!R*c)$92=ey3AgLY& zuR)K70E(Feo_F2_cWB{|6?eO>Xsj$qwO#}dtE~yLRE5NFacEKNE{UIyZHg$Kf+e65 ztR(3^xFjG-UNOpHv8CF!mv&OW5+gBh~Oi2`*=R3@lS z25pR0pZb!M%C7i$tp^!jL=~XwpYv2+S;sNqP;~Lh^{4-hZ{m}G=YQjyu}_pr`HGRC zU!wf*p4h-#d2izUC+}|%w&TIC+^t&<+u>d!Oiw3#?#xS^Z-y~ZPkNTSD`8V|7b__7 zK7K7Y!+|``&@qn^+AkdqOGm?p2Yu>ye$mhV>Gw>d+=bciIG{qyrnJB}sO^WnsxfKC zBE_a`Rv3vvI81#9G#uaicM=k!NAIF{B6?4h2&;Fi6D@idghUSs5<+x~wb9$^M3jx* zR`lNc>Q?uTe1Gpb|8wRHJ7(?OnR}n-Q=Yju@WJYR~{tIynq>{({ zcx0(+0r~l3Kj(8DQ9Fq$k+lF#2cw5VSXq)4UBF0;NWbBP7cS<|jjAnfTetOmV?Sn2U!>5G5Gl`(n-|uuim_KUYbXV(4u}VTd zz50UVWf!oxb)Nbr?&EK5+1LUVB!)pritC{I6NYY$EP8kM-z(Sxe7`qYnSm+$T!|Lm zHT&S@#!H}pk^#syPAg#Y^GEZ$AF+hX%%e#SIEn9ya@@BI7m0W1E!zqC&%>r62zpGFZpPsh&@8w9}#geyTsx8 zQCfsc-)ks}*XVG`|1&b$Vv#0wOJh^w*sm>^MZ9`x*WLatqxqA0>xc}IPhzr}_n`21 zx^jF`9@@4_lgtnP1lixt47Rd&K7?=^CCRm3EB|7Y!sh`&p3biOD+-Xo|RsFRGsehZ~cRu?JLAgQ#bdG9+#cz(}Oir?(eLksnb>CASL4 zV5ZL5Olxc9=5gW?if#3Y&h~E+i-OBro^*feNaVz1>YYzp4%7luEtNfg`BmicTZ1G- z$?G!7ETAjFm(I5eK3P5lg-F-ZH+`henAuV9`Uf5LiK4QwbI*!kXg8hfG zpPkk{lI*Tcu>P13C}|X$kw0z8f->+-b%}hD56T2yDSx^9(d~=XQU1b$vg-5j?#lEL zhmc54)}z3si?XE3SC<(s0rCl=3*S#Au^j1h(xi2>0+G^#tL5%rwt~|XZASi^i~6`% zB32;q5#By=;L=*++l-n#6O|4UC&-8eiPJD!fDimYvmrp?7m| zS*-uPQ_i=;K$bsd-&tdokDirgzB^c2HO>m6!3I11>7)~g@8wRQj(uhPI7w{HCv`e_ zQ`mf8OP0v}Gn+3DW=25iGCVao?a-G%Ab9B&r~5Wk>AQuIB9H{3HP{M!xX^&dYsW8K;I7CxBlDk0oxoFlTA6kN@^L$%+oyFxgR!cT35N|iII)kt+Mjmih!yACI@evABG z{zdnj_E$?R*`B8gYr$GIGqxK=Grs(~41KE%zR%-wfCU)n@@yyK*&S63OjwO(>G5Jo zg@Zd@{5|dbt1NNDe4xHv9r_L0e7g0Bak@-)y~Z(`gl$xGG`+}0Z`^TO=If{rFO6h? zpVy}k%3k*5k1Nt%qc)6USIbW%2r~I)R|3ypR0MopsrY)Uc%m~RQgj06Tyg0ANYwUM zfFLJC@{43E1a;Ao2Y{UDh_ccng}H_0b(W@q0uIf%F)~NxSJV4 z2JA4E#!iz8V}7d2a=PBDNzKMctDGYnbEBH6hjHqSQEy3mrz7sBRCshioa8cXUNB)w zgfX7@;E~D%+h9lZUmC@Ix4&z_9$JpX5}3drpG75~rVARFJu9+t7-{T>n|%Cquq z#mJWgy`m$XmS3V{(&c+R3nErd+IGq-XTOct+S+nz-O3ZbcvC!SYg~3nO z`*}JVVMVWQ8-?D_s*EH{AN<^V8L6C_Hs#c&Tt^Pc;gwzNCMXO}nmM0c9ax{u5t%Ub zSQkx@yp6g&4EFC2XfJhK@fBW!Kfs0jAc#~cZA*W(Cad>jq~Kg*`NxRAU0AgHhI^mG zo0|kor-;k+^xH%M*gcrV#B@>8hkh*{I?+M(m}*R3Du<$Huy!Bl-x&EdMr-Aon09T- z*Nn3?QO=HDINww$>fX$s6eIr(*V;eG zhpl#c9NHoxm$%UJ+N%}cm*@NP8}VJ+vk>|7#cJ$dgoyRVu>+3PzIxF z1>Z{+K=v*WzQIVEe78~hm&{KEPD!1^9*AIgP}PI#w)|&LYu_xCV!_#Vc{fxNPZ3R4 zfAj?Q^e!z3XcnN)&*$fY6~=Yy=+)1Qj((r zT+|P{to6B%c^{&iS@)dr@8wYq?6lVLs^BXuW_K30cH#_#HH?xyaj%Ydj1UYc=jO`u zo0)X`A?6h!SOWbzVI4Oxaxp|T0GA@WkLY)JE2lY2vxbvcX<8W~IJ_TakJXB&j$E5Y z7>&8H8Cpa7-=$_$G_!RF`VtgLQ?a>c{@|LpQ6Lj$1q1R zp6{hNgi)pVHAU;g&^6+jOt2bph}Vu)C@h)7Al6#?-aWO1BkuX zR4$^HHxl^d41MHHrY${}o@j1`IX*Q<+!lBWyvj5(d2ktzEAPeMVSmcV@kIB`<+Tt6 z`{G(g*inT+02aB@!U4hz7`G1tn(1~<92u@!<5Y!9sBIdUEdEbn+BEs%#Z`)e|%oJv}>~xOLN=HS1L>5z2EbEB$62cIl#O_{}i3eSe?H zJ{3fas6KMqJIm|MK0WWlbarRnP$BiT>ag42JK>PA{?*r?ApMtdf6v9{CNP~!gJaa< z3;dAR8gGEx^ZQ(L*tW`Ahr6jJA9UO-9<#58IIJG~+WR%aIVe)pDG=Ji zUQ4=&)Wly)9ix}N7xe-1EP(ZSU$W`P-ybrR*bz-LUZ*y=9Nvu4l}2ZfBR<&n}IHIa&|KN zJA9|WyvrTHlEFJgQT@Y8AEpZHfHf#^5?wv+p2*!CInI%_6)veQ(+ECrjGM1|+~H)B zMIFdoU)efTQmhfP)LfAVw%_<_Vl)Pe%=ue0M@?NQwLLQAKv}A}&A@71GyBZ)kKU9D zm3Gx((Q$#JP{$Kw5Lrl-P-r3<{O7{qJ|OS&%x%}(oCv}ix}bNKpllVc z4^DU0WF_rU2Gn!!`cL9`loaEhq5_cjojsboGhLx+Dk%PE!E2qr!u>Je|yR1`vd7Ax24*qF&2z~Cu~h{)>$EjwhaSx zBJ)yx5hqh-0J(N;(OI(GRT^hU@OopG-~2rGm|OUj6y<@`Lk?r3?B6`do`|(6gD3%e zshI4lh`lk#WhlYR3l2W)+KkYy@}(rW!g03zGg#US(T_&;9vju-tBi)ww4q_U6Tct( z6UDC;HzG^fTB<|T8Xk{-@Vl2V|KwIG`9!AH1wy zLvTl>^_j^p$tQiWi=OAFhF^NB_r*s8{xp=*#;kU-P%6t14--yP*kZRh7_hTH@q0-cek$Ucm1=aE1-_%T6-$>_%f8`wYHd&-Ps1O*{ zqoR=`qmh*+Gf4E1e3ht*8SXm!hm4(FZ=Hs8)yF!aut?I&L)+UsrZiLc3(!rvrW&XW zK1@REbGS;MihlV5~IxwOyR zC_&x2fgQsUNs?bz_uMlazpnAh+J3$1Gryq;KGR{+9SVF>iwu|AjU;9)VNA5juum`p!ewQjbw;#SReBrr2# zA_UdIsnQsy!dLdmjpcT?oe^@FhAJ~kaH z$a_P02~*0;a2wqSrkMKF8RpZLdDW?*D1%-ZzdCjdc?=%(%x0=pJmM5JJ6(J}lb#(Q z7UfqV7?z>8Qfkh<*+-xnQF77Eb%GLD;0d3BMhD?`2xA$!=5Ym-@^FMioNjjw4cfXYjIHu?f3t=jJaUmIcA0JqyZT|(~ z?_(pqrq|eC%kNDR@L0t2cJic2_9ra|7FptSB^mq_7>dU^`3}sWpV~h`JpIE@V)1st zSu729R540>OT9%65j)EY2;MFWzHnT$JCK#Vb<8^2EPIHfDU+)jdHaOwxb;Xjc&qld zKqGj!b7NihklXxhv+R1}_PA4_K4d_1nqf4l50ScXM9W zSoZd|xcTvw{>Z6pP~OKdh2g-|^&DkoaYix~<(wgb1kP{7tC~5lyJ#giQkd{dA!5Wm zJf8X1JwHg5p%;7AsLSSog3O@#!S$mSv$BA_!(ZD+Qrku&W&wNEXmzd=yauleemeA| z^aj(4`k}erO3Tfq1|`pFBge+gHAG~zA+cvsGE~_O`-#cv#f|CHu;48t8w_lSSeRxL zvCR61^G2V^%NF=;@2^{*Ird0{fyH=EnVq)E)?W+f@MRtTU9>s)pisPtdc*d*=h5gb z=yDtFe+^8H)?`Z%som7cF922Gm!Sr~7~8_j7ZCeNd_( z_HBP}K6{6jxrqk2*YOC6x4i@607M2Oa?Ikk-&ELtkaQ|bQ_H}cA*4~{^$|)IdAEhe z4GMrRzxbOggSZLqHY{kYASjXs6&RAFPn)Elo)naK@q!C6Uv=`E*l0@9O-C9madRH+ z|6ikiBUPC5zheoM2=SCiX?pnY=7`|I>h@OfKRX~GZx9Zf=JZ=_3}oY}Q>;~91JWb) zG=(}F7Qc(PNWl)+B~nBZKMy>;JqOp#3SCf~LSg#!*mPeQE~sWlE^UTvap-6d`_W#5 z$A=J_jm%9t;eP4Rug)H*6cUNz zDu1d<|F*eau+mP#0*d!dGw}(XCqAfv$OFgYRdi^9EeZF!d1~0> zoKj1Qfmsv0k1B5d5rr&^+-+CL6HCk&v++lv@O8mLn|&iGQBtiCAp`{OHn2(aQ^<8@ zHdoalM8_dyB;gmOwE&HbyMA&GH!lUf!=|>8JEKqxE`(}Cj#(;^VG$zKChrgyiPZEs zMvXp~#@akA@8 z+9!mg|A?I`&&{{wTPe)>CoPgqc)TS-5wuWC2dL%daR536n=m->l8>CCcgC&_>HZLH z3ZMCYE70pu!|PG;V%$UJ^&_4d+QnJVU#r*%2qt0^)y{q_=qoEzR4&)Li3-sfmJQM! zNOV&(iAA9vtFvMgq_l00Pt?);HT7edHFf8B>0Z|uyozCCXvyk}x}*mV)io~ZPVICO z*eV$GNYDMaK#L!?X8%-32?HWdjjg89xOw8c;m}$@Qims{N-tZV@tj6Kxb}Rjb6OJSTzkB+B_g=_f&Zhpa;6ASiuBmd`sD-82x43Yy-@5u{96U&vqqDy$gj<4*K3&|CF7 z_Y}~&>63QOz1oNH4Qb zF|_`OkqxR3&@b-7VsZ?x;;Uk0nYgaLV1o~R=3KN?RVz#G*AUh1U4zL9=7(X)bvl>% z#|f+w&LXV1e%3D0{LyWCqaxy1f3+h+Dk0W5?4B~@^^iT_cT2z5p z7`7d)b~tJ?E0OGPbh|WJWIzNu=vOM4{>7Yek&z{*I+`^7xmr>4SNSOP)315-xr-s?aAaR* z+u#o8e*xuLUP3>(28>CRu9AeffA!O=wa*0(_ic}y#Q{qgdBz$|6-~%g9Ty6|2Pr@$ zF1%`w50*(9jGyOk%l1XImJ0rQ?wi)&hfkta+4;`V-eGT?DtHJP-F~;-n4nWQ$U_RR zNw~>v8wbOnxtk*`R=gP^-d8`N&F|P*;9hPu%XUV78436*pQht#6jn^ZZI#ao{?^fv zXkB@goPKtIIyeB=H`!N+XIFaf3>XuS>M-4`FMkjrC8$u?Ks4>J;371VyZMJdAPamVTVP z>s&>SSj>zbk?u@)v@zKk{QM&-uoXDK`~(`n;M&W6lcSVBe0K}E2++qGR4yt9>oX(G z3ZFQneXOh-V8JuYY8#nAQ0Qq_5OQ;~221?1eMbUB3O1(2kKfv-q;dEX6)7mpIB-*G z{dsulnh$ISq&<^P*XA5Pamc`7tV}I~&Ph>7e&DK+qAwR&*>a8xGLxdd)%FCoDXic( z-SV^LEOa$iDI9kgh^%l7D6ojVQK4uYRA5pexIo>VE{9Gj_wp#Xnc$Tw6KRtejVe#-Y$NflU(g04p;KBoV=!@Z|!*R+z$f6>)}(W#8*tm?BYQz za?mIAJ)!&iwRkm;LqV1zS^Bgp`n0lKfz0Bmi;Ed<%fm*e14a^cm(_n%!&=u@(|$l< zV(DZl3q(vV?`z0#7oB~Rm#k`*RKr3%+lER!t=Z&U=SuJ$9L(J!A{XL0!GRi_D9SUm zuSC=*O?N}EyWy1NR*!c2;Kh5shR;Bv{&W{b{Oh14%vku1rkv$%|!FmVJ;;5aq8X!M8&@P*Bi3r~K+kH1!JYdJ*AJ4EZSog0^ib@?(% z9XI|IYK6eP*3-tHNj2Hb^FffLk#`$XQoV8&vVTpDn6QO>7~l_G{sV`>-kF}dYG~-t zX6B1Y@lpr7(h<}j%uW)Q-Cb!~k6v2cG?k;R(n2+;V#vq{CQLO0R+^7of=Zrb!`=by z06x3bblE`1;bvr;4~is(!5*tatHsM@+xb7;h?gpeBlv66R!IkgCp@B&U7S6k>BO#l zEiL%<__VSfDm+3S(EvnxSpbIVbSrPN`&x=|6R>i}GOV6VyAlQKO6Bxr^T39@GoZPa z47yP0_djwF097GLt(MwgRPK9?8?#3q4 z`Xu*Yx6yVvXfz?y_A}RjySZD#QCm>b#Fc9i1#`tJ51mYn26OD?4ViUirJ+5LKkPR* zV;Po%h{fqUej?%U{TJQtWde~p-J97?D2P~Mu^7o z32IQKijMu_$05iNkA7GdB6{dw(hPoi8%H_P8bxj_w(=0ef6t||mis5b^?rm?D{X&HK$BD?V}ZRiZdoHm46y*?erwkbNt zCgf19VV+BjOo5fO*=}pz_b+S{XX`KO3En!bI zb&I}@h#7^Jy@|41jnN-MYMIxPVSuoXlA7c}mwL1Mc-;AuF8JvxlRn1zEHqwb^Lx&rd|cPb z&O@97OY&}pd~@gO({Tf3L0kmAtH#+)wLOWIv|5Gl9gq4 zFR9d?!`u zYQunpf=an3l(WT1((rtVB&3gDfSiDNdJ`u?W{ZR^t&X-Eeks?bExCyTKJd4nkNCVTTJE(!U@j={#JQ-#qxx&1==)i1Y z08js8O8qqaDC0;vht`rIYOOEZ|kY5T`oFz+5MWe|1 zTUw*PFJy}bRaq&z0eOI}OhuUMIZda=bu|s|?&CWWmy#2N60FyLKKY)FO9bKI&}!*W z*0kJ7w8kLX9ZiN14|_}i4U73ziQnSa-6tO-vpLAkTWnqRtPIgV$>Y@W-sv5u%wgt* zF8H~NTk9$-f-1Lu73#P9J44l>ssT(D~p*1G`bq16v7;RVsZe z4@pprtFdO%P5q?l_Y{68zpRDAB;UxLpEUsnqMibMsAE{k6*k zStmVVw%R>?>#1N5{Vd>RIi&Q{pubOf&a?bzcQwq&n_u>}J z{SuB|Y9a%6$e6UGeBzLo87f+jqvan*>pUK`3vl0rpc=Eo_CGuE^OT{ z&bDa{k6P~joN`pcgU?T?j|W|yk*@b^{&M-U_oWDm8{kv)b&W_KPU#Dw~C)w{`5H zM#b2KVd}r;F7<5p&Mt6*E7djA6Wo-9Lil$AC>-5u4 zSb5bYav5silp$VtHM=Teh#T7qcIRMi7B;pcpnq#piNh{+R0%GIwugUlwgI~3f5SuU z?PRx?sOxUAldF;&mzvu^s+oU2ah09NU&1keS^(<+gAyTaHXBZ+?vF$fYX1XcKJZ&<%KkowId z)EPtV4xzs2o-@_MVPitzvgFwN(Q%Wvu@mq}6=;~#TP66n{J~O7iAwx;jhn@3oV52C z#&X3;zTRHpX^O+(uh{|)Bu>suLaF$*#HCX z-=P^76xNGsq#qtgQ4KdTMyA`>awau@kAQy1N;<_Fdj^8BtJkiH1G2;F$8XD`r<@y^ zcjRc7`1_kiXF}D8xPAe&s(<=))E%bKr*Bvvshx^Q&QgE-1`w2HbpTT3b(H1m=B8n0 zZu_uqi3sf8U{~;{Ss4xlMRHePCp0F41EBY@QjXo&)?vv5?m}nwl6sW{{MaDR~mX%-i!7_W@G-v zVv)41kVFf)FU7;FpD|*FH@T2%ociZD@H&Cp3;sx+HvR^2<* z4}3A*mT|D@94@(>tL5^sG2txF82ol#xEA!fq~Vr%cC;3;vwt%=S@UTDAb^oXi&W#r zAe}j6G)1|W-yEmp2y&Qg+7@!Mxj6t>;Y9w)f$u5am zBG#!4AlkDftSa}zo41J93gXc4n8BZe``EXWvu*P39R1TfZXEqI+SlcBix9v2(02x= zT9GQasgI062@3$E2PjE$xRP%Dwu5f!9mLlJH$Wpec_lnxmnqF;c7E6dP{ritKo)7v zx4%j$>4L?f4<*f;7{0I33Hrzqyo&~8EP01+!fhP&d!+o?@Z;ro^HYyz=l6>9U_d_) z2RH)XReieNn_9E0N8ibD2%u2l{MMOG$tot?mMg>*%N^W+!TJNIrFgjvxQ~RW7*}MC zXJntlD8NB2SC>AyodzStP|nG+P`x)Qp2tM=D~T&hoMT8*)W|5;bLdx{#K^^&gCRv) z>71LJy>#k`5c%%tWhJQZmDADWab=|E(PTQb-FL8RRB> z6Z%fN{L|6+{XtmdYDoc-orD)_i@1!<}dH>tUf&w!1R$nC9Ip)tTBqCqL?rY--uX+L&8d zXn5aUDzNpy&k5OWi_*kF0fVE15i_8h>Tqd<_zDgIBT`YTmC@kf!KY_VvJft%I4>l| zsF4XL067Ns#k>pg>svvNu5XxBG5<-+39bXYY9LquE3ShB!V=gvEnv1a&q-zlH_C~f zk679VYMnFp=d3FkYaP>^(qN;!A}i$RhL3P(s-oA|k780a{}%#>%_qGvP;HSRc>yJDmMrhymK{n5rN(pysBtrwA`Lxytt038*Q z-koW?94}bd7jnjL_r=6_DFb*a_4bB}yo1Wfaq!#|@2@rZ+iaiSgL#gW}vYUvhFQhhGnxcnVP` z(|ifb`lLtD$yC85E2Tu(Dd#Xv7lqiFJjbwwp@QonZ4Hjo4a{}*S*AzJ8KQNM>`GJw zD{u%&iiTbn_k?y73Xv4;4~=jU>}YaSM+styRD~MQU?g?yi zs}MbGs^9w`NG=hwRym{6kpl!Mc~DkWOvdd%*8PKrk6fs7NK8pQ2z$5IU5W^Nhe3!Wq%0L$!_^SDtRa?{hN{rT8%e`oQ`IS>$OFO9O7O)M zQXh2LV&*^+&%`j_Sx1riuC}B!-&H!E_bv86*^&y+*WiKMtzQ+0i4uK9IP?9U+hBPP zh{xfjeIi467l1KNSHDeZNJVuL_+hk6O0+37{E&-@BIm@!& zeNMK0MbPF{T^TxZuM7QISa6MI}{kLMyNXfp{AR>t%w* zTNjl9Iyr-Mm4Vr>3xh!;Ny%4^L6X3Lg0OP=%3gsYL;e$1Noy@*f2glD;`{u8FWfZ(?o=+AZ|-%)vFs&JpL7b`$IuJs zxFucWbh+IgF~0cCg_{18a6xp zL|9dlm)i9oAWrwq0nOA(F2;Wj-jX5tXL8Xdole<0$G3WP4u+WCaViehAZf|V4K@D7 zNu{zag_uo_D>xUf&m9O^6yv@6UmMf!r1^92E5>ju@WBHCy6^sNT=`wo1^a8E2Itag zsqbn}D@VlVxLqgz@|?rtG6uTMMTs)~Ra>_oU9k~PZKiLa6ocLSxi74WO+~ZCs%jU? zy{PL4->A+fnu-$Dx@UFoNK1?_c{MF7slw!ez_$dBWk^yN6$=7i^ly$Wk?N&rR+7W) zThG?gpJ7HykA6$iPn|UOv)DYQQffy!5Oxy&cc-lzhC@PXO%NdzQJZHS6uerjB$;Ad zy>o{jixo1tzW1|-|9LE-Vc!57^3s5$M1|Qh5vShSai_Apf%kQuXYc>zG5sauIha&} z(`dIp98eQWH;*cv(>kjQr zo%B4{{uT4-wS?6u{ohD`k?2Vo-}f2(cDW0g#-`@A zyK$8a7$rR|?6NZ!ox9g6S@f?#uz87eT$>R2sD0=o-XHi!z8IVYjHF8LU+MP^aS6oT zTTr}!TM8s8MjgNA?K~*7tjPCX?`zKL47TU z?h8BTF3_p@K7XVXw1TBbC^26sG0DVZfQ^f{uJ(jN7cAkPfd6jpd+?PyD`s`2qg#ST z%!slEw>ayW-R|3x{?-Oqzh_9M%hY35Og-~KDcDaPB@PKxJ)EbV*B(7SfG2uq>@n)x zc>LW6YfH|31f3Nk%Uqsa;?qIYF%Z@vQVBvXXKf0P(=%Lag7$awD;3p?#i5Eiy%U?+ z`^kkq5?%A_ar%JH0RNOhpyC_s#wg9c1s|^QA+w7mrd2s=lx?Ed~8=Pr42WVNvJaoG?u#`76+iOiz)jpthElNfq zL&cI|k=~I*KP3~fyd#l!uX2YQ2uj3=R;DcJWMCW&?bAD=m~Ut}Q{|=^(d{VdtnGB83@1I_+38HTZ3AH{U&-gox8W z=>h#^7QhOPjTuya6|xQsn@A@sPOmjNILDULt&rh4vI|@lwjTEH^45EPBVrn zRGykh!b|V6gzlj)cczUPB2L2?p^y%AwmTL3_5$Lqvau-AeKYM+#lkM@T2-^M>|6Pr z@?ZMiI4er4G@35qGx8W?`{#%6W?VB&SM2A@CYTDd{Ugn;zHW%H0oEM1#R^_AS&c?j z*KS50HE?xyfPYKV+&2`J%)|oOTfU2Bb{cf3XknlVBQj27Afnt8<Iw+g}ghY$! zj{0=vWqndeR6sR8Lv7o&NhgG(8W%Eq;&w`|eq?dW&gh&&68AF+ORS$?1f2-5awUbN z-F8t2jXPIHm?NHyR4kO6xR()tG;R?|dCelBq5}DMKt2+A)sCu;n9tirtqbOp!H}4d z$fkMM%82=uKf*pofbpBUaGXcXue>hB>$g5Vb__p5hk{2&NdKJ~9e|ruYuRL~5zk}v z);r|RM3K+;L&{H*u?1v$@ZEKuq&o&NS_U^R|CZAE`3;k9x(W%c$}|d2q$GeVuXJTj zQf`5KJFSV=Q?f69Ux!*;@!b#XfR?v3(cQ*rfor7D6zp&;6+RRqVN)`Asu+0*bzdy- zX_%I;Azq1-n$!=;c`}JeSQM6bV(p@lYH_n7k;0LTK}dk%oFLV|4UNkJlqWk+rOI0htM z0($OG{juopff?Dr_i0HUZS;+s<$VG?%Sy;*g-D5d7^%8xnGDV#I=PrY+34Mga4Ko@ zty7xIvsiZMWL!W!0EiXI+O%oU%f_-sGU+EV4~RhSmohrZxA{)Xl(jb$k}@P@jV96q7tDb9eeSf#i6vzfZ4rtbvFiMOL_mv#^q^^>l8ZOSF;__|A?z% zXI!85VM*L^S0zRDmJpc}j~#CKFwAc9dJ*VW(Ic}cBh7uLFx~w?oN#FFdgHYF&3=5# z+yDG<`TX+n0R78Hks7q}|GyGwFVJ~=WB6O8=YOfuVbhu4mP46}A~+!0bcU$^t^KFh z4q&jKg~O^+523AO&}W@H#~6cE3BO$hkKHbJXXeL(X64eEmRY}9(&2TDn&-0a0P-e* zLfBdrtIAHuT2(0yut2*5BjvI87Cgb)QCBw=OGI++h7y4lDW>u1sux{+ul3UfcmeK9 zJ>$AdxmaY52l-#+Qf}4d8x4IS<6AM_5;1EqV1Cx0ST-&Szd!x;k~Mns=e#U$oyU{8 z1o%RHu25C&{2x#*J;2*09<^x0dH0ylrDVMnUSB^|V_N$*iXP-Mt6R-!F)_syL zSW@50t`5NtqQ$+ev~TWZs66OY?9(ketwxl5&gY}| zD4^s4zQFE@-`we+tJPe`A>@CTk>Xqxo40wFW%l8fkE3pD46GIw;E(&O(pTg^!R~v0 z0FLaejy+IEQNE0CT+NF!K>O4HEWZIP1>}?d^w;g_%oCRL7kN|1XHR?xUmK#i zjq(4DlrZ(OEf;h!g599X3HG-^wxCW@~`Q?{N zlf{;!AZBqC2LUTfhye*nL$EE+?J^M$X*hI{t{+F<{j(%sY)=b2BKYH9Rp8yWCSi`8t~v+(6e5Y)*X%YYMg3CNn0blrCrHLz#W=uC*lKo?@65WX zsRpR=U2Pj|glj4yw#BO!;|KnKT)x>Lh`SDwD<&;3;&1V3p+VG1o?T+CX{Ij0bFglS zuEu-4`d1I%Sj_FO$r@DP9=Or4tS<6P+o$pAH0OhY<{c|(#AYUtFMsZ^Y)i!j%sMKW zhZ4$EB|*w>9{L=QN^(j%C882$<|GJdg|L#D0MoPqORT=UB7(IsFGsQ_jvo9Do(K5U+eoPNQ;> z^4ckyIiE~PHS$Yk#GqGO$r$hkJN%IL1eIUJ@j76M(**gS@cn%u3#QNN9%96nL-UJn z=U1(09OD$9f1tA~8wY@MrYbfN?}>=dS1b!W1{|A@ep8 z`E>#Bt`fq{aO_b^pX?GgP#FimBl!lt3A=6KguBo0N>LUW#S)2UMLNddIfPp$Ji~`- z$dM}L=2{Sqf!@`KwKNgHa{{&d+3y{2f`e{srVn;i+l8k>Tm;)0}_l z0j3%=YsZwo9P7DL^BACTVD~@pt`E)6BjS?%W_EW2QP zG6yN!SiQV|!50*1Cl;jcUFLI@zJ+rapLu7231-0(4C<&&C>SViJ;-e<@GMT@0sA_a zrl`uq+Z-RtqL&ZVnkp*#2k#;?Q0-=~?j4?`V+z^KY?Ft~^8`vN0-aCmrhJ?%4ve7e z=Q6LOdnzGYWBq>kMt1Uh^5XvQ*b`RM0Gl{Va_K^PiI&H_IYjW$J-TclySSCSaz#mtMpaxjeeSGxiOFJyFaVeB zLelB{#K?dl$GT&;yXZBjthaL`P-a`zmUJ5HXpc~Q z?xT^u32Sj&Ihphh(D_~*$pASd#ah>;AZk2sw?rxq=yOopsjO$FR6*1)}_%F}l7IseOn z26gQs1qr5)Cg$SrTKJ-SxG4)q{R^30&7j(s5ohx~&rQ2V@0C0y-S2YS2S&8_weM=^ zenf>u1aJ|~==>{B8(QqZ#c82r5}OrFjtY6{YRDALKO?%HDXymENLVF5e2~>#Y^?BK zCZd0zO&6^`=VLJT!u~GDX>R14zG{1aD2;a^7U7VJQGkIg+}%0-v)??HBpn*`efRW_ zSHw0Bq&~(!oW-h#VXYK0W&CUM{W!k0qrjcA2?(IzwNmKHLQqm;={FtuJ5?_B2XdQh zQq?=T{i`$(>Hr&q)1gITEPGR0x-kqtm5|mEKeaV7(nwIxtLFx|o=pPDZye1O-v_72 z4=iNtlqbvjr0XgCiwc@xA*RM)kTFVZ#Uu&%WzIhW*@WwXb}jL7mG|rtA3P9zBAqbX zQa$^)?i&wJzLJ>}uZ;VubV;z$oyga|f973YeHIq_*7&v(iAT8j(T=>npD;7tRcd&B zutTxdx#5)Sm$f9h$`Mb_LSLpF;Gn)_(xwK=yYEsm1zNaeA$!&-9nTiEkU6mb(+tb4 zp1p9vnA>TleUXy=T7@8zXmf{ZO#Z7ZBwwu3@+K@59rS%CY|73C{2ZWp0e=9etUV8o z@LGsN^}1`jcff&i(EYT85(^C#R$t_uO^8DP^2dz?E3TN!W@~)Px4i)-3|)*TLHjid z_>TQ&3+ksc6HvDZ6aODsZynZT`~MGrycGcv5Gj=skuE7|kP@Uzx}>{H@)ki9 z1VkDJNDNRK#zrG89g}8sN{5W*IXCX_@1N&5<_V{6sJBHrn{)Cdh}_3-|ijuJ*hltqXH0hC>k^!9>E@H`nH`99`6W zg4^KE$m)E3EX>=QObd#bmX|sGWyw0JJe*=>oEzDT0Bw%~WrK~)YP|Ik8SR}5Ye9bwhEty!aiwhjFbY~cS z2ekJ)302>|GMW6qD!5%|N@dSAGhG$RyyR}%l+H=>XQkqs zz5Yef#jz})P7IfpTsxl6=)Q@@?oNw8?`XC!#o@{+wz_Ay{jxWsekfF4COCx&CkHFcldt#=kxqP^E>V5{gaG?u zX1dP^5@Dm?sF#-5(G&Ui5+2_5o>)+SVi6zI>f!!R9X`-D>-53;9)`&;9k+Fln$TRH z#)^~WVNL-1+8;_3o-s9&Q!;Nd2Ej(Wux)rj6LomvK`UCTCqBbm%-e*Up#b9#_+jZ{#S=lik^^2-r^|`&tPq!V>1@f7UX!Mw^l?uB0rzXmj$tSbbh^Jg&^POJ3X(<779Se!~Z=;ZBa!98l@b$nGc`KqU>YqXYR zY5CC~{_08EE2UeVLQo;|=MT<8RQ_pwO&H08-^)EN}uRevgEqmJdf3tx$bui9H(ti+~f3ywFvq2IYzqzuq9~E>{%qahU*Yv<#i#R z#UE@5b-ZaE1G9`F!YvHqRU_!g9ESjfaD3v-9M}lswMSPxkCqbheCeSV{(34UWt|BW zlXvQvF=%f*Mb&I;JVjhoJUW8n&5mAvK01 ziyyBx%>1ai%ej6Z?s|DEYS3MA+^=vdKVU4 z)biTk)09r2~r(1370e=n4!4dQEN zhW}JW(%dhT2;Dfmzhc4b#7FnFc#n{146Y@_DipuqGUeW~+3wXz)7TcTWpHev>!6LN zHr-SEIF1gDc!{&~Vs_Msf8+7;s%Rd(=j8+D)_zHYZZ!%-*ESsm#tOx|ZezauLNd;( z$n&KXhV0)HT;yD4=H~7`!o|S?yZfSjhA3}&=qJWIdFPPf^QeZR&rwaHLncXBow>LZ z0!c7Koqi{pEMo^jsO#M~gD+GVMox)2h4b@i%KN*=Em8N_Ef|{Dv2v5zES-**DyqJz zm{SmQ7V7v7bdN7Ikb-8D-(S|SL?digpsV&-VFoJEstB#XT&MZ%7wXY2|5fkX(XbR} zcd($e;R`Hl!#_Y1GWTdCrG)QR2GGtfNMo+4-}A}O-tLG}0|!TCH88DA!Tm$$S!s2C zJH0y|n;bnSBR(66)?tg1EfS;NAKfvC%aO0h^;C(b1#KEBt*>?LENM&3ozc4$gx}08 z(^^oB^NUtnZeepj-&0Kraq@TC0qu2jjpW1~|MLk}!fVsg1Jx!Q=AR*-#2pPElT**< z3IW;TQ3ZY&SYdGb$t~k%{ioHvoE)tjZiw%Rc#GEWIY>HY2g+pEcJ@-zM-^-`oX0$6 zeQ3{8@XMhvUxzsUOCGGC#M4!;`@q;DE@IG5e+tlkOn_v;i6 zs{(*hwDj1~$jed6oRq&5GtNT&+|8H`(od+$*HLVfo zm5a)*8(9x-)-PLijzQnPiW_NUP+W~jgA6vmX5b`|ZSv$%!9XS9JdEepmM&m|%F1(y z=c<0WLSXU&x%YOv%$HfCl--C{tj3|4mp7SzLL~AcJ!62M6EiK{3EagW)!#gsP0mZm z8^n|!%Yu4$|FAMSnzHxHx_%LD50x!Lq0*i-bOfj(=c`Po8gNXuIN{&10yMm0e*R*y z!D}O#XN6UUc;jm0Ohpn#d4`v+%4rq%d#*m_&W-^iIh&=S(`)X^R|VXd-*yL;4Rfk` zizhseAb{B6S>&=eKi;3UCnIYDfW34WKBF(gJ(wZ&{JHSm{~@D%a~c54QIH# zSA>|JTa>l((XlbC!%6hplU-0=yr$;8xO#ieRh_rH=p(hdxmV|^Z=06q*1jMXl%$pF z)5qs+G`X`xOY)-p(P)ZO3_+W53_>Zo#Z#p@90qircDPUCDFV)6oLL_ROdYH87mCCI z!LZjx>=eaBv(OlcQ#Z$wL)IxoF1Aq1z@y5jYi0Z;qSB0#dYkTwJ6iWlNwuQG|0-># zVst1v&8b{svenD@C#u!_`3&=WldASp*M1I%dZ0A`EKE-mM0>j9Z1-B@rOs9D3 zKa19P(ujU{7w_+QC?s$JH-k|Y7CYy&L9*IC9FJooq zTfgMm%S(n8x%r+2#T&m39vTAO#Xk?~xRfss`EQGU&wa*P; zX?^A^XOs;8kbaWWfap!LWXv@Fiq%UO0blyx&O0JLMu8KT%oc59|K^jg)R z_=@c>KeR2-n^+O1N8>Fi%I@6gY+YL9dwl_l%;dxvcQ2U^B2Dx)Fk8!3(^0Aud$Lo1 zMMb6bPxC`$$f7*?*3rAMXa{?(N)0Q=n;e1p`T&&^E~s+)m%QdC0Z;mKLx-rVy#fO` zXBMV8vGCh9b`TO!!X+{>2N+AZ7?7T;xwd%*WVC{h11y9L>%1wABXYWK@%LA83zGAr z)IOUy@gEZb&#ABC`)qQo2rKUFd}Q7-NI1_GA)!vxf_y3)m^9`PlL(&TdKis^-Q|pk znolAtl|eY@6opVQZGfBI+;IwClGtAi*LMx@{Vn#sKW@kadoWh^al_pHL9v086>M0n zmjV1ghUc2+P;%|B2z}|xLJY)jw)bX|I3L~8s*roX#4Y%yGiGu-EG)$85NF$#M~7=T zd^*-bJG6PajH|U((yM^Rl6yZgtPFN#WmD-n7-S{PB#jXdq~JCA3@H2@UTnXn4OVKXoHHEyVVG=HCm(R4r`2@=q3w#> zfwVhaPBW|XKFS5w%wghL!svUCFrXyC5+8syxkm9hCE-*mhjnWYry8%cmX@iQc=AK% zwy6p=@#lM&;TAxc2BakZJT3;RxRNKNKFSL&+^4akOc6k6)=xc@_jxP14LKHkc;6#* zj#?T=KKi3-UF&H1V*gHHFLjm>Y2jQiiBFK(#yHLtPaAz3iRG#+P*c{4yyB97>LgLx zVf^d(_*b_%G?pPASGeVBbJ5=D)2pjTNeS)J7|%w$(Ndkq8h)YRWK2Y<1dA@kYUq(j zRb?^qa8MdO%$)QGfJS@+6J@n`9ym-Pi;7PdBH$2@oplXOt#zEd370x82I z0%`EAZvCq{59?N^8IthMRa7eIb7vK)q!&sAobX;T3`Dd04{#%Rxtor+1U(6QIVjvC zXPfc)w&KlP1CEmG7pSFgg)5(?{Q3Y?F1OwVFh$~=a6Jw2^n@SNWL&0k1~HqiQZ)6X z+sY`EZM{#I>(8i3z7sxB=|to*JnQ{Y2ZM(`&}tb7lb&Cd&cfJt-$9YyH@Dhb&O_zg zSoGbj5gI6`kbBxi$!P-1q0wrlZ_sW232b`E%K!Y!*KShm#OI?(>MtTN>j12~{iWmn zrHBd>rJbGr8j~JSs-`b)ZH40zr~ow~ky?hyZc)kt zPUw-6RcY9IWQcR}xHIJAWpyXh$WRcnS3KVO$vxUT4FMr4KQ116fXCRmGVWtw5j z9YzzqC$Bp2mw5I5JHfR_buSo=eG1Z15x3LLDGSN_F;tT)px^YLg>;uxem##1edai z7udewn#%f*(5f-drg`6;Z`4kZYvj^8l<1CVoa3j|x(w%xGT%Cys4_9*P_k~UFn%=bgb)5qh$@d|z z;d2W7Q^o7?mac?4lE7j{-Y^Se)oR2bq=VvTGZXQwlBfATTDAGZo@(=1U(cr1cg1qK z0Sg(fx|j1+$)ZlHXBOd8GREz)9vD6m(Cx6VJWBZ#GhBxbrd?_LyJBG}&;8HSH^+>t zT5rN4i*Bl5)ZzxG(^N_xnh(qQT;0o6)UZGk5;rJALj)y9y9e$}pr32nUCz@8y59eB-Pd_>HO2N&UIr`9 z_V21=>4FuNx4*f@Vu+5rGtM-y_94$jm&EkIsmOMwAIH*X;U>58=bgp}^;$ zpyt6}iB5{noG`S-x*WP(1Z}i(y0#PD!Ne@@YuorUCW2DJY0=hbXWJ+;D&X<`7yOHU zhQ>-gDd^A&?^v`8>}{P#sTgLHFsn7u8A0`_2NQDP5t9o3md;Niu5x&k!+lg)EP8cL zQS-{SU%Wn;3vOYy$Fu0@UVS0Kv6cCmr#GfxjX-b8tWJe8u;+{f2Zk*#t z21VVQ!V36t>RnTzj}uC6mfY=ypDOEu8|z!Vv%X52)@K5N0(f#g$YP)(ec4rN$DF#q zOMh~YSs#0o+3A}3KdvJiD0 z>I*NE!aP1bHaKe(;CovNhuySkE{PE|+oJ3hz9d7Z6zng8>M?N;JG^~*OuKSiK1&h*-Ep9UJz5qhdF~gl zIW4LW=R0@7b8?ymC&fL3pi`WA*E^NS0b+q3e4Cb8nGA#=7=KIb4(GB^f_ADSC)4^( zy7L!|@}|L!8LxwUMSvj7jz@r zPBDILs!9aFWs2(ZRte$k63YuP#ZY8=lMdCvaEIZ-cLEh438oX&Yj&-aPVc2~_rLb8 zX8($cW64=Sl@4&FkYC4A&@9_N%`V7Zlv2jZw&SU_B@houH5!k!AoKAqwHKFnsXDXg zU=sRIy4zu4lz`q`n(!!6u5=^7r{;-x?bZ1)YI1?HjBt3*lIxb-Wi#N07pJ- z_h51~DNg&(*T0hqC(rAayra%`XJ!H=gv)F`$H=X_z(N5*Y3JSY3St5eGZJ1*5 zt~F=iASvsLQY(GDE-R-JKFJZqK!&p$@~jo>+WtelXgVWsNUDc6LVW^vc;%0zWnqBrh$?<*4IAF^~t4AvEQsVZMr?@SlUI-!zvN=pP! zTl9eGh%VmvWu_7T?ENgPv1roUg(qIY&eWL~8Yb9erFgJ?A*y9?Labuvw?d%UgD7_L zp}4E4l!ud6{pdk-aanBPyX&LZEG)188 zqu7K*rO=_8tMS{~u-Pj34Jdyk`@EFur=XLb=ijnLOA%oLm;-|=%-A%NytAz^!=_bM z#}I6~MU9X^`P9TQ7hAVthG=7jKaY0bFFM2YGcb~2#s_x|Emp11my?R# zDC6GjpH1S~8YndL7ru^Oc}b4pw~->-bwWLH1D0+csb^f(`Q86RABqSEZO7b^oJOXP zmdRUWPtA0f2#q6L@Lhft@bvYUFfQj$iaIGGhk^erJUAL&>9B`XvPWVyN3Haw1wJ}_ zD-rvuhPC~bIZ;xTj7OKB{;MoGxF$t|C@3+c;Jd+Bh3p%Z)xUNwScuTQf{IT|Ijc^* z%l@3TY&6dJ`JC|E=o73MJo$*RZ??+rw$Q>~l0(w)>U%8JQJ7vG|hpGZ;k-rnQic0X-@pn7SDE*9NQ)2vx7iMJ989xVR<<84q zwrrwIa*3D}c<>PaR*Ydru@N42N~D1ZkMYy=oy_QPVbRvCNI$TWXW&Wz@^F-xz6;k} z{ZmG!+y77uwN~8!Nuf|O$64#U&pU}#*sni=KgCI&>L79o5Ka*?YrCN?oXfWvC{{I{ zRo4#Nxi|kwDDaV-`d1@06<|fEODK4Pjtfrs;952)!n!ilVe1}QL{K)|5XPONV(QyI z%|QJy)t?3UQ^U92<~TfJmMRbWK*8(}tg%EkloB!PO*+S7)`vYZE3|IK-$n7-l4cRc zIkvCOd-fG&)-X-V@Lkz?o5z@Vt8i%qtN%Y*CYqXveh|ve-zJUo!?FRma;I<2+i@dLmT$ZVr+vcIeMZ6+2ZI zS7EypeQWh22MC|?Qe%IR6D1S9+B-oCVW^lJF*2$RK9{N+?-dr?A7%yAPc(_X8_ROA z`;acbdqo1z{6dgU2Mcg&y9iM$vTs+NT^^?x>RFvFN*ok`_yEk92ZOjMDs5Mf(B9R? zz`lwHOkB^PP=eZNDJW|{?@Iuj26t9CrzYlhA7uuNRM?cki>%Zzc`B{RLDPF6&k7gh zSy>qPep_1GUdEYyu3rD*=j_6g(P4wTR3%0bU83IWARt4MY z(*40eb~*=83d0;Y%3p@G29k$c9mKWKc(eM^2u|?1%n)a8cY^nVLK8H0Bp%)Glds)g zM;*!zJws-N>}@#tgEgtvKJ3RZ-!lK=~OctOBljb zsiN0^x@luS&QDdX?lXNbekrpMsa)`tPfrl^1MyrS8tlfpvi8|0ZfSm|GV@{bYgzx1Nu9H30uvLl2a;Sx|JUY@%MbT-?$XLVNpSo z(EThm;8(Rt3K^&ebN&Y3&auXncp;a}PjB30qBL^??seU|sfwE6dm<#X z0CUAe$6ep2Ps8Fk>z5DSD%L^%#+%Xm#8dKmR5#LmX3Dcv4>(TZ)>|FmBrsc z87rNvUyOKv*$4KH+hgJjj;`d7q{CZe(C7VxFtXETW=B`TP>cjA zlxw?1DfYJR)qAN?*Hs_GuYV{0Lq5PbLWk`|h*58?z%u1F<#U6u9<>Qk&9O{NC8J4A z^9go3EjkmsDu^y~g+ag4K$QWP$RDD@<%Q8OLvp0Z6pW2GubY2QNR`n>fTi7yjf9#9 zD%APC$>@y1=e4)nYtEOZJ2*6`YW?ZB zJz&)d#1L9AOf}u{OX%uPAc&5qOP>SjSxFT+pS!tl?yOaKH=X+|uV?HHb{SR&Lotqr z+SR;=qr6|Yg`b&s$AI`Y5Sn!taGE`n$gl=x-evawl&ZNh%i1jiktmz;4LoIp*!ks_ z-)g<232bY5siw$B)$KfX0cPa3Lo?fSYTrMw@Jg^GYgnuqjE;7{3_Hf%WnKG=k>B~% zT%+TFH!>-)V)-MBlRf&o-*qsO)Z$`aT!`-U#dAiD)ZlriJXrO?BL^X6;zo|CIJ!nP z_y?`uhJ3MjIaO!l<=IQ$VzzY102&DhQ%`h)p+=;KDAZksSzN^xl&%V)m%2pqPks-) z!?-T8|Hx0c%TL=&bveu7(_OZ|jQN%MX8yZStCp*;LB(ueSR_vKLHj=m-nx=h;@Nbf zdcH5OH);J?-`k@U?GJOA@|c4^A) zJY2iY$nq2*haVGgKk3;$HD8_&mAa((r1$pQ(p=@m=5OPm`z1p05SOu|B!LHQCyqvi zJ>Nodq%;s&awu<+%OJKO(=R~vG0Pl9l5JKNpc@6= z$S+;RqZ6;Wnzy#=)Jab1s)7IO>uk{R2SufDp`h(9L9T$BOjFUiX_vZiugD_)^T!WU zFB(4RKhb_<0UK5bRpfhlMhH{=b3CS26eq%p2w+U0*gUCoO1p(BfiVu{UKMyzyOXc3 z(F+lt^v2}D4v`w(`8olfR#f8WN9>ymWmjEaZ8sZI7~z>|R-aUCSMcVgqCYh1aq7Q@brI zvL~M7Dw$dcOS?v&0cG5j@ohazA4;5mg|{V<&QEkw3A}*7UK*&q-qS1F-F*W_2t}E$ zlb%1VDcjk;OJvt6qYO>&A_BDp*$Kl~hS<_p&g(_NDL zhY7xI0ZQHVHE|~gJ~E6dj8`Stnlrz4CiZ}{{4G{244x!6aS6BJSBE45lKPX$noVWP z(sE~{TM{D<8PvF9BIDuihNM~kR!*z#IN#07*WBQKCbyMz&38_4wXW;=+c1jz_DsU_nnVmvTCD7#qm`YYgNcyro{Ad|7H z*~MFQQ>n3tHOdh8`vGD+-`=BD{I3SWKDa!5vs~S`;22M?gh?zokbkSPMXfq%rZS(F z*pfqB5hfkHvs!E{_5V{ui98tYDv_Q2!9h+fz1lPEdl2lHrEc2g+O*cBg{se^6#MFA zixQ`w8oZB@V1-dUlJ*DeCx!!+QE56u7)xZDq7HFvo_u|+W-F3$0>`IGD17bf#rLRu z(0;vO6vsP1;lEblns>$AVoVQ& zJdTrY>%e)`lnslPZJ}Pb8;Bm@9+QO+f;wEzKw>`}U4cMe+?+Jb2|SlI^cNL39_dR> zIxJU*OI|h6l2{$FUA%fONi*S0+EbzDYFVlP{(W0c98o^8i>dMsEMLGV8Axq>NRy%4 z^jg-XsIjTP?Gb$bK#SuKYbp+nujXNZq@l>~ml`?mgtPS}->2>P?LRj8$NKz;7GC=u zgH@TWd!C9IqI#QtgRHjn;O`?>OP57+bDWk{x*uJ_>Hr3$L?7!dGAJ`m19+qToIqG_% z`Rn9SbW{AIQd+-_1*&a^_f+d>*`@=_8|HJaU3eF_^gP^&OUGkxW1=2G#)^#`FKW-i z^)-b2)10f?RSxOq3rO(g@g<{S!PIh2Kgam{pyE1L%0WtqR`DVYQ4fw!Uj_SbPmiPn zt>zTHZEqj<7j~E9)+%}(I6cHwXiC$a}3h}Z5 z0Sy?1qVkon`G)sRzxt?&!jH>RIfh$sV<FL{%a-K%gTFQ4eD{HS*tfX$dhh?t8Q|z0Gio`wbJt|EyL<02u~Eal@-L zO#WF@BuzyWm_orXuD5Zi+QlpR?*6b9EBOD=Sy8n2G}%1}pGs|V)`~LgZR@ImFH7H$ zjIWR%UexYKkVX(1K1>Zj1q|PWd zJv8gpm4jV7n?Sa7Aj6dP-Yq~vtENP!+w*LpOM-F2#Q@I>TL1?0ZqbTl=d)EIKoNjB zPB|3hskCePO|-Uuw7avmz$E_DsnYE5qrFpkPRxHW4JR%7lp zCSc&X@32o3_66u)4O<{*85k$PsgOwni7^axZDdu!gf_8oLPR=gBO^zR{XK`+H8uXH zD}#IbT!2Urf%skyQYp)KALoY-B$TH`UW}bh{?@}v7KAAOKIIdi-w^h$G3WI*b8d;R zgauDf6U2P;Ne+`ANGq;v5w$Ia+O7w&gUHVJOyjjaY#2Y8)#Lz* zxpl#QuTDwd=xtN=nWgQz;j~ZlaK^61p@ObR5Z7kc1s_NO%3loE{CekI!&*ioU%CGf zr^=1==2m}6hXKe}>Z{Bnh`+3lDD^x^<{EI5qN)O%K7`Nggx7>G^s>6sJ@!yUnL2Hg zsJe|k+w=TZ19Bdjx$9$N|BW+w9p`tymf7=qkPVX!^ zc{E(FbYR@>YD`IE^6b=}N<6*;rj3cpR(4FF(^sJPR7;Cw3tu}l4cekwkcpooU#tu=3{k=Z z^rv*Id-qM~TT-Ki7j0!KRdToppx15(>hj z`R(=p>~eltfu>9?-@M=fc^D&i;%;Xx4mBB{84Jp@3W`Fs?N8mN0o!Dl9LJkr)9>BuH;h)xkhn zcr?@%g*D-4L507_!og)fI5W5DR=u{!8>VYs&t6jX^XU2Oii&4OL9wW5^2V9R>;#dg zUpXSfF6GA$B=$5Gk1WmdLm0})SF3%h{lh5U(TFXW6fbjqo5OPigc81y`JXvg3W6;c z7%nH7{2eu%d`7ynw);|_m><7FZr*9FKAC_AEC&m68aAFTiWoNT(}_CaS7tT3eQ+!g z;$(J#NZGwQPUbX~YZ9ygBMZiLr6NhHh#Xj|PV%?G1OW*9Ry0(u7$;7OIz)I(V`N39 z(on(%Ig^6ifBQApT?&gi{<;JVcMq>cCFmsP6;J;qa790vh5iGTi-m`Y$wL zrc#b@#|>Q_-u8`1SXc=c;ZvRibBkQ})_7}B+0alxdDpFUL+axig!GOsN0O6P`Oo3T z*{nOOtLqS5$DoqynUD=Hadb!8*Ot5u@?;iANq+X0^}6ejFg|Cxr_XCrk8YKiAgjcF z@vo#s)$j-ME(eK0Ee){|ZX&kYqaD~B>vzzLl;}KYnkYyYxw6<&`RVhc7QKhe&DF$< zrGCYDp#I^u{7sc%Sw{C^F%~xM+?=@zmnSuFTQMMkqNq2$K)tbo%j7tTKFT z9!V;4X)bQ)K|oim1L3%bJ*DmD!w0)T;Npr9CfBFY+RP43Rv$WenQG{#lRmutP%JUc zzvH4K+22||z;-)@%k9kJ-V?)4m7TfdVZnxjuvupXw6f}&4W$K{%@GBJei3yzI@3{P zHCDe)h`yWYCDLUO11cEh6Q`B=*zCU2>X>B!GSnc!EIw z!*WxjwU_kK&j*F1m@!lSsNdye_)qBWh*nSXvtytV)tBL7dn(H9@ax2lO~_hBUo^ZG z`PGhZ>n)??OL8=dMlsT561mbjk8d-Yiy4FgwK!p_HX&{GB}m+v2%}`h$fb>44?jTY zn6GLAZi{2C4{%YOl&Qw@&1`wTXs0BvhkPBFp88PlIEjxj=J(jnzj?@s$xVKo&(g<> zfmdA>45qao2oCuTun{qyi9UB|K)sR4;6ChZnye{1r1v3#RB~hiL$A6mrW2R3#h825 z*Pvqtq#WoAoipH*wQXN-B#od7*$8+bVsAQ2^y@a2v2%kq`D*G|9-yL|;Vu(5^sgE# zFgEYn)h3!EeXF1jT+tN&JN<43uGKc08!zj;(i+^G8W7krx7sGC{RMbt=5Xa%EeMZS z1L>-V$rIii&+AT`yHT}-RQ-;fZX%n3uA(3i2Eb=RssxhZV)LtCn6YZvuW@gq;PXOT zJCkgxj6GSm4gB_A!M`NYj#Qdz#J=55>1`OD3}Gj(*m7W5jQbE zQhklQgtlXyt!d}YuF862c#RLM7_Dy!0&mW0z%@XNDnDobYBH4GezN*OzUla{j`jK( z`R7Z=E}VI1WG=Ti>ONAtdl#X((H$*(`BNmN%C6RG&GcI5$^N1wGO7XS@aIdGuLaqd z?h=B@T-@R<;=!V)D5pL|q8`W=m8E~bE&fbs2fh_qKv0V-#q@#A4`Z;mmwq%zchF!#cx_CuM)E?rVy&x4Rtqcz8-KqE7u|Eu-UdR z^}F5bBA~{O07q<(nX0_{RepyYa5RE5&+3OMf8pKB+R^Bor>5k6Y^@Sz< zl@i1v%X|;^akl=l0YP}e9?Uh3h*NJmw~%*(nS$7EJfvL(X5ySdadbY~f(+g>aq~@G zxI0kUU#bSh@pw71xE6bDFIb;#K_2qW+eNg3*pK{?w}=8fm-8$I=UMsy3c9VgsRDP5oIqih^A3hL|K&`^e}k7i zz`OIdwgCr*60}rA)FD4imrX9e*CS!WW^yhcs3GVD8@G1}9%NkCt5-bVA_P`zc2&{zCe=aV(bZUiII8=5ad8#LbqL9*&Y}(crIsL_% z+QPYj)UC>b#-V9cm54osI0JX|3NLgkVRMWeG)6u-PAs=Q1{_~1u>+nSV9FhGN^yrj zt&9IJM=$q8&U)Q(H~&3&0@TN?!)_zBqj&kw4|aHuro^FP#vNcd%8tB3{);WKAYSq* zI|@(7rZvKZ84b7#{tFUKvF5DE`=4E8l1ujRv{uh38PK(J{_tt>gFFjsRn1Vr@Ymp0 z{C9dRRT%nY=bshk9W!CZiEnCdWS4U)TPy+amcNIg!!6JrrHo-r&|W?)<)ed7)yNu) zf4-0dc8A920XH1SY&*SaG>Q*HisQ7RHew&_x2{D90*?rm9yIRbypGIDF{oaX~Qp2E;d}5{U znfOo~s+ifN3<^xni7IrfZkh`>Z{$zRGpsp96^g1*(O?$n^4AWq?^kzi;!2Sii{5&< zm$_m$F;7f>Moa22-UzP7PS`i;s8iE`Qi@hS|CL*Yqa!}4?L8`+gF$lQ7u3IxG zeAq6`KY^{OSaGBJkdKrL3qRld?%@4KwWnkgMgT@&a2_AROrMw0n~L8_lY2MED8@Ov zeVVFmaHzJpAQU}!R}Hi+Pp7MQVJMrs-||^i)j-t}d3D?-#(BO$c>u6B;LEp@8i|Fw zCvH~iK4iDa{-3Q-&E<`{4?p-vwc=MoU;92=VvnXbwYz%)LWTh^HClONlZnA+Z_G>L zh9sNe!TG;$|78ZNOluoHQV>0YLvLV5Ia_EA-qUlVTlX;&Y-oS9o@SC)L=*ovA*EZv zc5|yeP72X8Vv`#KTkW1=es<+$XXk3(Kg1e3=8LpeL_i>_$|D#reeQnf3R(U=6S-85 ziIRkx)e#zlsW0q8C@t4t@#*U$tUhgtc)$Xd2jJ5jh5@(;zLV~X`TxHuV zLtQSfom-Mk&eByLyeG|@xLt*|bt;>lH9l*k{`L83rc-F-N}H4@TF4F;TG_@gCwi=}FHyS~Yj_#eU(qsmg1S9%V_DZl&b^z z6{wH4Oi|9S@=g2UJ{%Zi?PeBxz^nJtJ|)%-pL6_;xfj1~*PtKw%nU)V$SQleh-@CR z3ke`hY}Leyj&}c@8-&a*J|Xg%{WKwJ_`4;#7%&}R36~Up4&bAi5_C+&X5UuZ1ax#~ zSLEoNd{!sRMAQoD9cfp9>yk=>-RWt__Oy%fPKm7e;8=^)#qi|q$vgq__!W0 zuv$#4dZhYy!B`Q)et@oh^^Kg|XhENzwF{WIUV!)b?zclB&u|Kz8z#&RT*2Ga4a&~V z(w@CO-FDsYm>O*soLPRoF;{pEjn0Qmf@juXZd4txVIb>%_w_*61-2{|+^UDs%bpkH zPPnu4A-fhEIv(%8QOx@jNhc^pDkW zuHvUhXUt}ZDzB^NBW(Ul7z$ddIWpSr?qJ^fZg-t+^6f}lG%an@QwCYd3=uQ}#2kGI8^s?#6_P`R?!wLqgT}BJCy%^pp*4&6CDlr=P4W9}8J1y6VVE zirHA@`ah^8?D?gRVSzj#@lDGC1`=_LxpSXcn>St=c&$?TJ+CYoUw63bw^F`l61y&0 zxtN*62Ux6yue?ZFDm^bXS3dwx{W)aI7MN!q{>k;_u~AL|mNO)SpL zBkNn@OzU_S`H-73w-T(X74aj2kY@v`$?~E1+%PZoi*t8=3^;dQmMb( z5ftfa>f3H}wv@F;g>LEEu5IxPo|%D}6_L#Pt@F?U$Wl7fIQ?JP9;f%pQ;F^S zqPZo-tE_D!k}3Cs7F%9mmL2L&p398 zF&0#L<#s1(N!{vM4;6AAlrA+7Ihdx;)l~nv)k<7-qCLI)AWcm!XKE7uLgGw1O|6~0 zA@C+tZpz6qiUNsWg7Xho_;odMVxzk7uqjE*1NaL) zp5ZhN+Z7axZIV*>M|6`gB0?S#VW$zKAehBO4EHqbb z_P$?GxD`?PME^=>!#cLU-qycR;g-!2S$#(G&-DIvo(}Kq_lU-aW)oVjTt#@QN+rU4 zC9ZP++*nqsyqH$xCW^>K3shKhV*SVWcW#1r0llJ9tT>2_0XyygDX^nVE!s?F7kF7&fWnFfw7V$d-a^_j}n~EVV>4 zGl&2g_d5?G&N8IXf4Mx0gQB~To{ecqAK`zrpFY7PpoV{1i@M24g0n$q2SUy;t6mN6 z{Vv>ci?#eBir6%l7u!MLrj)hU+=Lx1pRHxaPjRk|ec))ZKMz zaQe$fqIGKg@jGl0>HUo_!1Kpnc+`LwZ`tR%Y>U0qWA4@>IOopo)O^@d`qt)}>*;BG zyCFc{NaPNviF%+6rR$pAC^9sP@Ds~fPGP!U&nkC00}r+=%3JEy+Jq}gER4}B%U%~s zCmP`fhUw?uhtzmTuveH`#A>g^2N{FQoe&E)la6^@VR?e=B)W=>Q^iW2kU8ztK~Hod z)KtIwQGAdU>qGa7sy~{kfif6oD1W$KH*sHbfa|1Nv#jQfi{(u^^WakF8*R40A0s^^ zZpgpIoiAJi zms+lsdPal4nn=&5{FUVxtH)}tfv?baz-oe$H`>|Awoe^}p3=ECX3}Jsw)*WnHC&qE z`kbUUOei_^fn4=?uI8^E1zYq3kTJA`kTBf;kO*Llq|1BZC$u?RBiYAW6zxH2b49Me z^7HoMlB%rbll-g?J89&C0!PFcDd{aK-&0Rf&e*`yK2l|l2!?VNB50A9MG>#nn`Z@a zgm2~p1Rd$ibCX7@rnsAR!l&-(%!kk_Mn3W?6UCQ0Lkq%QRbiG3%%^Y2IO;le-v3ER zUYg$kN@T7EGsY+4Q+pPg*kE|D{u%xq)0*Fbn?b6}6AJ53#d&p%7Q_(sDb4apyMb-*)-a zO!*>>;K|0r=d!=658q47fAJr+NlBCN?DOj-!7PR%lV_{2_f1W+9V{C&C%G2)+jSPl z2Tga_b(@U%G_(?R^92YMa{{l}AG;0b{CY8w^Le!a^wC2&aD#`&Wid47{j<|OI_${R z0mR~dbK!<<40>E-a#4K#(bG{fzS=*#AE`LymoTlU7E`IX32zH#eHw*2QD0SG)Ab|Y zF7fEhPa`fdXxAZHZV2xQfL9-!EKHC{ko7XNv*}^YKQ`VKt+-Q>zPB9@S=7Z!QS} z;UW7Ey_|5%udTr3!zxSTWBS!F%>-E?>-tp3sc@jp&z@$3G?gas+u!GRuoIhQT_sC#i#hEtC+8f^%m>!Jv~!;iJ`88|PgjO$xk7VGKZg;RFGW!W|)5@w|Hi!vrxEu|X)a1HQ7Lq2SJ{)nO-%2EUT1rBDpSFixtOnbMcs~ln- zOrS+tV6d$~Ho|3op9oMTxpn>|h&dd+aKvRf{zFVae7z1Kc7X@*v$3V`p8fH)5>6h@a#DHLXrT>qqw}6Ur{ocR7=O_XqAg!c;fV4{Y z5fqe0y1Tm@jz|dTpmZZ5j7m4d5Yk3%b6mg&SNQQ%hUA! zxz*_$&n&Y<^o`W$3!bQi#KP{*_V>8>OK*wFs5IleS*y(6=Mns&;{DNZV9#kax{{8H z2?0&9PK6~}Y&E?Nu5gYa)!4Kl=t6!Lwu}XVaSZhH4FvLdH|5@g`?eOY=&wWb|~>k%Lln-I-%M%n56H5r=CiY zx&3o^cdM8eAp(lcb!XV~)uO8EM8_wi6X9R9GO~w(axa?+m!ncTH?gnUq(l_O~ya9YU5@inT_5sWHr5ri+yt`re`oGO8#UJb+{rH{j`>TGbTQFa zg-S8se7LuCMI~3i(ABik--5|r8-Tdz(j`rB6LY(yM#t_`LDJ1Pv7Be5bU_+HLr#LB zEb#T&56Fhx{9fMv5Ti{|ndYpPEnX)@g^p;3s<(oRk{jvRi>_%Jg3a2hPntSVGesuv zw^oQG&v}J=!?RM-uMuV`^}%juozs4g5}(xDmXgLx-W^k0aG1QX6kl3p=bpB4QcH9i z?gl;SW@fVVM9%6<^erj$U*l)6H&p>wok60MvL@`fhLGXKuJ0Y;1Ky3S!wLraAh-mAdcewF(!Zj@dq0L)z|F?&h?Zd zHT!zHh23erXzC_o_?VUaK)NEe`F_ z{_Sm~nSlH08-s>jq!~Vuf^`gHQyVX)Bx0080Sf(8?bhRzpxspzfgL%^7}XuK2};Ev z87#=|LM-ZtNEbAppcL_~EXzH2XakUSz+-rPSC|5FH~vKMZ0Cfy#vz{|m#5%9Y*KZ4 zlJ={x=jP`IwrBECN^MJWW@TqlhHZ+Je`MRiN@vPM=*wfxA)`M;$G3OZxQhmuCsl+j;<<{adJ}&XTn`K9gr!);ea`vts!|SlY z)fou~4r)!`YVEF;x36X#6<13BI1K-X38^_x3d@_h{5n{1EffozdGzm~eLcRa{P3|D zx8h127S4M8(h8IdKo^h}pK(Kq_Y7sp?yAJ|YJUoN-Z_=}W{RCc-Er^4Xld)j7B|QW z5nQGiy${BX8o-ur>E%Sw@w>2FSG{T?O#iVz2V_1ZeJ1K#kPwD>!WUx#UKRPzj-T1z z)%SN6j;n5v{*u@XP`G)Of~LTlK=1baulL{Sh|24WW?{waZIrq9)j@knZVg+)DUarh z-T+%5#d@x>m*ZoE`RlgIj)i7p;?a@VM5Bdq!>4&f$SLLLc6^#cy{Z0&J3~%+p=OMQ zy9raDfp!#nwm$v7@KE9|t@IIYTr<@YMaT2BOq~uc0P3W}>n}ZhJ5lgKLEP0uN>B7| zI_QZ3smp2DTKSYg(AtwEz_8|91Pz5yc*T0lZ4RSS|19|raXT;E3!0;T)Z0j$T%$VL zA~Jt{ioSi(4IXEJZ8Fo{-sRH2`vKTgz|2-encmMkyP|NfK|_xTTFJ&Ip8LbtxzVKu z4**8%YML7CA6Zy*Yi7#;@%y*K8Dn4Xuu-OIe*QIWC;{!nv*H=Y{X{NHa2gd4-T=7F zs<7cBZcM3C`AI-PboCzh8ag)(h72Xcyx{1-+#BS=41WX_$9LA za$qIv8Q!kwdyq95Agvnx=e%sr0RU$#m))+hE5UB_GLtqC`Gz7UjOj|oB>^5^zFPttJmoJY_Cy> zdLDdg#_TnnimmQjH0RxPcH?coIU%QxoF49~)r@+VaYn`CC}w}K^!>Ut)pluReQj;Nr(@L7P#xzPnh!h@0luA9;`K-}b3OI^s?7*|CIv&cz?S5r2>fe<3SC{`hC!n1&X?eg0N=4)KYb^B z*`-T4!knZ{jL*a1qGzz;fwEgQZw7Vt)KV?eXFXJk zx+m+9TmQu)Ox6<- ztS8rBS7zulyc(n5xa_jRrT^_Vy~~wMLCdM5|MP*-;SW{RzUo?YK6I^3o%civO7%@g zD}P1(eLWZmKb!70n|$EkzcW01CFps)`z-B}wX&E;#&%AiVC1ykRzJHdO~Ub5)|SY$ z8Kh6+_1#uD(ly10*23Gp`wHH?v~efj{D%~@TtmwN^*%^9d0)hqj$7Ps!y;|E%Xz-Q z+&Xih!gF%}gXoL4U#?R25k(O%YHmCbc8~J@$Z}8Zx2V5dMD@%kkrxnuAv^+XOai&| z=Wn^9T2Q82GX*+bTNC5s*`NRh_qql9DzeWz>2#by($2EXVh3rS)W)>-0T+MyPjjc9 z)=O+HVO0+OJE5jd|MLKwdP;-6?i`uIW`b+!YztI-Bnc>8<=}ypR_R8ljefPljUHb3{h@MY|4IRrYWbFSXUl;hLyuyBUU*YT%eRibF23e3Iq*X-P#>r~7 zsu{uU9JA1udN#+#pfQmq@FZvw{=5|VxPN`hER4_>T$>#mv_y<^DJltETI2v`AZ_T< z?#DPaJT+Z@<0~Y4hARfLQrRn?ZUh$)`i2Zk;K2O_g@;Gdn>ldb^JB@OWe3;&YQK&m zRyZ<9zx}tx42tZsiimILu&;0{5{kcm?2k>i=Oj^+96QN;)VIzpuIh%M6C17F)j&yT zPug&k_K^KewbHRN! zN%`Y?YL{>=%!5{BVblA4C%-Bhg~;&w*B3YXzLt-V&3XKC9hJ=WZX(LElV+e+)lWp96Hs#T(t+Q2>zQZHQ?*BrsH^iZMCt=m4;1G$mzS-b!R0ZoA8=svmi z20KW)m3#ai zJApmFf;1!+Z3o((eG72%yEht&$|*Xee&G8g;o+tUOVKi%O+tU2ivY6!;T4_%FCZ}F zT%9}}mvz>s85f#*ZJ7HPn&URrMP6Qy8wNIZ^t%rx`Ry6_>SOASsKUmFbG` ztuU}@Dd(nT|A7U7t_htGahr%G|28Yz0f`otQiQemtgXAs)$n~~cIZQNa_aG0x&Q#K zhDNk4+Q`Iod3K^~&+!}C<b*Y`*q8^Hj%}`HVgg>m{oaEAW1m{kAY4ZRS{I91LpVeOo}ila?9oz5%S*P?&E= z@tM7&!5J7KSrHc>M$M~4k@%m`I-BB%7^F)y79`McreI&$|nEIKdx1*}E@R zyuhO>zSwG9QxbbF;m+>;X7`o*==?*jvt0@?o~Z?q3LITLNZVUJC$8{+AV2KZEYpKe*uzfD1?T5x6Ia!9Su15J zHUUn8Rf;>e4(pxKnI4aSSIXU0C`9DPodwlf=4@y6anW4wYc_2OeT_c&ez^lV{?)mh zBu4h0A-L=C>r`Jo3jOGE8MjCFS@i^$Y`m2TU@s}>2h+VCM#rc-s9f!G@{?s;1xc!S z0wSf;0i4BX-tv38fhyzqxn=OAvGD*G^*uArKLat2j*<+OS&lC>k3y(MhYm$%s=lD$ zQl*98R9#x%fdK<=gejd;V|2vJ++liqc7%nN%2~UTrrxDpbj%Q}>Sy5{Ay-YoUhB(+>6NZSFNTe#a5U@PuL=b0pGyrRL}qQrvO z;(+L;w;f{}$0kcvRlT%=lKDPG-#n@Gt%#hyJHjtsZ|UN+*@`rg(66o*$%y$CFMN)9#XQgZ&Y#-=*hz!xG@5$Pu&#=Tp4}OwCgc)_IW`oA zT|Mbceek53nMKe$C0t~w7)EitnEfBHK;6XL`e<|2DD^IY%fmT`qd&$Ch+4+P$|zTy zE-A5lR1LgUx(0P7*L!PC zAmPnxVdgF4{GV-4YE^H#;vai`xu(#mD9fd&X_GhpvJx|eO4vKN14Vs>%x$_Dy#8dT zKYE{66%qkgmeIv8+zeF|I>A=?!d%sQ!^<}$OO}XSBQPWO0+i{Is-ReSIkYcw zZf>WoF9~)SP%IH-*0hG#?e9>+)&8@B+EegST;olQtkL~;XD=t(?_BjFTej~4W6bUY z@v8=Hg2CQ%{f_+>X41OnJ_X3*uhy&UA8xgE%{;+%ZV6**L#RF!4t-Sp%)xD2D($g2 zio5o*FM1vnLs9B^Q@ChpwTig`f1A~RuHZGIg~(!Vau&`%52_q=j$jeBeTryd75}PopmR#f+ph)F!@nOYR4oW*74-GS3I^B z8SOMfE-zkr@vu#A!>4RkSBxTtQ8Z#;HJ#yHX^nH9tX211G2-%9sn$>Q#4^>g+g0+d z;*ek)PT5&!3C?xy0au0xxZ!7N3};ekKw(Dlv65Ju(wYe$v-`zHC-P#>_V&!NL_R~c zJBy9n(~0suR_AsEDvN@N1oSp0%Wfez+MT+ugGM^zf7dXY7~`Dtj9eI*BQ#RSp4m3t zf&s8<*-z@8uq~vlhsHSTR-h74?dj=+k!4qZyGuA(v698bKd_0fq(YV|vUq}Uc2{E* zz4-HQ;hQ=8{^RiSs?UqK!R1VMvV7a9d)WIVVb@)1SCDjOi3cae@_T?d z$zM)|`xYCetaEMdB>CWRh8N?JJr9NmkYwSJ2x3je-?N>VxJ@Bcu3`G&#P|Mc#P@w= z&+$oaqi@GkDQWt|r39{~@E=v*NTw$`GAD_ub!@7a_xx2JIW0~F)MUiI*K)K`sMD?f z>+np|Z?4VD)c{&pSj~4#TATe%&U@79GyoF(P+csWNR!nggN_0G!6(XsivN}kq~D=! z&V!r)!9yg>l2)0PTLryXngh6FL8oB2&@uo8gG0zF~H&)Kzc@L-0a82)*^{T|x z_>%1%-0dowu^&4%dZ+Q8X^+CIiM={HJYIizpEdeP$x)nXRqYQbD3$z6@ATJI7|mGU zWX10eO+l1ypb@0}D}~+Pan{@(h)+8tI$t!jr>N#|Enc z)!?V_5@T9B&*#=?KfqQ5b?i`TD%m~@`hGyWH_*aCofpa7Usxi!d~1qAI-p(bt+#d3}3zM6>00D*9I$)?DJK(Mj{CV~v`r zZ6ClIqgZIVnb}~nBZ?+Br9KQd^Zt}}?TdebK--q?CxgHjpSs6WPOn$D-@%Ga6P0<8 zqacJmRLDZzh>-Q=W2Xa^rTm+yiJ} z2Ex<+JWk7kYv;xcgSMFX&4|~iA-F!-w{I96%YvfU|0La;R~nzV`Ou-VS~K*zd%AeY zs#HX8AJY`E;lxX1jSmT*Q_3U<#=pp3G_e6N!S$K`)zmDb@U1mv@|6VvjQeGpcX-7p zDY8G1!e;RwoLyAP#(drhtumNWf*ltLhqL`B(Ho2#FRSS?a1bjM~sr?2#Ip zCTTp+zt+yZ_-(lLs!glC*BxHGaP*TppJu+cCv}=hS||66PKMPFHB`Kudm4340e<!)0jnW?CHD z`NSqdEq|v}rKn=`BPXqDYpXVzW!W;!kR>3d5sFvVZnMlX`GNea%k%6Qd|C5GtcO5h zt?wled>X^D){dH06uiC8JT4J?TqL(9f{hUk5$GGl<8A-0V>8yR%B~A4-2{mZ%Z2r~ zV*hW;g@64;bX-(<(Z>!8(8;%3qv)fhQ9ST6UA%$m(JkKcwq)uKLY7N({GSGKrob@e zU5Lvw|HM*gk@;qDl`6+Egv>MOTrSfT=odHv+ElF*8(mA!!1$xbbKjIl@d@)I^pmN&b<% zqrQNe{|eMDR^B-u_f}O7s~OqyF`0?&v(zs(pVM(`5Ag`Se11$a%Dw2OUbW!iKcVhQ zBm(lxzDBO4w-B}w8p_e};0G^?E}L{l($vC4RrOBRH}WdB8`h%EH+6x5E4s+-Z2#+J z+eb1ZwJEzT9~7b2w%oPyXiiSBbX-itv5xLcjT(~;8UtZjSxYg0yz7;e=EpC7{fb_7 z7?q1&%;eCg8vOwUC(~Q0WFsck`*vIqayC{fKsbbP=XEfDvSFD2Ivx5fuU%Au`)W9F zTC8O3D|V7Ez~Rx-Vzs;SaZ687-cXH z^FTFtg|j$r|IRG{tRRR)@}6hinTia>7jEPTY70Os(Rr#N;Z!_ z+OkRaF#%z2;Tk*ot5O27E@nl&>GlGvob^g}2e%+dGp)gtFyyxX$L8+Q!`C_M#%%LDO}jSmEgSKg^X9F|L))NJvh*Sb*jD|seapg zK=PYzh0V1pExMT^kvTS>O>g>C0t^q@uBkj*^t;_*B zxn<{|z|*M=yYS^hB&4zZrwfxkIu47x46UE*Z6_rKP1@x}&xWt$;w~Qv8P$qY5^Rywkp{#u%CcHe=xFFBg;`Xuk_T=zLlB9%Zoy0%^ zXx+JmgQ`MwU2Cm+?PA<7@)1!Vd;j-g=Clc6ihv7UGMM@&DE0#cTm}toavoopt|=4? zg9w9z=RVlRi6lMllpsOdo8?ImQ3C+o8$CCUg2!D=W1?czjl$xHgGNR^#>BX`Ion4{wgCTDrQ;J_bUN5?0nBiE z-M2eDMXTFZEBh@q^O_^I_$!eSO^3_m9XD|zNXg-+iRkYlr`S~%K~H;$1tE@Su8$Z= z(x>Z|jRiN`6htN4U3u@)f^=|kHh+`M5B@t*WLAUj^R3Y*llA z%z5}F2@qm0rw)A8v}Eud#j zmp{VP|F-5%P?Hv4F%V0j$y~5`1bztU=a`<=p)o@-L@~13ly!zDJJ1NVst%w_ZoMtGET!f zJ5Ak=U$S8ozsDV)Rk``UjsZPwoA;E!Pj2~XLQJ}UXCRofpRs>U>tn8SvgKYj)`#k! z`^L(LB~BYKj~RyI;O^L%w zAh>=k<4Ljbq!1PD69$3$Nh^V_u2DkEUQSrDHtlAn3UVNxRC!$;k!hh8O;F&_TdPuD zre>>U0!F|c&dv^;#H&AuqhHlsUuDlWzO(emwwc;;vw>&9l+Vv{5B|W9o>@KBKb%MZ z-us|jCIpY`@O~70eB%lAmQ<%1|3+|^RRIBXX~T0>JTu5Xew+K_^a>~LNXNy>8;Hrk z$j|-a1kqAj4N5h-+sXA&LAX~tB|d#s&!E>0m5t+w<)q!sqG0|_XH3cTLJWcq2;RaT1wS$s_*4`FFFK{r); zj5i$QQs(u7^>KbcLoJKjNtALaErG?AIlb2-tW_bx0@%B%)1sQ@htMocqHxWq?d&-2 zZPamjZ^ojO$QC#AmqBPPDq4J)Am~-X&Yc!0J@nuu1nvUie;!V*Ed%}yx~{NW(}=Qw zk=R?b(!+tog&o@(=@@oU8ZT!#tt}0C_Q;`zp=K8xl}Ckbm@D55{D?^b0q9_T8V2NO zQ`p!zD$Sx(qeD}&3C1p%WQW&FFv-1gF@iq;q6Gl5IYF`GC%qLLfhET#fTXdJv|s&W zm(DnC0|)A(`@W%9SfU_ik4!$S^5}2ivlp#|@-9btVIvf>!!8 z8(XmO!o}%Vy71vR=@JhvpxRnnFY!1Vy0i8QejP2XzxO{!%kW8ylidxSPptV>V=#Ao zXia2_aGUsO<&T|7j(j}6?&B}}8qDp$k@7pdlNOezBK0&XQuSIo;N<2p6u#dTmXiWZ zaVTkk8WEuKiZ%d;z76zw*;_fotwm7(JaK3*uJbd^Av21;rgADUY}&_gEk-LB^@Gz1H1?jd z099IOq_DWlJ4a2h_5wnm#Lba*m>X`Iq*xY6P$DY@zv|gJDd%Pg*$j6S((O;Y$C1z4 z^Sg9aj&go_PvOpNOBDrrjX=S(J@yxiw;K4(D-hHPULF5>?N@APM~tVSu7%?kxRfGF zQgJy+SN=}mPIl7^>VG5nLG{W%kblO1)Fna8AY8%d?uBqbFvQ(DW=;LXux zmRQT7;)=>z!obxGrkl+zemcrMndok}tM1E3cG`uD#2Zb!9n0>W+Q49m1CpWs908X! zEbfD~<@GppM3ntCCY?3=*3!}9$jJGVV>46{?QTN*$71n&yTeqpQc+@y&s^dB;Q@=L zL;-(p>#MlV*tu5%EiU9SJ47n?RhUQ1sZc3l-(F+{J-B6XnQtLdsRqLb7$U|`!8G`+zx z6F3I3%4w$-9m*U_l6kapCIYIlTw%M<`qdN&tkRo@~QYP!KAmV?OfTjyo%3pK&Y>D44~5t7!m?0 zveIqPzw+&vm}JX%4R@34n!glR+i#I{_8lVDw+WBfek?ATX?0!_mmyS+h=DRhO?~Q4 zH)x$)7h-5lk_d(kqnSOQWpycR$oZa^9MEUzjz_P4J(qE;Axswf^Sa>E?W^f;wd>it zRS(>bw;+WQVvQM{y|3&u+%SosrFBfCfS2V2-_pIGH7YeOb}@&aAz1TsoC_x@9dU!~ z6QoFa1O{Qy8uxk-hDI$_-B`{-tEHo-?aM2)k{%CNP^n{Rv7`|^Pj5a$U3x@+ulucI zUmkDZmfxm<-V!j>sT+;Q`b`&E19ej#Ib4wHneX6I3SnS?J1#Ad-`8=t&{v=@%2b|69%DIl)%B6UF zD_k+6R4Fz811UJO*-$fy>ge+eSY)QM~J&F85VT@jVBBi zlcJk+70>#A_|t0*PJM~f>Y_-KNKwx&?G*OURxjyLIj*1nY38773-P+LLQwmr7yQNb zeEMkfK603Jbi>hG?|Swe_jD_+1A8&(SUkn@bMkU}qa?T#Z;oK9E-v?Mf0B7z^=blX zdg$=S#zyo$xRPwIO-mgE1=_n@1#>K~gSHojs(3+TKzr4()L~dC`uCXD))=W*$r+RW z2PLEFAnV&|o83xdCZG{UIxUz@Vl5KIO!BDz`E22&h2~@w0S9UDqO9w~zuVM4Jr|?m z6oUR%8LMBu6BI_^b^8&|V>8$kUavJ(jC7j}UH>?iC1QAL)3UBBTU7?xbHBFN z^IljtF5lAKNV|R2&T3v3Eboim-}`V&XM zN1^1XHoII!#TrgC(^agA#nR=)hA^snhf@mN!lv6oCg3&(?z6F2R{f1ME;{SV;_D{w z_~)^I32Uc+zyGfpsBl=0L z+0}g;ZYcaS5qVbjm}GK*L}T1tX+0ZJ5iEnW_=pG5G5p4dGJ1#Sj^oyqm9Dr8@rfA{ z&EnkC6_<{n(M$^mH#WnusqhM$9`5J_nn+P{-^M1piHyOlE;1hQq?{DUltkffc{N_=^A35z)QL$S_)X!B2p!;(KYHmyAN$v|F zSbZF*e?=e;7J*l3|DkW(47TtWwP_W)+VOtosv+ORQ}LXLY5Sh-_kIoNMET*KzsCM| zICDp54fVfxO5TaVTOki8M#d!`^>32{J`apL5xFbcR)o0Te;zue_L-jCUVYI11%QWc zf47&!Y3W+BagsaK4}b29RY&zw>GiKTS-(-wezL$x9G#y>HQsv-FI?M>KFu=hMN~@1R0VaBnwD*g*oVF7}YWv6DYO$j`iR9*D+YH3WS3>yh7>%4?a4ucNbwQEZ5(W~vr6(J)s zeo#zFUuoQ5j|A*xgB)@2uve*~PBR$pbCa*?D{r$~$3N$y(e_zO_iV19uHvTjt{>1V z$h#UjohfD_Mgav&-{;Hy7v`BUJP za&#~pMqLm(G$)UE3%f!gMF3|8opvZ?iRSpcQF~CD%^q)TBzjMt(}^*VNX=iXH|y+N zcG^R^-p&1jj988KbVT2b#J$w(ze{-KK+xQ9>uLsv@`uz1XM`GhS2ccu;N@{+?=Zcn zTN#jmf(lP#JW{}6m9b$ytB3;2&r1@KWUTKYJ-HdQW}b!*B}2Y!m3K!d`P59Z@{^YC zs&-kAPDNy{dQ0EIiHJQ{em9gmFxH)LSX=h|y-!lGb$M2``mVe=3zQvYi$~JeII^i7 zilpE+1#E_pJuy2Ys|b}F*{K8O89Hl;mLaXKH&K|2VwSxDPPK)dVF$TKnIZ-6^H(!b&9JQr zL(JE6E-_eKpYN~D+Z`RtUbJk1^;%tQI^^)hIyRj-hQu_~Zjz|bS0pE-0q-P-d8)v- zKeqB?4WS+)L>K4_4te3aiS<`00cJd$sRoJUO!D;UYU?&Kn)y)?J-1-ncCsIXbcc{^vM_C7Pa zY2Q;Z=bAz~=Ps;Y53Kr8KP{thXeVzil0D*Ha0`$kA>VIp!&dft$HkTyLKT1zb9FOh zc9$#V$t zX9Nv3;fUv;{&&j?ekrt$j4B`e>}=8&GpASpu0V9>cdtEGH2gf}|9rY-GY|BS2H@i$u*9R%yHnz{Gs zLF9Z*c~`Xf{>QW0u}x3e;?awD-dTIZC+O^mD91K$;Xbc~y@{D>|AZYai73NGmc|L3 zbr1AQ`_X$u<6mq3tw#%GslVI*UCMcn9$g&0@zdL9mbK?T{q=x<=q@(p9$_p3gkVr} zxn#XkC_X!Jry*2!J4;s0O*3-n__qZOmX*r(B50GuqAL3~v#zWnuK(E`u4$-HAvnS}A{hrY1LSMVHa6?X;hI!(T?{S4Am{bS}xT zJab+`_+88|6kQaBb?HO8s2#eUclH}Ut5J1#I3UXpS@sz>7v1!1Lv$h~SYgsimF?oz z)Zgo?9Vtn=HLu;c@e{C&b(DQg5TB#xJML1}V~(DLvP1f!_(YF&2Qia;$0ewsgfURu z@&7e)r=`m~so_snKy86=Ysqk18{@6)6rE5I1d(Z}FoKa)8DqS4jiPWXK3TTTuvQr9 zBI;4#)s>TABVSurNw;49>vcBhL;tg~|Gy3ur2#kbUspzhmCV2&o(sYo#=XGT2atbx z^KbOgOV5I|_fP}$mdvSog1xemHfUAX)|W2O91=}zSl3Wm*s#P*U(P3(J=DrbK3q76zPo0D4XOPNvfUoayN8|)!!dV!!m1?HnO4V=|FH0BRMpeQz$r? zd{Z;8SN>Vae!R@KvYrGRMpk^gY1A}dw zpdB4Yzg<%Q0xj1X2#glknz*)9EgYl>z%>3#0Hu9=qO|@Axjw|!lf3W$BxBT@&cY>x z(f91DneXbyj_AJd9v+_dp{ES_gqta*hOP4=MGO+)t$|+Qy{#^0Rz5O-%KSh6irZ4S z0$1La@MtQQqdys7u}`xMN|a;ltS0nK^EVvzInQ%phKn)%PWBRVyM0?+XqA6l+gCO@ zgRD|gGLOcsCP$(=ri{X_?TwjUA*cF@DQqgfF!^XuUP^;(=J})v&5Li-h65>ZX!xwG zlE1GR#7ol7rd4UBEN^ZJp%Wp9qAZx+Myg(a^MyI8N;gO)&SCxwJaR_RzRKfiqH*3!ZmK1@ zE#E8JiQtR19jE1jG2@i}rk`d3AN`(0EG3oWKr)dXb}|!i=0W{q(S4Y%x*k?Jeew{p zpm2jTG#aMkKot?owuWwFyq?OtVAKr}7U_L~b?@sRtJrpBc#kbT_ZqxMBZ0!SY#b>F z{<%*hQPxsBQD3`~K3-KuD#UP%({b*yA_2axxU3~fNYu11zX341(ElhOju?2(q$pOq zHMjDqW?*5Z^zA7RhmytYM`XnOvQ}m1|8jA}M1wdSk5Db&DoilFPhpKdA}soc?=?e@ z&2e>Je1k0FB{hC~KKXb#!A_M?s=~w*>;`JF zg=$XODI2(#Q#<m(~)2%!f z!wBxP)xxH$kN2HaySbG?lTk+$kOL%gGXuufK1XeR^TCi@RJ3|&>|&S3YU}1Y*`xSK z7|6(J-c4Wl0l($)R`K%8hUwbwkOG;b9EjEg+M)RBsjiX5w|43qA?0&-h{03R$1kvl zT{p%*%K}(}p;j9tzSOlcTHAkWm15-6y1ZY3kkh!d)W?6F>KU@_(kbDRTTh)SUjKp4 zNF!CIX#1ZoTmR~iZb74qKTh)kTHe4NZ}%c%DZq}*IcbDUv|q9mPPYGl;YJ0O7y%;-`5m2DH7FDGPC(7UDMNX6-YD{_)*;A?GIDG*BCc;)*a`m>LZg ztn>zM6gY5ziV=t!InVqai8D&NX3Z@&_!|4jyknr3QPPs;th#W64o3S)$xAr& zU4%j4O)|~#i75*UAH06XXD&w_#h#G1S@VziLM|n(a}BVjhsIjr1#6OV@~86| zqsi((BU^D~g*DVj-Ok}s^7blY6psg_TW9Yxjq!BrC^3PYh=d_;xw=~lD9m4J6KcDk z&nrg=3?R{j%Oq70QFikHqlOLav{`0A+`@L1CL7#q&k37LyokkmQb!6F4e#Y?S>k*`CVhpdj*kkcIfOhMPsQFSjLqdc@lSB7ywT!vLtEuA*MDitL7p;Txl z1}rQwhb< z`o+GnYLOEPK($>hqy|$1Ww=A;6B1U)pWK34^|sbiwC-uFCX$PC9|0@A*lF~%;G@0e z0rtdVi?O835p)Bp+~ZKs6S+tiQ|t)byH}=&;M3CjuOIuQ8j}CT>r1Aje}tG6Vw#6# zfunSJP~$ndTQvO{$6WNDx3L1H&?=jziQMA?NjG^ zr$I!9+Z-NROkwiX!`6yS;gH<&<;ew{#1S(2ceV+HX#Hc;)oqFnVufYH=}NjZkIfj% z^)a7Bm}s$c1kvt%-WUlN^izS|B4u1zkMJ1fb#zv~68o**7Q!~g$hVJjr>;)^NnMSP zT3w0Nk0NN{-8AucKh|8<7m7bhJ$H2GDc0V{Vi`sh>n|=YT2h-d{U-$a*HNopS;o)^ zNJmeKEU`bB`{eYL*!~vXm z*7s?q&q8&tu&&AVG$KJf9Ft2&h;I-0%d5fM2TiQ)VT!ZrED0>f<1+#8mU{&imM?jP z^SKv^0?rvwdBLUp>C(3HZI$o< zrWZPgR&UKvQn_XZT9O0hu9+xh`V)!lVhY|JFP#=07G$?GElKhGN_s$9`|`593HBleY6ziao;2 zJ4Q41y1ilEP8&goaUo7Udq~g&JnriFGZKy>Oz1rgqyi1y>a?9@@fOEspSI$^U)-nk z3q59DY!YfeV)Qkws&}JoZ0r&&Sfl_0@nxG5%N>}*bY~z{Qt~1nMx^WCIXd(|7A9fd zs$l!0Gg`v_!|6{OQqI8>iay^*-%uDc+~uA9w2b5_8+w3t;Orp*9_X9REkpm@PoPFX@V5yvw4=Nq;HEkQ?-;Bml>^G`{R|iEU}52%R_$u{RjQDMBW3- zBDB)a^(77X_dZck+SoSP+Jg1F$9m^RGV_;wSlQbB>-C!JsQ$)^XUX>Dxh@7e0x((< zx`0Z$`u;T~$utpZ=sa0?2l4vCQC;&rHT5_DVtGI3JHO$hu%BW7Fl4*a$Xht!u)HO^ zA|Uz=%ho5*aX+SdGwq)({M}VOaP3bfJ~aM)x>!F@aqz~Yd*to^2^Wugl-8M4F9xQ) z)d8Xf0&1Wo^d~YBSGssC>w-JJF2^St3b&KyqaZjHKva#(S3eI+pL15d^?QnxB4No{ zRZ^>EI*%yS%8cSFja@;GoF=|&BR6SaE{ms(u%l)|OHk+?HJvJ=mAX&gME+gJhl;)b zMY(%`(5}ITOVyCzbq~X>zd{K`Q%QHt`^&?ZAubKyWJ94no8%t1p3;})))4oXlmk{CiYY zyz$6a*g9N*9h#Sc_gL|zblT~@@AZy}>S}=2)3{}Uq4bipq6Af*95bkFJhn3gLzm;$ zz?(k%;9E8D>8u=;I3HrGLfPXwMWYwfW3s-2xKr&j)HpK78gP6PM(I>6*%%rrp(jw! zO6KpiBctz*2Zm|t>6xs6@n+l@EmIS~8lN|VlZ%5D4!VO;ixFFTZMzx>>Fen4TMq=i zFdFFdnMGJS_(=crYW-E36L;V6Cenk7_3&(&TR#;Z#^>nEjdVW&)PIk@i#-0ePdVh) zQ+#H*5~H6C5tm?wc;(8rKs7E-t^|NC3xEUzekx$dR;UpzPrkIs0kcH-i~7_t(%?y? z_kDs!QoC>dD5ZAtiGMHY4IOUW{!lCDtBQT}p_XlG_TI!T4QkIA0M}$#M@4zY1i#<` z#Wh%}+ZED1EiKrb@R7D2awK$+)?Pe3nM}gFX7eXlm9mO+ja<97-omuU`tAfv!-eH| zi&srgP&X&CgLFAygTS&vO>a;B{<6lB z8tkaJd?Y0cv|1%q`}9UwuOT+3ISPlCW?eDdRU22WR=9-0{@_ddb0_Eb7FYdW+pZOr z0_Dz2y2qc|5}?PLx-Bkj`lFcY&L_@7<(JT;7LI}iPW=gW+4i&ig&(B+JvdWBAw!&R zOc@wA)0qI1O6|a$+I1wA!8`VgVBTshue z{4F`XLXxQd`NH=weeaU%C~}M2RHGHc2Cs4`<^f(g1;BQ4->S`TBzlgW5OU^FTnUc- z+4RfUi_m(O&CFxSGwu!M9%!lctR3^g%ZF}g_GXTY<;OZV&+&4eH7c% zV+p-LzQEI&9e@FPr84gNdDh+Ava%U65o`(nZkDmVgMd`kfUxy}QTxAd!mU|74dnC` zxA(aj?;xU>aX~Msa&xYoAg07rkJf8_yjFPq5ooVd0Y&EduJq+ew20qL0kQL+L(F7Q z$Li@~^b&gI6R+_F9!R`lm4@1}Sw(FtuID8GPgOp5L{{$cG*Eewi*9`c1)IfDKjau! zx^mKhDvPV|4B%?6GP4`_5>J;ga5ZhMumE{hEjGZQ`j zR-U1OPZh%<%JzP`dY_CRG&mO!_jo4xGm(Vmq6WL>hLqY@fzTxthsO(CDw1U26e;m| z0MtNRn)?gyao3$`Dxmlt+C(C~fs#e!LQr**?)PM;lX6{AsbyxG-FS%WXs&0|UIC0y zVbC{R8Ry47rLr6Xl4c-L0zK1}g$y*JO!TQn%faUwf zjgNFs3Hn_1e3EYz6&l60eWY=7-VlT3mKf5H86WW`?>G?x;~fa2YSglX|}TA55RGFSFt;Oyq|x~xA5hqjLX<`57`x4 z_l2b6&*8;%QHoy@U!n0)wb?@uy6Ag?san>ic?fxz;~f@P13eFfvhN-4#gT|Q2c(H~ ziaa*GZV<%5^=lgxUY>j3XdxTi>BBY>ICItX4#T7rmIbR5gM=Qyc@rF|icdL0$ar6K zE;51Sq{s@zCg6DR=0C2*x~~HP$A+_vVBzGgG{H(^8b}b5x>0Yo8iS}d25W4Cs~g|h zw1=wpq@|fBmnu{(drWK%9dKrSJw^XF=ZGUQaQ1W87Wr@&wR$Zm=_JhA+o?53U*^l6YQ(h*m#D%fRH&e!*j>i_B#HwkMKwm~GTBh!FrI*S* z+TT{EH$kdZgsDS6P=RIOR|-&DI?UwkK>>r%y8t*u#XeVeCN2*X(dqZZhFdFyEe;8N zZ+-#ZV?OulEwo|UQ)rCBk;?vUG$>@uU`GiWqXi&?zupeqlD-K^Hhwe0W}XzF-L>;RE)oG%8<0}Cl1kqwZH@#N^5|>+ znaHJQCnNfBRR?qmpuMGZS?w5&yu0)bi5qrd<;(k(Qs1~Oe8DdJ|91nSP^bEvWd};q z6`C!Jl`8}GmsFMplvTq+b-piDU&Ll@za5Z>jiI5ZejcEji!T}EhW7!-`7^aDgScd* ziR>G(T=D>UMwKK2_K>)w7yBqn?;^{zf(@TdM^UN^ca2eG`l-; zik`cC->dXzeZg{>B!!i*0XprGjqC85RhyBPX7<>|!_;vdJJZc`O18(D_Z+M-oQF~1 z`tY!kY*2wba^LHywppu`9ujZ0?lqK3^L@*;&X+o6ubNtsumiNi@P0iP7H=nZzF?uv z6%ipLOXRQU15_)UJwbDHk4ZdHb+%hV5@PQvik` z0m)g4?(Ivs_Su*EG63=hbW4bVo`yj-rQ63JdHV+eJq40_m5*BUC^6U~6^Q=PRJ8Dg zwHev_`>!jDIT-scX%BxMD)xl1$%7UG5t~!#8gysD@{|wjum! z1DC?rIY3VZZ>ctKAyJn>K>>JPdqf<$U!Lc>?(1H+n^0_PikZzNgd=4NIFQo>O?iCQ zy=D|ALXQ@5UsoBNp|ovlm*jRkbs+-d%`{xVIJk^-AriR!<}C=g(^r&(DX%fzo=<+w zbgT$4#pnxFSus_%O*xG`&)c&ubn2qzIgH3~L((P$VbX%vy5gvMzZ(it6W6~BS|cVv zw*07QimfW^!GSO^J!>ylY;_*DI6g=EE>&*&+=`R2N<>lU_ao%~ps zzdR=Du-?s|!rAO)L*>5H)l4}KZZ%$FvTFby>6;pEQ)DSUWq6rq9iPJoGF};2S4DeT z+s~H0BNzFu#^`ZyO8ZPkR%BIV!IjV?c;F&FImJ9-+eI^a>ij!}I&?@YDxH2YY@Zs2 z=CQU?a2t=RDM`f?wjYvLi3h1@_x*LAXJM4JzW3ruaITXIL@%36O&Uc6#|#7KQgy+^ zD$sV_JRQ!n^3F}0-!*)03ERbpriX2_RFJUbDhw}#Z>qijk?=qsxoD8kRS>K!zW&6d zeuyLJCmn-?mb;Nu@%6fUy#%?1*}gt!JrYP~%EHOErRi~s^Ysz;0gFU6Kh@AIfA^U`;o3j(fEOrK>?o8Pp)F28`R5}hMQ0*4%Ht`0htdQoyWFMwzLg!S^XPT9Q;PD{ zVX-FcPmYJ;mIVXqz4>RK(dJNGe-){OpAzWDRB=Bg9vljdEKwZ8lysgjLogmNT7M`x zFy&3kf=HlwUo+b{_fV4N%+7VO?Pqg?L?+PEzL@)b^LyOhlv(G>AV3~^vQnzN0C+=1OM#e=ZvR|LrWIZdcIL9d=pb229jB!1C+Yj>9e&^ zZiP;Q^7&$orz$GGy`&+1cNO!^>^sI$GcRjW{;O-pYTE=JX8@si(fA>vNgTdhk3LgV&wYGu_NgLY?eRvQkloBm%drb9&zfsQ zMZ`PkC#n)YrEr0@Wu|!;fmxN9h=YfSmxv-cM`Yom+XE>)mqDg_2|G zM8K!#n~(EZsxoeOA_Rh)UU_&k5T20A$;Pahi51+3zGz8F=pVUANl5QIZlSQ&4R75v zB?!H?-I-Ap+Rhst9fTZwslFB@aqRvxut)C>8#s?^J_$}_oLW{PnZ*rB(q zB~5eoU-$ZN9c8!Y=BBu3f7WGl~ETK#- zsJG_Z`W8g)i3}(2N=wSJQx7k$|IBB?X5w*HoUl zH77-JDtqCEHb-G5Yr&lL)b78JTz5|4V?E+K&U%9F?1$SZyFI!CCl8UQ6>Weznged< zYGV3J^Hr}2tA5OCeLg%Y5P{1bZVr@v8fUhE_os9aL=CoTcfYAu=*}`-2ya~H(+t+B z(Ua3;6I#fdqpd%_+wkFz208pLR$IW+jhedsBn5;*u_8{WV=L%R9HgJ>1hw@5Il?Fr zIXe1Ioq&YKCI;)e;}6z!*kbovdKYoq1YqGLGz;m>g8O3kH1UMBz_F^ z5`e38?K3=EI`SZ=KR3o}`EIhQXilFq(j|>1qsCP@NZQVQc}_qw39oL4$821%aGRcVyh5&p-vdW6 z>1;hYDlAC9k+;P~!O0l2o_y9gfO@&@-{R7(O%V-rQ|1#kOR)A+=_CZL-jig6zX z>0PKTVdfSoGE6xV{#gHQYjbkeU)3K3hJ+E}5~+HEBY*lpFarrCol)k0A?9>O00WoZ ze(3ro<~&=wCF#?7_JmS8qxL}g$u}ptI!l_HUfg=$0jsVbANLrz{+CdXH2DaG33 zUGyjJ!!T#7ST|S!-w>cj&?R}i&k8n78{~h95^)Xiv6_C>QfTX?KAhU-c@`nUWcqb` zOwU@XcEmcjVD* zWx8mE!%OCISLU@L-rBi=H2!D!4fcRkHfwkI)zK088spcc9sx8*#KDNb)6oj1KPw$M zlCO(ryBI-Tw@sqURe zA?oi@9IpD!C!-Ag2f8M&J3(lSB$lyLx<=y|;@kUh`kFgZUeYeI%$_>T$oBq8Z(^uG zMIX<{=ka?i4#MlYx4O)xjc7j{mu#XaryF)Ajd%)jtqm9>rZjS*HRGj>)RIHgF5n?* z=Fim^KY~T=172rgMGi}lwxbHI$T2YrwYOA#Rp7SKP8Eq3fICUY?N?CUph=X+)_&z*x z@+-fz|8tKYjMDyZ?W$AeoT~6_D%#)uvC-v}de&I;7a=)ka=nY6n(1?bp@z?#KlqGP zfqmC~c}SLIJ?w7|r-0sCvn-B{E6_4r9gMO5-z`}--9-%S*52CDiP^fov~;wxpW{R* zV|Y|Bbciu+8n4R$k8&rwZgeI5Q?8zBZ|OF1juK~foot5DZRUxIsll!M#!7Y|2IBy& z9p5#jdn}5a^U-G7{4ygWU_Vhn|NT)@>3Gf|y`O)WO7GsnT?NMNBbN{4bYQNoKk~ zlDplo5=dSK*cjlut+(y|7G7P=!Dl78zV?I+^~STV_oA$ZtvpHi8@|`4`R38b$p(zq zpRax6tKLl{xfkWNTkE2ly6Nk9_YV3;rmW47Y4?;V1M9l=5S>a@m6~yCZ?Rf7>h zKBDoYV-j|*e)&Qz6>Xo}Y*@4BJgN~!&oO;Z3A8pE`8|YI^}zu5E2{u151k3k7(O#v zSHw@ncAhF!q2#W*9WeWo+~Edn+up}wSH_;6EjP;_c;A&1%9veiI93n-*}tVwSlWTDQ@^&>jLBQ4wirCMCiwVyws}eQZLwkDNTx~Z96y28<}k!76g;OZM|<2*4qIEOKqn3|cL4U-$WU{X|yO@3dfk=`&>`-{E} zNn@T*`}cM2yYl9+Y7BR<_bbR4Mugl`ouI~Fe&@T3b|g!$0WlPS=7^C@IQn`R{lO_@ znZV(hc;(rNB04M3}kisP3BI3z1e|5!uj@U*28;HnEylfhm1#UAbBPJr1h0Y_3F^oi;}8U3i&qakYKs5gKeC-ZHZWfLGxr!s zw0@?%%HkVMAR!j=IF-Udo6>r)n2+?ql_WzQeEpB3&N{VrsF(O@J~lM>?~>X3+V(gU zQ659-h))x~wYK(FE4XPzB14K5*+mY5!_d@` z@^eU!UUuNUfP=fCms;ivclGvzYlocJxJ})F7X}pIb4~<}7|Ltr8ycIv*GyirEvhtF zru0dVepBKd7kAtE<1-G|k40tjtA0<{`zrP-y7C)`U8bH*?D6NlSG{`Yk(Q$+tCYvA z!`9w~S7H)9G%wE=%vv(G^@|6Jd_VTG|AJkvTF-MnsY|jJS{l4P(P~_Lo?f}Fd4ShE zVW36Oz89?BQccfS8a+pTh|g;CC>KG@dk+|AB8ZB1eMkCIg5RL~k6ZA>TcVqYL0mO` zMp;DL-YMsY@{d9P>{Rr}9Okdk9HVWSYu~1Fu{0Xic+KBnB^$dCLC%3NVSf44c%9LG zlT(shpy-YC1XBWybi@6%@m>wQ<$KK66EC@*KSsf1jY$akxoQMon=lx8*|l1#ACT#v zSTDwr=o5}#yb=)n-i!4a`WRKUlPKZ`O+~e)bazhdD@DIRBae8Orp4Uyua~=o3edz5 zoTHe4!}Y)Id@fcG-~9)=>i?9aKJ;KxyCUZF=B_V8ZG62?<;y!VOZ-5nHRAxTdqN^V zu!Os*fQPb8*iBoY#zjt%WT1P-yqydVjjz6!>l9U;e_Y$^s1YSqXS?fcj%D-eUkP9^bHGo-#kL^$)cYI*# zHGT`Tbv7;O^O<4!72XWoQtW^~oWmWYyV&?S54!g;#{Y5AXim&|7C}qW&AKcC-{j+7 zcRw@CZ2C-C_7S$9(O#`t2}KL`=*!}M=8O}a_G~xML-i%fa9)97waWx^@ff->^rV7} z zF@e8RHa{svOJWa?Td@H9Q_vA+26A4t@7CCfjj4EbQq-U#j6a>gT5t;X%y+p)gt!_x4JBnYD-~huR*E3N40P0>^ll#u zZS$V>tNxc6zhk~{p6VwM%JZjCGhQ>nZc$BEO#+gr}dK`brL zh)?aONLcV^f)v7ygvTlipgcqtRN!j=#ml{*?VYwZb8Ihm&38_j`^4C?`U%(XX_9a9 zhh7u?vX5W}34~sNL_0__?)Qd(g^X^;uumK#M%OExEpS<}?^M5IuA}sSKdh^PP~X7j zD9hxaXS@(GB&PIH4GewO%-X8GuO{$5$NBJ$1s`-Yh%I!Z;FBWClcauwzXwkynAknh zcx6sk`szB{yltL{E_SVnh;gp_sUWyYQ$Ww|qr$VnU=e{J=uiSaeVLKTp6}r~^KEb~ zdBiF~6>u5?WJa;m3)hmVpP!@*G=7y|rz_HV!*qUYtAwx8e4M>U|NUj|DUZPL$rJ!Jj$W)v=-rX_Y>MD)i)GO(pYbJyTvjJo+17K4KNq1deC<`U+ zY<#Eh2Q*tx04H5@drh1-b#B~Wvjn1Tg^e2x+kFv1AL?GW@VU>;x9~A?vw%)0I0M>b z&}ish0WfPHxGUxT+G>*lrzM@+Ve^c(&G^g5yw!UyDo!6qE2{@7UAi7}*Yp?WJ@=&2 zBrluP^~h$cafyf!z~|S|D3KttZ76g8`x8X4TmI}AgF0*GX2YQC@21Su9%IV|Kbr!6 z?OLr*xSnpc^KAioKvA^91QbQ|(xA=!B>0$%-KEH_orEjrNphBffKHlDx{#%_js0n> z`Z7t++1Q`SERT&dZ2H?iM#_^)EF_c$l}nSnQ|-iL=wDB#n$ggX2t;6AUy-k>uzPlU68TRit)m{LB3BJ6{>Ku&2=(oM-r2#9hewz{6{1^J;irn=JT{SGzI~ZUDJT0 z!K@pKFSmfbVIfy$*V`>edLiLmJ3%Ali_y&sRyr-Olyo~uUkX=BICpb*!5;T%-mL|-U3bR6ysq@l-g5(zK_&d8( ztts6hb%`B6DlA&}O~w}bO`VjWX1v|+2ah@9E9?Mb5{AzYevdMxsS2*=Y@)eD>yL- z`h+-je1thGYQ`KEHoKKjC&%tLI8mf!Fu;+jJ;Tik^=4kJxcysvLL`t{pCuA_wSNhS z6m{T@;gk5?{R}7V(2+Oh8^(S9f+M5o4dTS@>^`&UUD8Ter*QJ@sfT(O{K8vH$AWFM zx@f%>b`$#!Fu!a)5&W9Hs>!LNzFVdaaJx`c0pZ8dKxWvwNUT3{G*Hg|`QPVk&;O2( z@JDU|Z)jvLl%eD>KC|H&8Y1^6DpBtD1qzgyx1Rsb=Jp06Wm2{A~TXa~e z7Lgcpl?e zkn{u6m1EN5eb{;(d!1%a;KK8Nc3C}{x+R{2U#4%SAI#S6QezqdbKs zhL~@wl6qv0?t|G#xKr;@`MT8xsalG$qbSs|e7j<+C#`{#M&Q-RR?GwlyTKb;{f7gv zke4$DC5`cgv;R0X-CRoV=Ya^sW%O(R3MUuCGMa>$ClvqLaPJP3^gN9&u!hCq0O}ML zLVoAp7gH+rVP#&MuT9r=ZXI@M%vcbcj|XAGbRSE$5fQvVv|X5DWm!^e+0EH>*xzDD zyeE9W?mbGOuE}V3wwNf;1>iaZ5I{t%d0^wH0ly4!%|mMpmm^pV|22bFC*Qzq+65D4 zl!(tPc)G4winfM*Kl4Xf!!z(P<{-in3c)DgE?eek>s@2Kx<@fpt3iKfJ>dxh7|J6& zDLLET`KZn>-;}#6%)`P17dcfbDS3V^eAc5JYkk*e_wywM6nLscYs}#9n2Om)r%*{miMgPgz8@ps3yXSsqwF(79FVhE`wdI+5 zB%Xp0j@sF}{c7o$o|z?wjUdKIds+MEerp95l~3T(o}wM#;72F}L&x@twz@9ykgYP2 ztX)mR#5sM)s|(f!gNp*xewXS)lTQ6_?Qjbp7(pKM9BJ- zTUvu{ELck?I(UY^m47`vBFp8zuC->at$G?pwS1~|G-?)moiPGZh1J&el6>u$`@Jh__ za_#t_7f+1n)7MyB$hPkGZf+DTdbT)<8p>6cnF>pBNWCH~l`SuGh2rj_2z4|&NTFx1 z^bqUGz8eUBek?m@14qH7$Cl?Y1ESk8PFed_idrmU=U%gxidVYYGerG$!*MONp!`9#?Zh;^;T?zz4$QaEWU2er%SvamZwnQYJFjjYT(~sQtW*| z4)iOQ6I=zyactR-Y#>eJv&k$h4HWVLhOiUyVv@+L8n?$z$MH$Si#)hjic6(MJA09K zT-Dv~{#R~%0l3}2n1tl>#h@4+27c|L#=n-heTSj6ts?EY{-`k%nmbAdCsFO#bEHz| zh)vkM&G72M!hQHPMjMP#1|!J91{nH;14VpXlxvxmL&xyKZvnr=a>O=4!lB{ZU?<|XCCh}|_Ge=;fU^cAnN2Lv$ytq~M&Tv2m=-6#19Rk$Wcjnw z>Q@YWKu)B|MqVGkK61P%$%x+x{^%`wo2A%JesK2n-~F$ko)ERl3;QhAS98Zy8EJ43H}{$sLK1#H_kb5nl#-gE+FgFO z@i7uwhz)zlE90S3rpN)VAU`Gm}Z6Db_vVl zxsI~$uT`vMb>;UP#8IT?M!1LYjrnFNbjIR^!Dfs<(+bq zuuPW^64#ccBVkOHzO<4D=x?kc=4f7@IFHVOI_Bzg9m>ojcKLN!>oXpo)M{EcQ_fS{ zewTMlF&qjmdktEt63@@%CB%Ss5Ia37!!EBI=X~oeAaR@Yvhymv5!G#*PwFqz8GDB6 z&)Y8uy7DA-rI(S52KtO{tC(MhBDbyoIQYPK>}cCUT`qd4SnI7rq)%SoLQPAk?55L9 z0vDF9Hw*q%0>xS-exkG^BLrCms#O*bfPw{J5H+e(ZcNf|?r7O7Sc;tvfOXi^5#`lu zFw@U7a}{U-ax4Ht6ND8fZ%_*nc^s|N5^6lH&ZvIsP12Wl>y!lI?-V|h?E5qSBnxzA$KFVib_6``yDtCgRXmIWOow{#*ZETZ>#Mzx8> z=y$U4xzi|+(%?|cW0oa7H)UtBZK60m^XEK>fzRoFSildyzdgoO>G)+dxL8CrRm{!y zi?o_ZiH~wWsrbk|leqm?-Ii#FY@diVDWwUUvOIo8=-R(6Fvq*muo{fA_ZsjVvL;6m zK>_2kZXxMh8lQ$#?KPO06K!Vyd%tCUE*?CNFEEnss? z%&}L-9BKtCTvq-H(6Yzhpt%{hRV4pUS=H@{)xX>0-fQ&G#+w>F0PM!UrMaF=FCIO} zj_wOr2F$)vPBmGcad062QTS)n!h&|XWGP>md?fdGkbyAlC2kG$C_|q7{$Hhrduw5m z;di>N7(m*H+y0*fvg~baU!6vSqiJ)&(0j|mhp}2lX)ke|u#aYm=0U%PsAKY^qbra zEOiE~$afE}!lGEx*qqMZur%I?#14_XcGB#Pj^}K;-~z|91ce1^He>X1IIqQDowolM zuikr|)MKtFO|$jcN^h$$E{d9=P^-?mn-sh=zb3qM{IRu>>@C1lL9J5b`encVWhGzp zb_<1h3uh#gqMSyOWyE3@mj}FGv!`k=l5`B;OVu0g0+hYEIZ!I#AHHPqPg{;S4tgSqHh_`8WfRAbq;*OnNn zArWV{>Y(Z-Q_FX5@ayXCKDHE$c}c+&{~uGQb4l1Vkb1k-kuvwciEh?~Eor*bXl{$k zC-=B#7t18`dmN=|eYANrLDEdzP0#LA(ly~mE-|g#ZfjQi+$SHn$MD76-qcy} zS0sHZOja(#A>I+}vHNjUw0GPwcioSV21s`XhG7aD|6*r|nuC@lSinCsZnG_5IUGl| zkqkuK1uPw3)nq5g{#Lp@CU%s`9Umc^=TaR(iJ61NTMAKCXqt?EFJnt$)w{yyAQQ&j zL@?^8bcJ>ik9{S@LO|5P#n1VWVPh*dx;BwX%7I`2DlU+CAmqHCSCtu6#WFIh{mJ z=b^*iJ7~-DqNROg$tKrC9eBVwWGhU~XE-{-j2Umvv0&4@{$4ZCV@*7JoTms~r zX665j)UzblVl{U;>n6PR`+P4SJgD5cu1hkmYks~WD?5w24aF>pp{O8Wuk6BTD;|7lAp9HQFS8${ zP{V>##E&}BUlPAG4t%{ht#&VcRhQ^?cf){%E+DepLdfg4Wc!d#O(FBX0RZzN0sL9% zOdd&;8*a}pCcd~*^+wwdbYbmWcddgcxV@X{!a^OnAA(7;4f%V5jU(Y1BD2UX(=p6H zOX_P0_=Psv(Q{o7fGr?TShuVAQujkeMaZm^E7SYy@Ue|I50BOlNS79 z%uj$8<^94Qe6QSmT4M<|evEGx>4pLzFP{&Xn-buW!mlJEKh;K>w~;@X=4#dEW4g9v zXo%V@Z#M><7l^&Hr&9+rn!v&k2D!f62sadz>IkVHb#`CH%Ep?D|2p5qGOxf_pMU6@ zr#2~nKl$!k^lL@jfY!fNk4yeigZwAo7IaJ#_PTUIK-h71ea)Z{wKYe3p1t8&EmeZA zVDq1)(gejaCTKNop_cHtaB(47xtOe6BVIP2?Y8spi6|&@?K69Kv&ZgAz$9v&Lao;O z9qdHCH4A%UxOZnNb_iR(gG|=|hYYJv1en^?*rK&kks15fz^L#PfDwXqVRt)bM>^LI zVxUi}*>PaJyW4RNyC+eyR~e%6#*qjK4aJeTZmI_jNZfeC;LhFQ@qA%8vTTR&Dlddz z47Px?_&V|`gZ0b8R=0s`WkuX*q@KN7@=T=g_c}zH2mO@Nn!3mIw&bv$HTRJvQjdT0 zlZYd5g4}k_*MBN}CSd6tAIb6~i#YgxDA(6Wpd`re%oWkf*q{Vq0e3;rZiP)%h0J7m zq3}^Q6bxAG)ze@0)IFs|Rm@`z z02$`A#XG*m?@tQ5O$)>Rk#5|fuw}F{#_gt7cH?_4a{du71e4jh#seQ9e;9}@E9XmQ zgVmln`tbS0S0}m8dl&y&{8PGpN~K(lq<0!I|E`w%m7dZ-X!!YmZ7?I%;|JN-B(uh^ z*{nim=lCsT+4J=Iq>U82p?jR|8V40LVMo(4mA?01I^&(9ZC)3UT3tR(s&L{7{Zz@DxQt+3MyVp6tu>5|c| z5akGwYLmc00PVTFKjq})xtSP^}x`8WhD6!RJNVij0O;5;?8?oq>6kxVX(=v#TSZl0F4D!3YF;#PC>!$&52ES zHggD@Y$ji&Yh;Evo@KXI;vj@v$eIE(79Z2nObM%4=GTT)08rH*sRF}9Aze$!rpbVW zBr6_#DLq7utur>{mCl7LySv1P*dgfwA9CzK(v#?Fl%D$HWtwW&7LSLA4ioEr=ykyc zp|97}ZG1uTfT`rVTj(Z@q!HpNGN@rT!ze{qnK24kBc)Wei<`h=>yis_C*8VoCGXPa zZXu9zA(X+BtJ71T_-Va%h%6VVa3Jk@P62PP3w%eXDHF6LG0?ajz4yQ+f_vO$SfPvY zS{`HRY+bmu^7@9Jo78!F6|(9$i|OFx_1jZ>K_p)8%hIW3XT4XE`g(2UZku|c?i~bA z#!p9+KvM-wnt%MpGC5}hobi2TRB5j$Y8OjIn}RnYXrM{y@fdgQj$WE@4wm%+uTogs z9)pmA-BS5BelQ~bG)uOA_fTCTR?&CZ1CJTHIwX~<#R3N7jjFNvr`NREQe>F=n0xWM z9VBhzZbZZ4ebe=c{;Imydsbn7=JxAvB=>_m-R_}VcIhnZd`rN2i<`v`Y3nKMszVe`{!{mibY z>TT6mi<85>@)@;pg+2Vecif`>_xE0jfq`alZ=pKt)(g>5VcrmT*$WHhzsYPc=_vs@ zqZU!h=+rqafti}%3il2T1KVJJXrA5--rMz99eS7l4)hNo)*=KOLc#Wa!k7HHg~!)* zA{bUtmz+})8keHN9d)NE`?hdctwQEiVZ5NE@di7y**mJD_S!$R;x)+FYxgnX_sPcy zCM-msAJ>w=r55*)g_Af&?#Z|zFX=f_+RIQ3A?7Frsz&W&=w$}4*Db?loys!m0i;q9 zU+^1Ssl>uE-zo&gD$2(tf=kF*yT4K$%C!W^#ui}sT7JPANCJ94>lM-Kor2;hc=a66 z)|d-ymPJotWZJxaRikl)GX3}e@GMUXl6l5{V81Hmfxqe6N z&ckcR@1a=Fwi8(KZZ;-4U`cll$rFwMV@CO#WIx?9`tx==pg#)QdN6<5c%iDvit1s8UhS}*xZuO?kTkW4- zZ&Xw!y4?wFaG9^9AWf2L*1MwofAWp_fUW?lslpC~rg<)Rmk%4#fTWV^A=poqJ2x9w zr$@5uUM7Z)9s$8$*U4DZGtt?Ht8pOmK^w%sV$zf}-6TBas}wO;=~c4$qpLOrompj; zJ?=M@rxxrN-)8@e{>-|mw6fPSx{m)ghM+>_>PUHOjy3}c&>%k( zOfUPm3Pq;a*p5-cC9_-ie3A5*tDZ>DWPL3Z(zCUL9Azd#ot;D$~C+#nUAnjABvW8a8BrAcP0*y!6m^?+;r+#xSkmoIcrz!=* zka&(u8S*WrxPXz0uEo@%orfY~!i92U)3C)a;SpY`PcQF#qp);T12tjF)he32`qomA zx?O~R-^55IH3Ty9SlQJ^+-^LtW6!)QcFl}*b_;C+Z zcH3MfZ3f2@XGV|3VTUNd6x9QQ(d9qYycN~GdVhn{cUqUn4VJH$8mhm!s_<`JVvnt( z*;^sC)anik%UDkDRnFW&gCCk21rBmEu`<${#I6qJMKffBSN1=7AB?Ayr1D9+6N~K3 zd|p_Uxu5aRfkVONc&{)zw_>+2x-(;8OI0%Ra!)d3O1)?0&&gWbC2SuHyo7krya@WRA2Pb~h70rLYvNzYZr}GOH8YJ_rFMAntk1E|O%3Cvdmix8WnI||e-q7k+4c)q6*cF}q+L@@g^p!){<@WIsue2$X>=5o{y3+^ zi<`KHf79ic*om}U3wQXm)e?x>d8GiOqd^Y^i3*_X z_vF9dX^IMs^cn7n9N@A@5D`%5$uQK3F%%u`xCO_DBMW4Ea%@qpbbI{|M&T@_Q9X=$ zCRBPNLzT~C6$*6~S$x4s@obUnLgp4^Rw>zB1< zJ;zUzew(*3b1YZz$H5^wm1{~}Arvx%O?6m_r>0$o=?O-tN9xN!o?K?&hHj%Q&K|Vd zS&jn9N0A@^fRm!qtvOQBpHQ?c=v^pRE*9e5nI*!ps%Ub7#^dA#b1=^3&*_r_Mo4lN z{4+_!5Pz#3<$vDtiq*m6O0wJF`Am6s0f=VVLpul#`G_h>*Gd zTZY!taH!(2^ckg}#FwG(W@Rf}cow=OSj2kLIjM4;a%Wz8Di7zIayMy}^meN{m9I7{ z&OBwSHgoziFO4UCG+#p2hFPHj!lf>e>Qlm6v)X!3qHkr1y@93~u^o>9E>oX#l;=+j zzU_o(d(G@8jGJq4vqL{GcDxr#%3f3P35!u`WHP>0W4pqbbu3Bh?M`U!o-|*yE zZT%65B?g=IT$F+NDAim-Mk()aacHs(%(%6bk~x8dIvN{zJA9jFX(Ta8C~d4S|7YKR zy@}6^>GWEAzPa-)P}|8<@I4j^AG^YJ zcUsRgWnEg*)OjF(b`m6H|r{P;55?tL*$!5~0$&Jm?(Of>Y>k5zx2OjZ35H;fD z{9v#>+8YUy_^xPC{oc#k^1+9CmY%B655yT^^Vn-WQuTucADoo7Wm1-YVj8ROtyMn4P1p6*F&>M*@t0cRRH8 zmdd!(J(TU_bvjekE^1i+n7dMP-of{Tun0Zr%YHa_Pu*Rs?zf9goRp#rpr!$kW1Jgy zTU2TmgbCzG71TF$6*^nbePt(VKQB$|FA~oGoNn2RjC8p>J!gKZ(pnZQ@(Js|)bx|R zeiAy$j%DXe9XWdETgi?1(n;Ijp~jmt#2oz-)je=b)w}WPzq9NVo{{tCW{} zkp&V)M7JhS@LJGKBoU!`iXphH1J8Xg7rk= zk)kVK;E|2@6X7#zmis>?Xu<_*&IM9k+oWp<<)4c|O&&uGi*|3M&91e!a~bfO1Bbe% z!1vt>ErDuRTe*PHXTZVyF6(ix4voA^^1B*|#EgllAEDW`MW_YYYh}N{S;-W?#}O#F zo0~l|>Fbu`=6HI~PDX@*@}CuIpdRYXr2o0o%3242n~+aN7PT{g=SIzw^m2TfR}S=F zi4gRx%pRVYp0^+9G}_OC^VyjBcbevog)@Nz&426nGJo=*IU3Ea4W$sgYB#LI%lDZy z_yC}(%so`Y6=B5F0aS-u$rz6ij?8Sa&-@DPq_7`>%IMnhQMU~x13v99DgkoYH_0<94mWb$LdYL%ayF&!hS_Kt zF0Vr>2k~65CJ*?txucD7__JG3D%G+?Fe%C`*vhslgr+e`6U&URVEnQOAj;X3jVa>i z(SD4pLWA(L4o_5mN2!!v#04 z0pf9RQMZH$DR+lp@QABD`>96q*z#R`s}6VRcZVBUd~YFF6Z+>oyqJ;D(&M)gv$cBi zEycm?oOvDCR+L~SD z>34tzu0M9AU7aLiN2kMLFURm2SIk|fKY;{Zh={+y``6v&5mFRMN- zN6{=3O((yud!~rHt2#6t1S=fjP`fV?Tt4_*>$L>POXglgo?b7^$7*dvk~qN|Z);p` zZ|AqB!7wHRsR!j(3TBR6DwnfVG_I(bn;1}k2c!26%SXBnE`-Z;?OMwxxtgP7wa;v( zG)7^V_ZJL;OR_U5u$SCduluR`(uX!9R~-z49gi2{yfw=BIO-N3lwIY#6gxQ#6SqiV z`qFv(pbG}z-8j{HKS42cn|7rtUaf7w&s^iFn`AHWWV=+sX3n~(s;sfNjZj^gj7@gk zio@D-=`DasWFt77_xrwCt`m=eofhLxz&=9cuU%4t3F)v4$ zmlBu*ua*w&~ zyTXBp$X!qlJ+w43&Y?d(3hxP30q_{y#->j2*vqd8YM~(7?Ez>mzxdbDZ%THPg%Q6u z9J&%L_+h@ z1*b_g7Q^i%h1#YRAG55BDv@c&4#CY+yC?Vvq-)fnnagNTyWQcHRUl{xOByxRQ@+T4 z5N`jJk1!8hG4li)dmfj~OJ%%vy|y(dO7oUFhU`sG92EYT`~3i1^k2{8yS#d$KAv+= z&QmrFb!o#-Lsg7eXJNb=m&KzjfMo{tH^Y(T&DH&@MyZLcNCEOe)mU@Ov-Imy5X6r- zqDZp)I|vH0RO}gw#5@-Ict8?S>>B@;ajJd1cyz}&bFTCafSDIq9WHJ zN)$oUGb^8fVKTax4dupyIS(j1Zqfz^>l@=CW4L_FT*3Iz4A`<(46J_A4OnT4sriPp z@5%UT_O^fBwnOHAgS3-(Sh?xtntIz~`mRLqLhen9_vn3L`Fw!~g-$udjFI6Y<71W0 zFo7YhH5U+&wN2uj0Bq(4o%dGxn$ww_<(JvVr8#lD)bX z4g}S1Hhm@~@S0Unxx)XwozSjmByVB4N3Q%Lw@%_ww@aGj|HsvPKsA|t;ojp|5K&NR z(iH}20wOI^l_E-&4xvjk(t8PIq)HcQ(gh5lK*Nbf;9q4yFxq4S-D`QPuZyH*wp z-U&-4d2`M_dq2AM^rCNZd0Mi&P5C>Xmc81R`StVWmX?(EF=mSQ=;x#N)MS{l zOqgiJ?SoNmY~bxM*VJ>X@ZXI@+LK9^%-%L{ILe?nGZB6{(|8f(D>; zCN8b=YFgC3bD`7&?`J*t({OU`uQ!MLWv!AC8-dm)``6pk?Iyd4j$RzvyS8ySw=%mm z)=CFb#iRb<(jpDJ2EZk{9RuM(b^K8|+{>l8Wu15yZKs@+aDb`!XDS)nD`i@^NSJ)v zql$TWX|&>l^S<^apV-1#Uam@JV&1X(IQLR~8%m>hd?lZ9AYceh@?GH_TnKRkjy2t-qk-aCH8J+lA2R zj~BBGW8=&g8Y*+m@HY_ce9+Dy^ow0+;6Rl zdEUw&z&Z%@l#B~&xEZd}iH@|n{l&5K5QUdxBEK(T&y?#uRWH6HWmPDGg>w7GCR9Oj zXQ@deDr!AaTS4)lNhGKJ$JWAg_c2czvTk#h!EqGmKI5x6xy9-b7k~@cmj@P_9y=8< zI_BQ8V=*hL9%;QQ`^NeKi(~`O_iMfk?8K~z;aqe3q}=yjC0iHO<;*<(NG`$=mXr=? zc(S}%H^m)-`@mi0n{@wTvP!u1%|(rY-8>lx=}qrU0YZtY#G(BSwVL2F>|erfL$9}n zvXawEn24UUYd`p2!F}yXa;^9wZ_lS9>W@|oZ20k6mTylX*Tm7i9R1nQ*LF~R0qYzK zBy83LovYipF=duY%^GX_RsJD;YNYc;JDl;|;fGjXp(TCFm zs;-(A}L z!ecnIj1W)wivGe-kwYiu2e;Oz75l``6MWu#UDCO2?ha%=(?u<>xA-|eFb&q8$(svH zHsAscRsaj2uomd4dgsqAQ0(b}8#VtZNye0+2lv{ENvY_AKzJWmQBV%O<#71Q4MA~3 zFfGBy0am2soMP6==FbPR8aa69Z!D>m8zc8PUFQ8wwVe+mG?wCOTyyEJn+Ni9jmAQj z%Uk%B**7|CH@x5;hp#zo2tlwD*s(q9|BT&VQUFGrfgNmc+3)^yuwC#?q}WuCK;4iQ4(7Fvx7IMN+CH z%&q)Ro-@R16j6Am66bPMg)LPs(%-QB(BiD5l_nKO!GrEsAek(0>*on zmGk{92+*5eGq6mcS8(6il4avGK%*xQLtiC-u<>9I-|A|GBxMZy)VfUHU9~YV1%e7U z8`D-N3Ml+{?!XnA{|PF#HP(RTOz8W-%x$I1*HhT5Xy0S+1KK#d1TRNn-}t(=vCfm& zS8dNtePor?G(}ugC$#;MX4EO!v793}U$N5jLq-*Rc;*?Sm`TV6fZTydtAT; zB0pe%m*EDAqnZQ5HF|1v(cBprNm9`R$$vjuHSh3Qs^@rrOb6R`QJ4Ok&OlwW@=+j_ zxtAo%QLJR;J}InDMZYr9G6+&pfIg>ZYsB>@kf%@&?`HxW8n{Q(O5VrK@{hW{+~2(< z0It00oGeWG(o>xknl$S#BeFwR9g>7uq}OT*)q?eW@+X*y#KtR6NY^dSFW!!jx;z9f z(8qp{G7$N9_v`nwQPJS0`?mw{)6c{yQR-ZkFiuGa&^(~&dv&_QUVZ~-THL$ z_)DHz&zR+fDMK*jJ=Uexl=Ar|^xPoSH^nLc1;f~HeYAJ{2vR7z zD)!z!e&;!GD@Y4a+HR0&EXBG)wyAVhS*A4ixoq5dsP7!*Z@9JSteZ4PUXG}6p@Ug{ zIE%HBEA3eknh_hZa35#AE|99~A(l;n-|*%yPtLY2=ca!8YHo_Q=K*<=#BG~e8h${i z`#OEM(cs_f`5x~^A=xJ%)LXJ~rOqSOowek$zdDshhUt(0QY+_o)Z#Pu)K?1#Ry#jMvG#NEUn z*Z2Ev$D?$Y&ZR~wqD<%ZR+Tnh@Y3i_349m`^DemBZEq8Jok=4Bv0GQj{|#@Pj8)up zAoLqOL^0lD>#+o3s2eQl%au)Xj~Rhn8H5yPl-0=WiO6NS7#Mn*`yNUQdnn_Y^pDep zX~mx;rz{@=#34@H8ykKgoH=jY1nza~f6fLcu;W$Dw=Fx|C-*6pmbVCpGw*4R`LIkC zblk$v`F+2T+!mO3U*6$S}uEW^O6}A5owyOex zH288(&{U-}Xu|kb@D~K(N{GG5&0VFjWWKIi6~LVAQAUSuQTRF1pN9gxq>p_aBwzqI z7k$c!a~vfr92+O9NO0&&ESD1ZcZ=58v7i4e)jLxZn$(_fC8M2-o+V44Ag-SpDqkn( z-_Yo8VQY9Eb{|ONc!P=S+s`#=f2;e>cIo>t!KJ(NOH;zz=X*~as1cABw&oeH7y~u9 zten_bUF`|U~owRT|z@mkVHN%IEPrB-hU64xzsz(J9A;<|Z< zfqf!p>6ah9C21%Xphf|syu%2w%#!J37XrY&#xL#>A~3iAcU%Hx9%Lrg^PsYPq<#A)ZKv|?-l_hU&p5y2ulv7#GU#4vRA05+c;?*vZm4A+r z$h>EbOT?w>ulg>O;f|bNkH0$2so{oYaZt&WA{k5*;*O;pgdDdSPqKJ-ie_B}lHQoK zsq1nCU_TBvcHtH4n$a?4#SJzK9P5tmnXP=A@io=m$@*6@q#eX|Ex_3+hOU}dw+4%&eGH9k$lM$0ytTEa4LVY=%BkT3zZ|@Y5T+3zyaXkW6Wf7g zQ(*iLpIbu71H~kG()>}l22+%Ez3IY1{{Vv-=2z1FeWWt2o(o<1RZ_cxL8P;z-ptN@ zR!(;_L^9S~*ytKEfXLQG3N*V_fAp0o<(vcKjPm9k3BC4N&b zclrF)P$U20ujCaY5;+)vk`M&(!*(q^d$zvJL5G)2-hhKG0NtSU{5#h5!pg+DqNZ+P@8Z2bDJp90hw9Cs9}l&w zlG((8)*?huIq1EXTH9r+>Zdf!luJW!cH!v)y{}3{!wmY% z`mMEHe#MVCPbC>&S69Zxzh42z!|Fmr{_;D zQ{yRJq3)H&lHiYH^P8s4UGvuR72b;Md*FiWkS!W|ey}5pKSvLOr{VJ#7tFegq+Q+2 zSyHOa;q)J9434&^Mp`sSK#(cU)m2%WHplF3Bf)Gk-1C%~Rndi#_L;xvW+7*f$&kxg zVWG}QhzvN4vVc(Q9g7y9-_n!QAz<57=Kx z-kiq*Z96a^cS@HvFWWo@nDw*n`NO2ds-5@TAKW~LXWxV9Pk4qMku^`tRb3Jj6G`0W z@^&B*S+d(Uy>^2W()1Sa6Tq5Y-LJeX2C9h0U2rLl=87Lyp&1Yx}|TDzmmNp8}FeZres-T+^JQw7{c zLtUPaTWSr3v3g1Vo#u@9ehXJ@N-)0l3Waw>*eRL50N+*^*ta&E41Cd!x?#GfyBF~J zbf;2d?i*jgU3{!=Y-h^GhmEtd2g(!Wv~^51!i9(s)B}m=09175BWBDuzTsLdKf6MG zNYr&8%Pi1P;!u?bs?BJ&G1rw(7p0Ev5mPObR6$7ZU&v+F?g!-bW=-eeuR_^L-l@UN zB!St(Cr+R|mdVR07%0H)CvOxD zGZc2i@UP>t+uBH5g0?#oN6p+5j=xFD&i8*YzFN2%+1T_mOIzSJy(n7a3ho_C%k*bR z(4c=0#eIO6Q);;iiDJFrXY#;;X;;;2C#kS(yZ9T!?5{Z1ZQX47Ak^}mIpu%#mgMsK ztyZx8;#(a93vlQym!CzAH=C(cC)t$8*o%GI=gT`u*x4LC%$PW`A`&l56?*@BGIh?X z^1uqm{;D!w^z(N4S=`*rZI{T3Vkty*kSe0wrKP9M$@Z@MBujX36%B>hY)k zEKEv8vuUT8-6eYsI$8nPbC!}|RR>CV>? zvFX~GWa!#{-^$Jh8;-PzBp?N{>6-eUAjIh{FmV@$5>&}(5rp*F@%S}})j=KF;ueF* z$m3ty(`73lNPf+BHdauZPVt$eaZVE++V)Jnf|x+IvrOl`j&noK_!!+a+!-mY#2aDF zlHgVB6n3kMPL~!E<_u}6T{Z*-f^tN8c&Tc>0eyClPAty;7nrrc+ly&YmpooBORjKK zNdh+s?Ddh3E7ZOR)u79N&BtNzAvo4@38zt4OSkZPYrR%d#XSkw$%0ANhs^i3bOO~q zBISYV&a9}onQDk3B%~!oNhm4Gf7&x~QF@74?+2eZ`8P;$p-AXoh-SelQzh96?#7RXAwwGrYuEPr-v3o?Y1*80%5X(nYfir8E}?&z}wM4))%^WmOM-aVhop5%(OG?QP&7`Aml6ws}K zQ8X0QAng$KZTq?-u4Rd%126P)fq3qGDwQXH-O%Jgm@=fA&>8tO_yg*kqojORYIW;s zzXjy$R-}^)o|FEJ*_@o{-$Q7*{O`{>_<3zC{oEnX{9_w~;~SSV-I$@Y9L@(m*~(Nz zHH#%(!9tn!A$GvMqy9etrbCqAUIzIP<&T|j$wb_8 z{C0-|tdG>vStEHPx$ypv0tsHJPT%%L^HAmQe4~z`obSH4JOg=?TWYj3+L*+fZsYXc zyGRqqZZeAuAlc4@+!s^GHP)DAqBhI1ZKrET;1qVgf4V*k4@tQ0M%CjLYQD0b#;)-<88YP^sLy#D z?_f%DN{$WxR$Q4_8ddD7qF1?@im3s*k%CT)KLS@$1j=js-swgmOB^49bR+=De?qr$ zmZmKvYPbbLp>cjF=y7Jcac&*gM`bC;UGD)?Z2d(iYP7&#`cbR5A{RByt^c@9V8*-M zhq`S2nKNwa?u35?{Qz&3`XRZs1LOIt&lS093P>rRD3?-H^TI*H*a4it8WO_;`d;4o zKRdzP^V&S7uzLR-2zp?=vDEb%Fy}OKiKfvey81ZYnRwtH6ah4!xAxcl6z&cc!Nv0_kFqJrnJDJDn z_ix3-KG7x{>FHj@<{4X*90jLS*srs7J`a=kUo7QK!!P5u8In1+OOLZhm!GqPs9psB zqmY9n3p$-)_t%BbcoNRb68RYvWUOJ;)v~-aL?p#_Q8&t}X;Nw=x4$F$x>^8^8rb_L zPkT36YmW@l9^6^03bxnP{dCM#x~qfRonI`Q(6sjcW;~UDf^S!Z*6o$6XI{*)s@b+= zPt^Mhn{g`@j#G^GN6Ai=y*6_yr&LLgvo3#ie!1};`Gzw{EagA<0)!3Rsh3V(q>2UG zwv!QZU=)1e+F7A8RzC zMA=J~DeE!Fs9&N@geN1fl5wBbr<0&hYGjP8&VPsUz<3+Dil7i^iFkiBO)}LVC(#vtlHyh3I`(YGMY^47yN6v&; ztbE_5_q?&Y3LK2EX|t2DLsDN0ylXTr)_he+kep=s zA8|Ozvd3GIZ=_a(MixEHa}D8Ug)!5>5Pna!zn@_Is?ZFN`s6|dY422jOR`~m`@z3G z^(um7R#pC1GV^E1GKvt{Ci{-7ou-MF@5b+G3yndau;b!`(HqiOD1>ENzt$3D&edA_ zb2Yr;bQw*K?X<2fVB(Ae!PhcoP1#d(bg)X-M0jf2-8W0(!OxyIP-Xi#2+!^%kD=@v zddACmI|+L%L7yQGvv5u^@Z^k(BH(7YWj3V9Xk>CG$&9mllS;9eY>7|Kw!-0fz|He3 zBz+2g@WWNW@84(Lw^FUG9R|M5un7pNCrQ3G22!&2xeVx2<!XLLOp2?3A}~p=HoZC z4_5`TxF}mCoE~R0+a~dNl$Vaf*6x=o^r@+m&UY5U{X)HF*Yu`%EgKgwk3SQ7nx1ui z>cS!}5kD>8NvW!|I?kedY}2}R`*KQ&$u$bu%f7JPEs?@^HaM%Y0y$B|?Mixp{)GRB zi0Es7-LkM-B976ye*F%zgif`^)| zdy9;oy&JyHBsS?usU+KWm9Yz^5Yj4~enUE|WPQ$)WBU1y@6)696qwcSCzB|8frz)% z8Ie1Nd>uI$v)xbg^XLCvc>!}9BxEM|8@!}+4-H3M7byiI`gXg%ymqReaB!&=302tJ zoBky*(de4Od7PmkJjP{uc#_I2_bpiRCg;aS^Z;D3KqqmgpJpUap*bE%YUGd0AB64k z0?IvjtYAp;N@iVa+z)jYF+Moxu*%N<;CCs4z9#RyH`mRv1rEmTqy}Rs$ka9 zK25i$-Rij3WI(IJ1*Sb|mSq-96h`ykAoLQoe3O=ca|Mz+IP{{9ensWgLXX=?oUiZ* ztv(d~oS>(LzOPeRz4r^%KD|05&VGo<6D>=_ZF$CjEU2jX?R!~lEe0*9et=6GY&@H< z6NSB!%v5kX#n_%6B?UI44Qq19=T$b&({J%k;-Q+!rm`XB=xiQRoeBO@ox}yNn-Me0 zO|{lOXUpr6mDP59|7Ba%yz-iPjOiCu9KwC~Ej`kJ5kx<|9pBzP=uw z4bD$l;!oVJQy;knJ?*70W|a2Ykmpcg#hapJ_G;$LBP9;CzvEHjw<98cmKeJp=KOjM zu)79HN;ifI)D3qw&Jmg)`#Tfk``+YqQIq2g4g?Z`@F!*VZ>#d)za!){)9_o!)=ZR~ zh8#%MfO6^?q_fA@MvP7phx8*ZtlVpn;0uE|~5UKT66?5^t)y zSQu@l82C7ir&tvczdRV~HIXL@2P}+tLf-qm?>KpkgY%ey0tm#rvc=e_O;?&1nB{Ba z=9=i}zF*3TF*~53*Mc6+r2G%~$XPp=?6i_%Q7ZsoC+qbBT8lC|I}EDggG!g zZ{Nmv3uGbAc5&mJ^COI77yKY&$a;38(!9)(iQ~hyEQP}KfXkvV;nZsLm7fzs>HjWN zPK6tFB||!5)3=?M;Ah#QX;I1yT9gkFcBcklxDGbl1YX7?9dJ6v{h&XU*JqNS9$7y* z4P?XaiE3^VG78lrexg<`Q88MVhh5y)rf6<&BHSC<+G}IjdU19?XSSz|kOw{h27iCdCtBrkfs9-k+f3a}|M0CZ{xVSK%FXV5OC8#! z<5;6NpQpt8koJo{ybHGgZt_FnKGT7q2N`f?GA*>oEnfVNPIMN-QfA^v801US?iZRG z4!6MRgC}!B%9a@E1D+N5q%zu_6z5&)N++aimfAs0wCk)F(6i;cQkXD zvJz=+TE{NY-x(Oe*jy%=r5Gd=ODCp0I#Hk^%dz85OHVOZ-jQ8tg8jGzUi$!ry@$Ge z!#6=*EL$EwD!aLFEW3T5Cx*V}hn`2TOx_knT1w{bB;_c7p)JWU*UdTn@ql%&?`nv} zyQt%lC&Ks!tREMaqiE}B{ugq{Pt=vZz^MtU%y+bKR$gbfF? z{V_=W?!$X8cmI5oHPMt{j$kw<*5q>&4l^b1*2}wbHnoS%%H1uReSHo5)_hh;0VQP= zLzNceTiT!(cC5_aM)ophtgq6JkgB9-kh(v7w%0_HkW&)ogzf zcGa>n51`b9hCy@CN)2fd*~L;--t4 zjZOcj6bjQo5RQuz0eA3u)v$587ObwQK_5bvQUC&ZWl;dzrRdhF|B4uwlNgBVkf&$o z$L7{|5MN(9xm_Mp45UScTTiq0j=ME_R{Cfx7jpR=f(tG@frB%jDSIJ##DMV#YI{T2LhI)&kq0}MqETYxgoP%}&J9;{EDjI+L2bS^B{oHG zT(}rhXJr3TH8ETt&pHW7r&-F}F7lFz znOK$8m@q28IbiA;as!c^U#R2Pez2tNi&_pE-Q4=5;E1{@98J^nVCN2+_x!=o>g@v#F5hv@BDEyRp1-j16Mtye>xeKvQr}>c@ZUVM9bTkwjnJc62!!7lpcRk4 zuXmJY2|fl1IAn3aj>?BMO}Ge~HH6#j(icmnU1pHddlk^yC;WE)Eg=33h?nzI}&_$3qYKyx`GJG@sZ}ol@UJvg)-VT*QAq@n-VT`Jzo|X1_E4S16cK^SbZDDqUU8yu7^HR9D@=0 zCEdd0qwtP^vXnbNA++9PVyhrXRLHa8iu{vvcMUt@N0aUX%g!NeoTBy~q5*-pL{G`g zb)RZ0(L@oE+!49Ev_Sa~O_4g1bqjmH$l6o?9Uw!u`vcS~Rk!d{bHBk8C)Nx1AyugT zL8btf#2em2KL!R9otoe?lJg$EW+Kf1PZzb=ueSPyW0`>YswP@GrA@KI7EDA^Z) z$fMyPZtP`{<8LkDk3hcz9+Sfo&B5$EvL=!_1 zjXh^sB<#;4fRg4KqUfbbpWt*9k5tcNhU*2LTokBMh=R{++i6{fqf;KAdEHBC2W;mc z2)S24)`WsTYBnH?f|J@=U%hf;ceyu4Ke@>uXEyLu zpCBa|R(O6cqgfqY`~}$k4+KmA%&YZb&%TGl~4!3r-W**caxI(TTCXPrFf$pfe18 zT+S`ZB!|&5n{#wl))e;m&IhWSw7y#XlKUULx=o#Jq%X789&Be2ZuAb42LfLL{GZ<1 zcY3}kgI6(_l9*Z-SDg<+=i6gLNxGNiBf&16_)=YCZ)HA?_w~?mq%s6Ot7FltXM1yF zvvP?<^nFa&7E*tb3N8+JO0dqN9w4S1I~tvjCs2E%jtlT#J_I~TJpmp*K{?^RMH3}S#(zb@9r02)$I*|R^~vDNdJfvq@$!75M3GEr!t+;J zGkYtk5Dib^9b5X179oQ%o5}gA3tt=5n73#y?v(VLVoAW)>LC}?51ExKGqhTC&s{q885a|8wbuu(24@Bn%>4K6fW;=f)MFTdcKkJ={P6=OhBBOXv`_+kdu{%5u(XLgGZBmf<4&6arT@{aAcQE_r;4po9NhcdV>AgESGyU!||l>u|iMbRUcU#Wb&V( ziqecotzrT2dBthJ!HB$ZGa$L6GS~T!q@pVAbKv0z1#78fr8dJbXYb=_N6sr;l|5sh zKtPL?Y-clvV5zn1%%RRY=ci!$d6LalbKx7XZsIVAHgKtdet`PkLvSWowvTeWs9v^b zf}jD%lR3!^854*arq6ki>Zt^4|7fvl@0oxZLR8dpgX}dxiafJquppYDY$R44C z1X#VT4f{&j!sbL`2Yxe`ciVjB{PWp!>}XD9wq{by-G)e}^nUU2A05l#;`uZ0futX- zY#K-7=P9UJit(|NXZ;3(7(+ly0(28V@>vjr8=^E5W|^ZYkXmIvvT`&vhb&;U0MY{8 z$IK1JN{dc?xveu$j1Ag^AhBHT1B2mk2WqLlJR|#3{BT}cH2o=9mv{$^ikAO`rcg{B zd-Ae#x^?2f-EW}>%LLA!My{9ddnqS@yf|yR2)Hwg_40K&fpXc`PjG*n>9I4%xKNM& zQGqy!5A1P^m{uE$<|;G3e|l`$pNmts0`t<@QYxc}aLp7#g};1#;%q}Y$m6h5!-1&R z7A)K7X57J!>?Q>9H=P(veTjWEM|?ac#xh=bKIoCI9XsB3Jzi~6 zZ{95D3Vl{R7N7<_Y-^>ldx+0gf994#A$GFzki8p=o5VRTsO**;9;o(a;SJg#6~#@- z`A-k|SM1vtStYi`+Rm>Z(UyfQl$c0@@f>(9$v2o2C#xOh*g36-IR6W!lJ8#)l2)vq z{b2$4Rq?nCh{l25(j*Ex_%F`Of>8~Mm>L1E{RKRpQ*P%6i?);Ir5EgCKlTd%B?V?3 zwHoaZ?yq~imYBYn@ktfgb{&7o5Na#*qBBH!*>{UTVkv zKao%P=c^a>aN({j68?B2jl?ZWz)V&TQii z(q(`C$v(M6#Fk5*nIU} zGFsUKixk+ov2rSm48BjLv|O;LKUDd|&1ib^2|G@@5@?b70ra>5EL0=Gf&t7JjuHYn z2@aESJb$2Y+@32wukPCx*c@v}-J+G*Rl{Q}AC}OI8p~fN+L%^)c-a@<-1+@?3dw6F zI_p+VvaC#y`?nx;g~|p>CY#|9BJl%v*j}b5vMG>&Rk_xY%i_V7e#rM}eEN5F()jWg zDP`mJlPw5(dyDO!(P&Hr-5jdX4vjKTfyrdf_R`eJb~CH+H>wDJSokU0r+YpVbdaDU zWLDFCmSK@I?(+ta(3|6L3g~}0+0X`5G1i~+Q`Nmvj5h^rr~IYvcDX#Wt*=#74ho*K zKYe|z;gK{myB>B&wNkY%tE6O#TIZ>$xq5NC^aOgQ4GcHzVft0fY2b5G-_d1u4puyp zaR+`%dE6qP3hP`Pn`eX@cb+_f-@+@>IXyPD5_Nx@uM0-nlRab2XzVfHFo!)BNcLG=TD2lWq11_mzCE`rm7EERiEa_tkhO6 zH!d_EZM$J&h)sI&*|J?J44&pot-Co@<41OQDTTxdiR&@9&@uSxf*tZcX%6{exYoUX zXVoMzBDX3B@=n$+;bgXIT)VqlM=8svX`<&_SxWd~iEcZi)WJGj!|yFE4+2;X+><^y z^qvlBFg;KWc6h}IA?@$*H zAICJ{VdiUjv(P#XP#UtX+4C{IS~@D;XUVsEzV0Arj>0B}FVrk@NV`Ov8WJf4ult!X z-lcDe2K5xcq~OX5aFhOwY37sllVp5b6WzDA@tlj{X0hK@n*yQ;S==Av2gFkxIJ&k3 z5x%EKz(m5PAF?~y0|t_7i3zr;-v6E%!o~m(J)Rl&_2Y!7sX<^5*0=xFeBAm(7iXwlk>s0mQ? ztnw%V7M|D*Oz{I?>-{M5ro@Si94H< zCmI|r`e-j@XapD1=8<&-}63+JA$)nMK44F`{ zGaLSZ8FZ)rNWD?zz*rqX6sV=N^{uvAJ?z1tCgxs-b@3^GOZE=atETX9226auMZ0}< zR#wUUhUmueOTHZeRj4Dn%=zqLRnhW?MD%@arP5M;9-#G9O>#4AjH7w_YFDo8Bu-N0 zAtdl0phexhmr)RZBG20G{rff8>7lBY&1PZfwanL+mj%WOBH0Q?rkD$ zWi9tV$g*4Q26RTT;i>G%EEdtZFACqtvhJc}Q$eV4S?%&&Zx7!=>8lMuU_XHJ4URp6 zsp2x;rrTP;3;8lG-PEhE{(XEtejl3MEcIK`_-40{oU>6$I{u!RT-Sc=T$+vGIMVLO2hlKJ1;&=`o@HK{2~@* zcRFU)8!d)}9Q9}kCsBBBg3}8aA%^t8`cmigHB6z~tOe8ZmY`wLUnJT!(6|+#a9PEH z8guL2xMNt(l;B6;n>DG=mR*ayah z4Q9^!f)`DC1hYO1wf){aB6E2FPf8fWP0(MOe1DcmX1s*&!c8tQ)+}+a6b7rSO0yz= zB^eNtM8iScyG{9UhSG<4eJtaA zBy+Qeq4LZoHm^7ill`L1OvP4~Frv0=Y~tF!Kv^q=k9kC~fZ!2JwPqEIn-`33?M;fh zc;hi8NR}d}eYT>&7#qhv5+$;xJJG)d|H7e&2!nUa{(7_ah@ABba1u<~k%X`O{m;!W zR+&1^E@wA_*TuGI9Hwl`IA*rUY1)5JY=gNM2SkKrVkdhw^ys@k2j4H;J3|Ket_Z*xmTOaHd+-J_ z$^4TKp_TA!B8Z#l0KL*sOS@+>Nd=z9P@zLu5_;v+b@TAMNwFy)&`NeK)4WDrjwqZq z4Z>NwH9TvyNlc(PbNeY-67LIOr8@kTo}H#N9W#z1>to|_Tc1g1Zd;EXLQB~7`~{BG z>E>A0Q8q<8)^2gISz-gxC$c`@sGB71fL-r1n>KH|;`s06-ZiQJG>Z#U&ZPqaGr1Q@ z;7U(>1UO2?_c$$RB(VO~bwrR%?cl%&KQp&DPv>irbcGzPpt5k6ktn@ z$*6f-N^<5JLX}}X4*<1vAdXembgj?O9iOtKg2IQCBeZpt8|y*n^IQ91pGXT<4c*^F6~7B{~Q)%1BpfDK3?9m z;pk}(%guS@=-k9NZmDGx60uwkJnUbbBb(KZGn$?^0g<~}Nv~PWA)RnSqKJD89g|wp z=v$B#QP=d!n}_Ccgoix`7^~x(eLf8ixxHp3n-tD*%AmOp#^w!uKcwZY1k>$#$iv*< z%Rq%LawV^V_9GBV{jV&+$L~caX|4^%`paK5X3w67-UbVonbeQ3!CW=%Jz}p^Nno!5 z(y*^lGR@yio>1Ia7B>&s3%w=w@-wphd3M}RlriP#*?jIR-ozPJFvOsh_#T2OyZ-OR z!=TweNhJaEDw{!p>x4ejexnCfuB;#`#jY*dx+bREcGjO;R~q~RJ}-sV)0n5}dBK$tU%^n>di6819>}}9recxfnn$vP5Kh;k?|hhKndP^Al@#SJINY-IyazHwA+QN zu_Wa-U3A;+_{WWt?a0O1(aX<5Do-n}AgYf7iS+rgw4Rq~PrpSv ziK$Y@s?xW#c@eFfet!?;So6r_GnR6FUpa<|i4E72fgLKFQj+;d9Q*QJ!M`x=Gk}dQbDVQ}aEh-~msK6qhGgg=>yYxC?+21#T5I_;z+oSm!D_ zd+l$F9BM_8U&NZtq|Th4-4@m>7W$*#sSjqQ>lWIF9;w-J@dM?KyVGsCJ7-)^`1e*H z#8L0)jfYHCcq@5Y4A<;*lDNmrDOM@ib#qWv%(YUep4<_TLk>=9ixGt^pmZYYQ0KKx z9ru*&<2Qz1kp_%;Srwq1sQeZhxe&(x)Y~LKc=;}f-s_i;-{JtKIJoYTQT5Y1iaBIdmG%Fupv^8D`v5uPy$FDBhwpe-!~(| zEDMlDeUn7D{BiCRd8t-m>X|f=nCe|Bb3q%|I@r8MZU?PYR%Ygj37Hb_K1VvAti9$`m?5_A?;Q zEP2+UKgB94F&Jif;+`YZb5ktdXrQQzTjb6AXhD%LIh#d|ss~5cI@!RfXgiKL3l&Re zlplG*GRxTD*=c9VF!861vj}@KWO&4gD=`7uY024Q@m~0Ca3A7iVqjot3|xXWj7XmpK;vX`uxVM+F2YGf{}KZZ@RxtMD_?6Q z$wo;(i;le`Ug_$6u#{&>@B-HnZ6jlLp(HTp5^omz#@ciJUr=-AFplUeiCB)U{BNR- zGNKfQWaN`X3un2?$^nFeKyy@7=K33isj*_2rgE`T3OY)b1KTu~G$T5UQe1eYpjc8z zR){NKKeKJB*90dJS8q!9Y~c!zK$Oo)b58af_xjpkJhUVFKpZwmtC(mcSRK{fTVM`t zyb&?djX7;C=I|^QUe(!j>4YgjwR!Y#1m3ig-_@hZC0yXYXxHZ5IhrAA?#9#!QeWp4@3elgbY|osqqSBTmd%H#^u~kSqA3hq=RXWh zG{$18wOXzD8{BXHE*3a%i!Q*ddBn6U&oqv6PNY}m>r^yvvQStzq)Ss+lh>Y7)b03) zGLzGeHR`_wrV()X%w8s9KhkL?VxQ^#SopBganTr@lzpd{L1X)hCVhjsEXZ%AH z-#61n_Ufa*JZD_4cHvn3RM8rq$0}8|bpu|&GG>Dm9RXgow@H$&saNi-U>Z8d1x&)* zuHi}=MATKZxjpB8FrX4v{~ENkxk1CH874XBy3C206f9XfRJ=`Q^g zYD%HRT&hVaqMT(Or|t>t^zrgsj~PE5dDBd~BjKR^5LoH9dhXb0DMmiN5Fs7#_`@RtE0V>DPn{vtCI;E7+Mqga9fTg zF1JwK!Ep>S{tdtdHIjlhzB3kr3IjD}`Ei%{h)YXI_-CS3pFh^dgvRm6AFJ&UeOklG zc@HU=$=rS+9(Ns3zk7tWm1SVRaouR#BR8ULsz{9SQjTv?tA|20&?7kY7JYu6J$SEv zE}VUT6tO$qtUW}+q2t*{T;eD)4m2x7*fnGSu9W5hc|bh}ocgJ)MzheBiY0Al!iPAZ z1&CVXHZbDO{yz9&qRGwx$K8OskJ4$x^>VqP_20H%!)ed4B*&sAM88fe2iR>#dW#(^ z`tKc#N!V))x_3=pZlT_j?fN>ep?`8^B zJO&-8_U}40&)`{<3|1t!*#IgtDHdr+)Zx*3meYr7|2Kh#7mh<}_I%ZMRVw@-da_Xb zenks3_sM8u6??h#xkJbHXgYw}57*9D$vnE%3R4Ydf*8%2C>YZrIXt$v&+>jF`OaU! zP9sroq0+r&nMz_N-P))HRgE3XoXb%S_eEiGUW0nvlZDTeL^$^lvq|}22?Bn6<`N@p z{ADmz^|p}?XDO3GC61Nzz(#$(p2zC%dUrkr5tsI$iT`x{`@HpAMd!ryQm{T+#@jY39^!}o_(aKL&GJXISXQY}Pbc~}WV5!yv(rG&LD8jwB)=eT1O*z6jk=-ogOr!}T zegv8*>x=JiCYL+oa$u{nw%#r$Wbu7B&sBFI1I*DCu86OFN7h((34kiy5O0Zf-~KFY z^&@UdpfT3HKM^~w!hKxqSpKq^%`aDCI%Q>RX=6V?#Jg`YTNQ6TQ9Y+1`s+*{>2e+K ze!6Y0Z!YcgJ~dv06M)n})<{a~9Zq%*;YnRJ4fdixa&Zi>fyTok^!iPFQTh~)v^LXA z^$8&^gr%XnQgCS3{op#*v7Bo}e>JC>04U9lYHA4sd7YQsis#4ahA7J)l=Zy%TC0RT zc1mEX#P`)wq3-O=su>2$htkm6WuQmodQMtzMFcw(Jp_gn?316RN5TDi@t^Q}Fv2&- zy8MHceUs<^N7Yw=HPyaxzt)S2f=ElLymX0lD~KpDK)R(F-8Di9krpYbA>crikQyK* zARrAxsnIPK^li6cO3kR^NtgWs0oBXV4 zF(!Di`L(Nr{`$T>N-yFGVnn;R>6ze1E8Z8`Rw^s_<=MES{YIisn2iw4C$t+=p+xhg zcV8ctIATtOnm5IUFgllEpu7?22 zoM1j~u@6WJfzRWwk>@mJeWZxJe`)a-LA*RsF+tI)tU z1h$c6Is8KGI|dlefRA2{HD*$-1<%E`QW9NeM305ZhbM4hLFOUwOa|QjaGo@{!tzUt zz;-Z=1C9#0rT#=^B^CRv0xMZLDCm>Oz+(oUJ;b?L^C}k$L@~;9m&smu_NrHCSNFL% zT~B-06ShdN_Zu&fanTI+OzU}7Rl+~u)jc0r*ucu$O$ARo-EuOekT-Iud@CETPA@bU zz38{d6fh|DQHhZfAabzpe~<=X>K^Sn>;-_YSp|AMx0$> zhiz^Lf(XvwUm5S^jtw{B=KKpHZzbcnOl!Y{rl z^DfOfC(x}_W>}rH=Too zLI?qafucRk+T|9Dkj@O))Fhg~4*f;2^LEU5;!~&ZSf#O)6;ez%(5cW~i+l<>P^u<0TIh)>~ex?|@(6R{CbI8$YowVL;R=E~E z@wGe*`A*s;;&w6^!ZezycD@&=gWM~`zPUUV_FJpd{}wFma%y-M=GR&Gm?~{Wl2m@v z;TG^?4KkF=nkhA4*BVtqezBRS2vp`N1Bf%w;=isUU^umvU}-RTs?&W9HM!Du2?eL| z?z(zfH{91(mO9xmsTS^3KACI)ubf$rK|fA9xid^seUy`_k?e1y1zev2F$GcFNaVVU z4>h{u_yz@RM}*BVbxo!G>9e(jfbFtU0MS=$Wi1(m{p@AiBTYbQ_s!M2NN=lDE&XNy zH4mMEp9dw!%Qx|9Q+e%j4dtnpLVOQ1L{_d7hkS!uen18CGGIGzphSYM5jRc``!OM; zEdpd(UvCBdj1IfA%gOyizFcYFcd37U)4ItnXQqLi86>nfH#FLE@-OW*M7IWPK)Se~ zE-4?s!WVoGo9>l%{jv%zmt20PFw=6{Q}n5)cdI*DwQq9yPYUSL&X}vP6Tb@TU|69@ zDfQp1@&ZS~o>(m9^pr^WcS>mlFFC{T01?d`fm>0g^j^nYlX2q;W4KdZb$%&{T5CCJ z-X&%I@>664B-RR(VYX}RL?H)W%Q^jwbDwyu$i%^LynYvXuu>NuUq~jW$Q01{{xmj9 zVxPB4cPC=kC5a>!7{);xUkl{`T5TM6C$Zu$?4$Ae^Y5v*NB+tD=3xJCS~-;S%>UEz`($S?Hc@m#eNco}YEGVfpk=v`0l6oU|$Du zjOi>upanNDr>&Q{RVsLs6J)tKK^7!OI=G!T``4CAg+3h?9CCl^b4Y5Hb!>47&i;zm z|D76?ogEBZ4yBkWbJrdq&XIV_Q4iLk7`gS^vEfH)ndCa(@|XTU z_gp$Vz_;Es}a z`l-$iC0J5fNsu4aP(xKZm41ny&~Oaj`-vaHVh^*y4a(N_!CLLNPk`mrFB5sku4kZ) zYI>}?*{bZF&0q@NB5q1u9<^&Bu3*XY=NmqWo<0e|-ic=e&8Ie2qDxkx9r5YR*bMk& z&(=PQV2IB{7!NeF@gV+PjUEdp-LdVLMHG!>KqUP>3^`tuu5;XuR9AF#CRqvOwL#lP zA2;zZxBgh&qAE~UpKTjyoC|vDyI=Hu=)uMfqTl;4xD?He4xUu?N`s0$vHD3mr;7!I$P;eXxtQ^&1--4@pE+`@&{UfE?p--QVUam z83h2BjQ3pMRF!4u3#l!!bOy`8L#Tc{NJ3|jD`MyV^*(crS0C;QvHPI`t1xF2Bc_M? zHkh)Qr4+*V{?{Rt$36@G#uduK$#=iUPOleue;vF+c4m%BSSx8`$=-QfN#}W%OoOCY zKJ1dxlpCATMz|ON&H#8CA~&0^f{V9)s3p7026vJ5}7;KktYk7Je>>2Bkx8bP9pIi-Wvl zgkhNJ){A693Q$GKT16PP$uRlX?$3{;gyjgHwCrSeiOyOkIF=M5iwu5w&barB-1|KK zQ}5ee0{vhKRSi+0d6pJ8Zpv=-5 zE^6H`{c9MFnZ68CTf4S}K+y-hK8X~xBuTrzRG5K3}$U%=%Na=|3>2Y76aK zG8ygIv|wJ3-9rPexmsO6vm*ZOAehZq1?BunFL7jei(U}G5Qqf~I(vaZk4zsRDgc>J zAGbrIt7Vg~hhh4ma1N_lYJK_EL&A3?&|kDN;5;Nj8d7Dqt=(7ea%2F@{aeb8!oeS~Y!voY&t(BDIvahcBa+}`=UErg&<)+Ik;})@bL5mb8f{bdZ)1QEE z$KH=ZP3cHx_54TI{&;j>E7xm_wm%uXqtB)UNE(2GRa&~%hk-QbA@V0yR&XZ56%J}C z_QhR8RmF7eGS@a@GQ3dqvZ>amWxNHHqpSon1(JKAr>7|!ytGH zfII0cY~rrUP}tI=1t2`={BY?=`r{PY!GGm7(z|$xa>s5tH0v^Q=-r^ENbiP$ZG6_5 zb@OK{u>)6K1+m=pu6C`>i4e#LCF!kUzD?(g&F<)Ogl6bOD&jiPZpXoitwH^iXeV=z z1o=FOCShf=+O7HX<2^Nx*2Jsq#G1fO_j0j&lahaS=P)Yg{M7}7+fCgr*~dj~V8o?H z%bRAVx6*O{$(Z@u8s|KSHyrOi^DnyXxj!Ls8JshiF*CKa70~AN?L#j_8Y`VuMKH%?p^NW&MOYBn&KrN87GP`#D%ucx!{E zy9&rlIAa~#B7biyIjieCzJRA|9 zverzR($@!8zhXUFpfVvS<@T1{CmshY9gay@)BfrBI4GH_?8o8=-zWn4^+a6m;%L)8 zj@Uya6iIQF2;|EGng>J3&^`{|VaohJFVsmU!sr=(f$G)HwocOb{+c?Y5^3%UqZo~3 zKIzHF_q()2l0)~dwRAt>ggkM8{InYD{!;jm$rgMYHMiY28o5K((C?b>9I47J&FarR{ zz*{uIZ_z^UPIe&-XAE7gnt(==c-j)h#Vp~iIWc(Opx3N+5r zDuVLHzCWJ74bi=L<7c|Z1S4UBB2C%7XDd_E#QK*n zY(`eaLvKZlIq9{tDO}{R1-|8A))3qM6USVK3iV@_=(K^q_w)E%#^2gqXu_q0**DEc zJ>T=`#r$_iOMf%Zm9fWhq{_YH;dsM#&?K4rq+&`l3!zjI+^15RgO_ALqJ}v>!O$;c z`*rKzO_XG1oq(0g@K4E%%+2~8(mLU*$ZTSt zVjS#C>9VbM>+A+J;h@V7Ar#C=dJA%Aw4t7xU^3NzsPTHn$shZs zW5?!bdF5Q=6t?xfIxJ=AAaF3tiIgx;^AYMtH=RpT{$H#)@CW%5&+CIUndCh8T%CXQ z37U4gyV|v+`L&ZhZt(I|>T~L(!TxOJg!o~}kPH(vPB$~A=SK6lwS-TstL}ak=Fb=Qtrbs>%0U_@ce%T9 z1$y3o{MTRedQIYV#+g6r>z<*c#^vFUb!}>?(ul9su4|X|W@o3-JT-0M?gt+)uu$&j zIt;4yhnc1VOnD|`i|1H35G>&7h!Ck%YfIRMNS#g#O;ggw6}cUGig5>*)vX@|66c2B zdplEj`&9q^`zeTdp&IkV65ty6A~oU2NZg!Gz}woWV183ibOp?~&n#!s-bAH`zKoc* zynhRV{&hu@tzF#euEt!0DYQ3{9({gDd@J0dKRXq z2`#sg$jO*epA5i{0pm5Gn3*-IoC`}u5V?;xt!Ymrb38;yP1h32hoh(&=aE`seq9p5 z4D#)Ujz?RcNR~TeGZVjPZ7i&5g$#+HZI7_-QA+S2xx3<5qt{ZI-J`f1PGi$d)gTa8 zF=)2tLI^{AhpmlWFedGb2S>IONEGTV+sQ)3in)&`Ccy07g&P>Zw}Z*fvAy1s#U$~) zutVTRQPXOvx$=DRq$WfMKrFhBZCmEOrgxKlpI&++_qRUxQz5&sfg9H|{THOGK_|lj z-xnAkGx7RQJgCNOoeU)N`f@%zJ@Y%_;yOx=xkhYhCJjZIG0lI~OfWRR+SS{<lwjw!jM=@XColZHk#!R?_Z;tKoY6p?F-A7I=+?#0Ph_f za!K$}!q1YGnfrT-eAtBNZwiNF`R=2*vT1>s!Oz+|ZaODB7;*&oa8Q$*c5{2cC24|* zKTTc7>GCMc;`SNoQ#!>I#694) z{W-e||7x_@^-oOyBenvk5CN6zXdIl9dg^GPQoQk9P0l%~v=F|bRZ4H( zy-9@eHf`u##L6Xw{es5_`XKNw>FQ;<`@fn+sVi&-r^_n=AB1m~kZAX-&%Ap#28YtH zlSq=-`!GszW-P3Gu60ZYZuK{i3B&t&Ood={anch6K}Ui-+Q;+ zFL8-ke>NacN#(l_>S#gEVtOB&eBvuPw~8f$P<^K9tQJp<|40clzBqe=+V@dH_uF?7 z!d?MvAnO#m?C%`C77*=tlV6%xM}7udmc(!N8x|%Y^nRAOj%*VH!HISdw4{I|@`EN= zOTdvVFWD?1`07q%ty&O1$-`)VnepiJ%&V&Wr?EbW+{6tD1(x0{ioSK69anbNDt+;X zC?m0+5iV@5Yf8e_Yq#Oj9!y9y`UBC)or)38v$>(^!H@YoHr=tgr((e=C$qYBKQQ}u zcMZO|KA6+NTHiEsDmK28s01oNSMh;$88-9V29;dPY+T|N!OM3nq8-et-hd`>%94(# zeYWMh9+v5gP1xZOm3(`hufJ)R@20zueFJq2gs{D1JK2GKU6YFxT4(}n0bp0pZuexp zLDBoChUO&k`IgsE$D*Aw{29kZ?rGc%DwI|C9Z4NQ)czQ4PW*YA$Z_L3qc{d0#W0z{ z-yq}lac1UY3|M5pGJKd&FWy>dh`wG>-5J*E)N(SWSVS8nC9T=zZsQ!Ta zwmn^(2EA*~h{PM&@%wHqzd?i>IQy2Kc*7vMwR}o?40Y%v@As?GihCk6`OZiBvsa}! z=|=T}Cp0}uBQkn-wZm_MR(2H*~z}bw|obkHp&=2`x@2m zdnYtuWh=k)S3uvPXUh!iBo3M$l)AjWPnv+|7_CHHomDnLD z@29If&Y@pT%C}P@Ji>wz(8NIilxyA8-jkip-9x@?Ab@89t|s1v!jdHRBCfa2?a|`i zAFFi3F3*t)=8d!-%q*cP=vy@;IK=~eX|z2*8Ks#|#z=izR3b9&Jv`-{-#3Q_Tp&OE z_Y(F2Npp#f4vWC~BUZVGiewjxV@EtPu6{i82c$@!+@q!sJS_tDbSk>QH#m1(Qd8u) zt)b3N7eHej+neyZLz)^B(Pu)tR@E}Y8iZFjAEh^n|J|Chhz*bM& zC9E!^m^i`--c2>FJZ5C&veT;e`Y@&^{)&v--pqlXnKX>xU4v%Xa%ZFK=l}*A++fRHmwXOa3?KIp{)y9@9DXriJN$#o$)-(T`0BG7c zVqI*4f2szGm9~$DGtkzYyMIi)hto>{?Yftq=XW;dENbPR+QXMY5@fm2tSazMsnU@9 z+ay;Y=V$)-NO@A=%S<(0AOVJnG!pnPE^A$-*J-cCss!rI_1Tn}ll(_tp`q8M7T3Ih z5~r#OkfW8#S#RhD5DisL94N{LjLgJ1PpGMzM3iIr9s&}U;TKX$gYv7lh4$JG;zPKG z$=y6MDtB%H1muqsf3W>L#txG zePJ%k_dqLkG67_fb^Os_i~%(-IxD^AtX#sg6LkNhnjalig`xH@RF3`w*tZ3MCMoIQHwiO!jicD%+&QaWb}>at0cT;CpSMF~t}dT+pwM|cfa^jly|8#|j6?`q z|K=P2QN42z#6*$Fwt8gE*YOFy$OiF=4VqNmjC-cYC3W=pmBHUV@3rqHcpTJ^2I z%0b8jx+atw`t;Flt-X9rXs^ae~t)_zl3f0{lO!B(G(a~qX2u^N5yPVRIt9<>){ zu_KuPaUeg#C+E!`?}_N#IyXE^vL7;!d&}v0{x^9j?1m1H?&MxKl)Ccw>AZ7VaUnio{CAUmEeFH_Nx~GZj8VkRd8nMt z3FX^&xL3TG8pD85J5a6z$A@&e!2|KPcQoza#JfGm>+7yHzWX=tGFunWo>d@x>ff4* ztf0nH5FovbY(8k_^O%4lT!DvYjF=M4AyeNRWqG>r*kC5Pi9}wXiz{C2KE@vXa##`% zImfmo?3x<|D}SvB*SvRF(Qt`mdrPM zX_cj`)fGy*p^_B~;yH}G>2(w|kmK_8!V!Hbn&@CNRK0hI$Q7W{Ozu0w65+Vbn#_je zT3OY{pf?AyDvrL4vXOZ5{l_n1u*k6dg{8y~v(6dDBD1e&1Fnn#;hI>9J}W78j_z327Y)IN$S5vrD^iP&X{(Q&z9Ob) z!(>C_f*l*kGpAn-ZK zf{DHx@P_kJ(Yka;yAn4g0qSzk3D*1q}NsQ^@)bJ;?L zPhF~{%I#A09j{ORc{k-3n}6>`Pf4yFmNg38J!WmY!@s&dUB)W&BMi*aY0A zF6yv;w*T0aVE84MFo8{dZrsypF@`}R|HHv6q{dO z^O7&m3$qEw-A3gvKe9n@)5KSWQ>FiDp&#u!tTjI_Czpe%(k3VhxR$jMBE@cE=uIZyrC)ygwuAd4C-*IUQ$L20~aRmdMOC7)krm@dzxlQ+y-y z3plXxhF)_r@o=+rD`FpwD}ZwKaPYKj@=(X|$MIi-E|;|ojY#F~7P#qZC2wAyd<;|d zn<1M8f7}s~1%1at7CRFIxfal0+SC`XFpk|OS}Mqy?k&lawV)b%DZ)g9bDWDapd-4s z!NHt>*ZEkHKKMZSm;0(ir)fh*y7nd&gvS}c81-r>D&^-$16Pf2C?NOJ+PTW zDk2oIxV=p@exFD%QWJ7Pc+;ZLbmG=i?xe@gMREp!DM%i7_0!i6GAv&_qdx+>hP}sn zh@Xe)`jusJJLWD`wuyNW_||KnOIULNr5%oE#q)|h#;=7AGzzEv4%KSJDKXh4{_IbA zAipB;tXw&Kzr%}J%2xqSmWXn6M*`z$R#|Gu_J!}UKj zWQPNZ_AjDkYQa~*E-aA`$F(S#Kz19yh?Pag7WXD!p)@LRh35<{`?{W|g-2E2HQ%2H z)3zg!@GPydo#T0+lLYyoeSPc_-k}#&1|tweXli(^)tBv;>dCQU03%ywm18848yE5W z&*Y3j94(G0|4HvTD5+WRFh!8?;ake|9gVY6T#M zOFSFDR+6-uRmMG6m3pG$R!g_MKwD3X5=?v+K0hri9}7RuE3{%gPcEpPw7Py=@pm$r zk=;EwSdf_Kmh#-uYB>EVOXErr+|_Z#Zj67p&vj#vQV_<`spMP_^tk^YIW)@@5s#lp zw$pWWj6|=CR-BY&z(s{k#xco6tNsmP+r3SH@=<4&kE~nTEz0Q>eg|j%ig#T%I?-si zB^%(4m(0D{TuoT_@M|7^kT8$h+EIgx@Cgb>51_SlM`CU11)t3{&RdWk=Tb%qPaT`r zrlHeyE};#Qx04#-cC>_VG?rg*-mIyY7(VG^);DMBlPbTI(~Ba-`?-iC^uDJ4r&Yk} zHKgQpb<)UP;j5&Ec%-_i|JPL3sJN7?L`j)fRyOW%u&{hzHHlONmW}l>&f8TgBG-7F zg?CcO<$%HB5H6B2uYitcN$a)HNX-ZNoFlkg#1x_KV5|Rm6WzDSh<~fPb2P*3s{FUD zK0YDyQ%~AO%2!%${b9vYg!+lKqh-%0)zgAn!{}!lJ4|SEhkvk<(xV8L8OGX(<^~xBFQ`U#5-r&qlc(J zEW=K3E0%wk2!ny5&9HXfYz(QHY&CdL-p%o)}Nn@QUz%S;+E0me+tT3+%;9dJQd$%N0DB!@p9b zwNCX%F%0q5eo1s=ZvVHt7@^iWE^Ar*Fr|NXEOggIl;}(UFBjk=P<;#G3Ag&&rUl>b6HGICm&GMtuf)nU-?*u&kgr3S{V+>kPc zq;F^_D&0jn<19CJo@LyIi|cqhXG(p;&VHx+N!ffgsdj^soN_ zG;*ludJ(n#Y`IY!_;B5Z5P$t0^seu6GYQNCR+=6sdFBkct2{k}8S^_32D7lAQv%|* z-IT3l?AHbc++P^NyGVmVHZNzys9`2jo_4UwyCCn1Z*@re3t3L>Xz3J9{9?1ox2)-t z8shM+VN^x-z(d0GM>6=Cg`h4m!_xZFun&NH|32K6I2Hi*iEruZL@ z`qi}v!@%kS?dIWz#c(rW>y;9)v!?1_g`otlcE(}^mpO!B%+Y_!tKNFO8w?w^cW; zUSQ}ar06U?Hg3W}<<`EKo*u90VcA@fd(A5s2QM1~X3CB6jlOeGF?IsM$nCb+9b3*J&Z zKeLc!=6EsB*fX0kQ$T+foF8z=swEC;g7~oQ)1Gtn6sAF_d05kC!z@093VGhDo6G;4 zMaFm`6Vg3jFDqNh3D|X@ilAHQMt4^oWsdi3*FAAApiO22ETr^(Rf9K{+NU$$K~yL> z8*1=A2R_sl4gW}n&&=R0f(#F2!gDK@(K!(=r}x{MBA7`KtcIpX%ols1ev#qNOdM4Tog~6KsCstQ!7O z@740dT|2H>{5hyl%_i29Gy+4uvy)0}N^2=)zF&3HV21F=E5fR$4o*J_qirWdcC;;P zr;YNdL7u0$ySs2>R_3a!xN~CuM&|BLZp#|*3Wwx{PKQ%zQJ$wF0#x+SK)MmLt}#Fn z>UlCL0eEF_IA|Z`ciDI15+ar~T-QYpglTHPr93rF3v1U{ON;tkPnq&iyx7CWRtfsD zz*HquM;6w~bCAmeeBsW(c;Av=Y+mz?JXz2^0Q7JDcK$|5skaT6YKD*6k?7T12D9Ka z0uzg`R)sS@PErUGX6(W2Q*c9o=0QalgZdC77b4Y@@)zwtTv1Pn$;nZovi#rIRX&zM z-0t;!p550LX=c3e_Ps0>bQ%pL%I;}ZJ|DHXS=pum74{$0f*5RgX6uI);B#bvmahE4 z`IXYsSraa2c}`(DvDF5<2dBn1z6k>RgEqc%*XUMws|KxCR>vrR1n{1bi?k}U42$#U zW)3$wN!U9z3IPAbxm+NCsjZacA)%+VT=Xs)E4}`X62F#qCKd(~E*AaCJsstFs~Y&Vt7m3QIgu|ogv=QUutd?& zI{|dPP+DeTX2hM@_27M#tWENqN%MtP8v(ocT>gMZ@c%BN?mh7trr2?HI#FMDb@EBy zbzNsz!I}8T-L5v4d!JcjSbp}-xNP3izr|Lg3T^zdi z>L}^}Z5`oYqw!iJYu+A*Jp66>a$e7^yhSP=GEPb$^`p=TN|zr!@=Lm(-F6Qtf=@8;ctAmEjL|4=~GfQnYY^Qb)ASHjziT zQ4OBBAi063P`gzl7Ff3cGu0!PUVF)rAz#YTWtYOyBhOs}Y8eD}Q9@*K_R%jG$Z zf4dz0T#nS```FN?naAJTCA1Lll_C$qk@H9cALw?dFsENGHGct5fXEGVrm%z)hBaoefP{+T|(cU8ZI6C4GjJH zb2zqpj5z6@pWfs&TB{&iw%Fvlot*6zP9&X?Q!Ye+a&?qU{5Xg*N@KA zhg|#DpSX167YFixK8KCq8&O_w{$8MiVt~q4u z+V2DPGh5|{t%4loPtqMp`9{=OUKT`O25mrBalIE2Pj5CeaJ&eW8fw~!nHI%r zfFDjJELt=w!h6Zn2W7(+dgYYhvl5?Ra*AcL=6;q8dg|<5yj-5SyuMC2d!kA(mxyv> z<*I0fI(w=+GR~!IH=!BDqlw;5 z!lXI}5i~aX8}muxu3|rL7!BU=sKdhU4SZdHXH`BXzTc^?cP+;<&4R-iB{F-JIEX07 z;_3aPj%N^O4>W+tNW7VWLi&SBjwq`_K+80R6cKV!eWr{q@yee8IraZQzPHk`=&Lhay)=%=5Bl~3ul(@|1K z#!OGwmkNdmf_kjgKgpij#Is*i-TUoXRYjSK{^V((>)7avX5uBaCg@cY!I5*|$zV>3B4K@) zbV{X)Mk%dfHhpHOwTQdjvE+3%%M!Eu+u3##7~%*G6-TkzoklLI!Y6sh|ICTg?O2(Q zQcrms$R`N>7dXJ?Fd^=1a=4sZivMM)1c$zV@V`|4idTGz>|bqIdq%4D@B#|wp$fl5 zE0vw#hsdepUSd~Nl6&<$scM3s z-c_f+ERCYB2@YvAH5s=bY1!h~xmd4oxnY}qM*d2JXGv_{MegPP9`ru>eAKooQOGT? z-GW1vx1U{{Tt5qkgaDOCsbhs3b=O$3Mf86h5FJM$WK}{ZH9+EMYv1K1ys7f#Eg<`# zxmomL=A%*Nsf-MZ_({K#bI`TiwUedntUk-gMFr z1(bw9!QnT8u*%#NoTSlxq;ghTf`Bm z3v?3Ie=PVjZ9nmvJgZ{8zUu>WFY>%mfjQ?hMS@PPb*B^MmOBSgCe$&YrCfJDuI zS~Fjbb!uuKBUrKLL2z`jDLdXN#xiSa(02OvWLH0OY$gNRh3Z*z&Bj}{?o)OVY>-e1 z5Oc@phw<+QMA0T%h^#jS!E`3_TZeGj6(0Urn#sqC*Q`a7)sSnBZKQX2p_y~VTsdky z_f{$r_vgWk#(420srUl>E4!U{#4~XogU36!bxKXX2{5ZbF9Jy+KkfR}ByC;Gz$52! zwDPJ_GX(`uTcN*yIy^aU{1^RBA|o)dph)5O&|HYl{}L?iddIm{=Lhu7T7%C@R9C@b zS4z_+wo+H#>>f(Io!X1Rx;l@VS0_tk^b9`HS292KJ=gr0E8MK^`MEK-axUKX`g)5x zyJskG{D?s-@=3>>P#F?Kcq12R*HjSZ~f z*zy~ehZ<`n0olP#d+?na5^BhB@L8YFJj3p&*JuV6vSzhN{#f6U2oecfbx+Z?`!MVpj9lJFdA2-EG0dBv zzS6{3xSlk5B4( zkjzFl<-H6*ddiNUi(`Q@0Bn|TdRQ9ieG3YZ0fdl_QQZiUnvmI(>C>5+HIMz>t=?-I zNr;d`;{3KppM)>POx(IZzWIxxa+RK5^$!62rCFm^pNR&2t&~gf$2tpSl~3Jx5&(>l z2k6aSvx=>TG6-jrS#D9#NkC{7a2bPa@y1Oyjih2z zPB)UirA~d2elN?SQ|a@~tYJG`tz+;0!cp1Xb^EUY&F(VuyLe=4)w-o=jux;JB^*N^CFGsss9%?U6cm^adJ- z32qI5_Ox%V`j&5XL~Cj`sn4}NrLNz9P*7F6N;E%+_uQ^?VE0UjLXWkx6Hd)e=RHz~ zM?qA`zDpN5Xl{H_D!Hh7w!yt`KN95dQ`fCaH-EJMZP8Gon8j6eR|8=v?zN0_M^~{y zF)6HDq*2Xe?`u{)9|W_WIR)>g_QW7383=(|6$tWr>W%01j1_B#T2bLc0a&bFOXA*w zVgI_U)8+d>L?=1?YA@bkk@NM?E-{2i&aHDwbL=`@UcLQ>>Jj@Wz#?;i zh`YM$SL8C8^I?aheyI9wy$Y0=XQ zf@0sZ(mc+AZF7yPKd!P~_Xq16>0ew<; zhqt7CTGz3qI+^9f7TVz`OK(}k;X)fj-+UEiQA~TbbcvsiRTMl!D8=1ny&0RRKlEpx#3(iyOzeY8f;ooMb{2%Ih>8^H_syG59w>(~;6J~96 zl&S;-VF28Ckx5cw$H;w>Yg`m;CpJnXGA$#ekuEy-D`2J zSY$-xiqg4tVJ>|v#applYIgPRI|^3UeNpF`E9(CZ{9veSh|5-}eAwNG#1zXEl$R83 zWh*S(a*Fp%u9YqbhPXu7>s6V#bOQ-{rxZ;)#cpXy(b4UJ!&lvj073@Gs6lFE@SoF# zr^?~LIs(iV^?Js-nlt$fs{`f3uYgC0lnHrs)NP-@bX1~Bc$MMnb@r_-*12oUqTh3)^=M&42_Zs7! zpU82W@qO9>w$?{|Rv(+FmC7sa*!;F!beLx8q}GR7*d)lmKVGxShLrnNL-wj&2Ng)p z0c_AUKc{~30W0@Ara=4%)c6$$*JWocSEN0y@CTsJHw~I_+&z^qo{=Jq9o@^elViK- zp+yfYQai+&#r)>zq#H-$L4+!?Zx+$OZQSyhU%h0rb`1X% zg*dp?`l2Ges_wPfb9j{Mg%+wD6uIi0o^{g%Mi0b&4O}DV(c7OHJ7IU_1xdX7Zpxy z2)?Snz0&gGMXGe%<^|;1;_+$m59g6z0yj9rz`ESUtKk-8?ujEH%qKDC6mE{25@>Q5 zG5FbQ$JN-bY`1&0C2k5Bv#YV4sD7=c*9N{Km6kqBc_w_mQ!mA&fCuD<+kl8fvmiJ= ziCl+)0GcAmFY!^UdDqqbtp3g)PxzK1b1%eV9Tm+BHSJ_})sunuvT!zq(a0NVf{-$Y zMlkDWiCcSQM6hkcc3q8pt2oOQYTxY-m6jOm zkK~x3c)^~^e0dyZZfn@rKMT>E=&SnM{yHS{c!)|QM|I-jWX6o&OeYHF)ajp)^U*HT zhumPX#+jMEVH}-aKvt2VI@j;5v=$SY)ZTsTj??AcjX)oEw9&lpd*SjIiH(1Qun44+ z%FKa2(hp3pq`{8muib%`Fh=i#!kxTr=7Sn+9MO}ZSv5Q>f7_zoY~57q2yh&o^?k)$ zb;4CeMz>{SE0=LTQ0_HbWi7=xXgNA-r4s&APx3ZEwQzU_x#Bf#b)NSeF|;jsv7Pp9 z^(4vmN%YO=&u9eoB{+x=(8YZAE}M+8uT5uDa(e0j^sD`*dN$FNBf8yP z(d6?NLCwcSGmIUcM#(Y9o;$37aw|PQY?3!WV0pd41zCo&I5Bt8vt~AEp-|{tiY`Z4 z>^(Z&N6#~t{Ws!5O1naTwM*aoXQ9%Erxu42BXMvu5N8ka%GvzGNlA~n^OCptaPSR- zE&fS4#pm>Z-W|4aR^_j!HRWT4Z*sWH@TAPzcim@HfZ>g)lQ3`D&I%_IwKxJ^SvV-N z0w+^>R_u=J2HJjI=81{9LYF{})#97CeR*g-tgs`gHd3756z3U|doyVyC~>Rk!~21w z&t@fe`~G`;Aj}+VEFxlSPTZ5mI;G7-!4K zLc^JVQ_UFnIdD1zwdo;QtQ36oosGQ8C{0SLnKNw%Z(R}seXEW;p2O?F4YTn|;1L&C zpI)O%_~a6OjMdj~m&l3k*(;cCwhGh?$w-AeTy|oqj#cGTbINRg~}dGJ*u+J7S5ps7Y2W}=goVx`Ue6*Gts%} zE{eG~sMPiyTcUa6<+O{2#8~1E{I({rSS}6hJCLObJN9$~9Zax-P zb8L#K186*O#B8?CEAF57IrN%I9J?P0wz=oje1_nlf7+pLkB39qRYersHz;`ZHsj*y zLrxVp_4|t<_d|u z1#Kv7)bsr#7ebNDFlT|~;UXu#>}?wqEd|J;inVRCRYsGor|BiIWGao4po6laM7O=Z z-K#FF0t>d#0rS*DzykF0WnYd+%#?WHc2Ht}C2DGU?JJ<4ftoS6_gIH{`{-}^y57gK zxi?kpkDZi%LT-_!MQ(u{x~YXYW`QgeIBG6;r{rqbP&MZ1KL_Jskr0w@2Rq5R#tG>) zO+Q<|S#ylPDo7@4Wo!~zj=~-mYL+itaE?!u;eS_}ReQGf*&8`)j3d);}!1+=-NP5P+gZ6pG zo8Bg%4&XE@^#;dT+_$YHO>;hl@%sURY+*?#^y|-l0iTy2vDMenLns53D|Z4vvmX&k z2xZAK`iBVS%(1qf4hKMPp!dDd4{YQ2GQYvSVz1nNCPz$Xs}_`L4Sqv9yDos4J~xn+ zi3dBm2DhX|Mn1CL?_T5<36<{=cYLf~$7)@dBN+6v{c#D4xUT54>fYM-7m+WScZ=LU zGBKJx-3}CeH+{{aUocc-eAY^^$QPlfRHpd;Is^bskF+8PXCbmC-1x~3AHQu<3Eq$J%o34a}maisJYAvzs zCq!|P>^M+S7RQFY%@UG_rlk#dn0q-yhM(+e*Q5Ia=QDb*{oey{M-EreZFIgvZM00R ztm7hm_vj_BJsx3HGuGnKUy{9J);3?=f+5fJ<+T@>Qh!Q2Pz=@kP9dgL2fQ+2auL<~ z_EtbFz6iB9Uk*7)l5mb+3x+hVYZjr*cvYQUUN4J_IUp>K+&lg@Q`()YI~U*~Z(^X@ zDxFuRh3E$n`(8;H#nWZqD8Y($jbsp5*K|9vZ)2mjXvna143fP|`p0*Eo@J5Zdgwm}d}Qp9=A$cCw`tH(+q89B*+R(`On5Kk z2$K{?H2Z8P=iIIZk$bSMK3cl`I88Dvz?&Mi*>fp;|H;3Jj9YqZ!^mw@G$#Kkvj5r> zfb7XZceC>#g#(B6HCw>A2_PPN+?qus%r>sRX-q1(9olDn_04)#%xR6i>rVplRMm}7**l0OwYA+D&i0)S?p6Mb%$=w?9EpHDJ zYMIUM4?egS($FnEYJZ^$L~bTOvPX>h(w?6p9vW@Q1iC3$-Wm{*jISOT&%3Y+2fOa@s&yWu3_8R`RyLhyD1sWd~ zJ$Yas?4$Or)Jug;scu#}+LI4bT@bR}Q8lYNnT6LaKh z5z@A1?}?(tj929Tv3AfdXKG_$ZyMs12)q-<4H#AEPGPF&d& zAO09-L^SU$!3S6P4(oD_k_d8!uKr)~m=KN|km~_&lAwRSh@8*RiJ3Er955)hvV~;u z>d%`D9zE+lJ$Zu~XXc+O*Jwws7XFHI;juH)~f2KH=D z=Ps+@iE}f~Dc!Mx zKlWnKxbnpu^z)IsaG{r^us0&uuJV1^V;>%Zc1*dWtj0zR|XNY#$V3+oiHWH=HdlpE-Q2{;{RCZx}xw2p1hNUe1xBCmT04jEm-Q z<%_n@kNKC*2v@S%vkR|;=2!wqo}HzsaJJ5#CG5QZ+bWzV#Ak`CY1{A>e~Cl)fiS_S zD+Ym<;=*RvQScw5ni+rho7u&UO#<3BU_l4SPc2wNCnq@`4wypu;!z1#L(C?ACbxz} zjhWi!{l5j?_qR*LxvEZ%2j+a9_|pEP&e*9kA#GY3yz%d3DNx5N3(kcCzxzC*Ea;`NvIIDQ zn5d~ko8m&4tWB*5Nk*2uPEv1Qk&Xf?N0sf^sgKiP?-l)b_U@0oA|dZ0{W2v3eyI*q zM5}V;|=!tWgV)clc+CjWv#1y0$tv2RK_kCpb7`L>ra^I*Qvjxae#4QdZQET8Eeb8%QvlN~GDYTv2;^YHW#z zRGpg)_p3VDZhG{1!bsm+4Pv=e8EgBERiEK)>{tI5pi58hO(CAUw~~Ts3sfB!$u{BC zrFgW66p?6(GH!5xdaJ}``ytXmQ_h;R|s~oHxYH^D*#9K zI-EYR_`geZ_|suFgG0MQKt*pG!l-5S|9ln))>qKcVSDNBzQLut8IXXd(9OtI+r-#; zzqTp8cJKA^m+e@maW&@hKY^Mjd(joNw#fZ_wM{0|L9(r39(Z;6R0^Z6- zigGn4LtiJAPL(?`?U&^+NOrqDeb7 z`~|pkPBsed(s}K+8_&HP2Wq$h>nO{7(cBkv3q>1%5&qua5E1znSy~ZEL`c0e=pV%Y zdjrd_yIYtxmIL|qx8#o(f-~ncwjLuDLtnGX8;AyK_DGJsbD0ctz=5b_RzL9@Mi zr%zP~_^fxx7Z|#(4yjQ)`w-=?aWY6zrD{Y1g=`Fqo;furErsxCxEC(^e!qpRa9lMf zQkOC{ArJR`7P`J_5j%$xm}n#oV0Gq772S13R$>1JiJ)NJ*?(}um*zB$h;+FvBUdggOm@{JYK%|P&$q6Xw;8E46HV{g30qkAH6 z;&1<>=pYiY9YPXGYopu{Jl-=(f;yYOjm=%QzZzf8?$-|e!iZ!-IkgSe5I^W};X=6%>1-&R$;4`yRPGK6q(N9v%sM*5z*+Kq~9Mzs8@ zSfosw&P)k;rd(L_kueP?=6QGFDkk!NLH?EQ5J@+YHzLSwc(ksI$>mGI#a&@T?FM7k zRQv7?Nni~Av3@P7xjjH#EcO)>MJo0C$;%g>hc`J+Jgf_v+q!lkjF}8n5EOX7L3YYJ zf|}S}$NX`Ti6HSMij;C!kz2beplv`!;z#?`@k*rb`b`DJfdL1E5~H(D`WB(v@gsY> z)Xz9d?|^bf;1FDR4hCZ`N=6#`bze5S{#OF0m6j)UbPNU9AAW+Fj<@D%)|RA&3HiKVrLJGydzd}I5Sgx^hcYegv=}Y*@bY5etPA7yA9nKO=#qHsV`@8> z10(T10=zK7=5Q;TEx{kJ=Bhgygzu9szxtUpbnXh^0MiU%-#MCwSgmpbImw1qScbpq zMsiz3uaXlmFtvFhiN&WeL+s1{vBa2~paU5ud~9tq2Iv>`GoI8Ol^L-^o^apWmqWm^ z2e>84uO5L-Ls=91)8<6-C#GOFt$Y~1zQYj9g~a)E!{$LaYIyWDVS!9W%GQNU2 zSg$QF{)nx@@OWsQoOg;L(7nrLT@I05`{?7!`eb*!T*1%$KE!n5m~6w>DrH*uSR=4r zkeyHh-mQr?(5$>Xt(v+* zd;uIJ?yKMr%idO*i20|R6Kkm3?pwcp+FsYsI$LpBmGv4||Fec9IdI0sH9cSv>JZ*G zRT7w;{f}BrVCEX9yGCj(dh!DTZZ~S>>*ZR0LsS1Q#B_iQow#DSNQE%dUK+`h!0811 z5-su=iNtF*FB&4V5(+C~7e5~ldF86xUWd+THe6=k0{^fHTKN$Q1JTL^HJA19)ku(DgoE%{5wtWoh z#p+reDJ3BsQ)v9^3bbM5gf@(X7eW*#UigJ)gYRzh@%J~3<>TlOBwuFPOX6J7pKPl( z6n~qC&R!7cV@9u@EKHz%qEYDr#6ny|k*+m9gkQ(4lwX09KG_Z%Dl5i*pWJDoyc`E@ zU_qTE-kRA?jNY~SBCr>OllbCho#~CbpuDGr>z!)jiJKuR;>u+oQyfRzWeWO#m94)R zz(y8*q&*SnJbMiO0gp`Vh&;us$u~r`Pwz)nq&1eZJ38F>CeY0Xm<}8gWHNX*}HMby%Q> zNF13JN$Ab?!`)|oJC7^W$hky(h#5xiTiGCT?+<0Ujg3AdCBGS4I{6R z->(mMIehS_gN2|GA+y+=$_)8vHp1p&p!vu^KgQ5_HU`UK^b+)1 zSA7OM7nfvtV%hexB3IRd4P;V#{jUW4l6BzJJ4vdc8uM=sK6 zfYb)}wzzE6x>`cquCW=t%$d+w(i2W{XDXdDU-sRZ6uG-pHHZ**c2^L+N-i3R{rDkU z(l|W)VEa`@1!#k(j-OmFbC?_8uJYK75(V3Fm{wXtjsBqU-9!J6I}wn%Dpeu(_%prx zlk`>X)a!t13i1-+)ddwf?xpOwnQbWV0o0D?312IulymEiB6q&#lnLnRCnyl_8maZD z4Dteh6@+3;#Q4uU+-q-OP-peIf<*SLm?Pq)yjDmk-$CbFuzm1rkE5j9AB(v5<0~{r z)UXXusZbxT+?oak+cP~yE%%T1Ra(ZO0y+fiRnrm=Jnf+8{eyiTga7V#Sw8n6duNf6 zG?njh)VUra#{>))qIp{7H1OrR-(JfUp)nK-QGR6y8=dQowir4Sx8LL+oSkKe>gx?H zeW3MXYWWT*y?~06QzbziacdgPz$k`*uTqKk62^D=E&rkC_gSU(Kdm&XBwiE4crDNH zukrGX(YqC|3@7+Te;8p-@+p*Lj!`+bW)c2F4oQ_snba05avGbDRhOo7&jQvy%6-Cj zmzMwBb@}vJq!mncXZ-|kzU;mwfn$)Vm_F zxpzEQXtX_=IC8_=K|4DLn;f8OqBx*vKBRJUr3txDyg8r0_nv3>(^rBJ*N+o#?&#~= z2jp6$UG^hKV&@w zH8X|2J^hO{P4Qk+Dz1^X0Bc@z+VNDhF`ak(`=c-5q6RHGI+g74!r7%X_IXINU%9VW ze%uGh7E*6AXqJ&(>HzlwuakAon%)ImEv8tE!y%elrbbi9OmB zx0sCqM~$pb%;QuyyYi7>wo7fFVudVdfUOg+nY!v*pW=PvUFL>U;8P}rvr?5`%48QZ zJ+g&W6_4+N*;oeoDTyx=X`Z$vqMI2d`p&#!FC~MrmSUkF)a~#%mIkzS$b}uQ$|04p zO_?b1TNO^{0FgBZT#{qw7rZ`E8V#QK%#WW1uJqvMiXu|mWN6nOU~C ziQ;Bfl8Y>#W!+o3-f_7pd4{Ws-I;32#{3u_KV~R&BFribeTRX8Y$~IWtZw7?eM&$|COEB$d>k}Z)Xn5 z=L1>!j|81g>T30K1;9M^0hx-Y(W8f$y^G(fCfAjkaaWe==A9lk-x8CuO35VOYiFd< zlVc@hQSt?J^cr6;ra|s@A{lv@b8Wy^t@vrO(Y76NtT4S#9JlT{-{88q-p9FF%a6Eq zQ-`sVNWuoOy+r>7H$!d(ISIxz2Qx0n47Ng)5lywhlnWtBfirL&XLYQyz%8h++5 zOy%Hz67x4wx8f$_aIZNXEVye=YB)u+&ow;ECT~qtNKr10C^zlGd9@n4vMvWV9Zw4< zkNP@SmROi{yldAmkCTGc2|AJf39yO4yk?^Z$w|n)s|6dU{LK?NBA0Y_%k!UW%v3*~ z5%Zb?q+oC=e6<*o&&_-_#l-6>JpN2EdV1(tax=?E%iW&+iwHl+EtdJKvxIl|QLpLb zsY_C?>^6RsV@(gRKSSMZmsQ|b+t`0h;8ia2PTBThReycTdAe|FR5J(gB9OTlRnsBC zUFnwTIK=_2a&Rwv=$jn+U;dO?-uFZ#m4Q{Esy^CRzi9D4Hy=>^Dr&vAZWe#z4KG)@ zR($^l_Qs~fyPy(s7aRzc)RG@Q_4#C3JNDtj&-A-P=e@fl%qu*G{ngeCG2|XzJf@j$}L&W^~1>4bPAHAVqprYe78!|C&9;`Ltr{7+gQkS_ z@2246R}3$p1A{c%1o$eymePNJ3Lhi6BlK?!^@`m?KY3q8&J}KVn8TB`nr`^+T}w^; zQBb9TM(Qd%F#G9EjqX|8Vp^WlcLo1rz>V%PlQkVYW@8;x}>t=vq)}v6*=l zH70K7@4O+9e+CcPYy3AJW^KJqt3XIKsvz?ZD{PYB^Vg$hXZN)|5Q6>#Zq85$0kZzd zIZpw@Do_+5bjW^3K)d(+*NM zwd(ehv5rDkI@N#uL#uVQX_8NO5XBvuda**gA^z0@`|v)&LyyF?KXW@yTmeQB{@qJf zz1DdvH{Jje2RMnVok|I*UiytdN#2ESj4u{{moC!>Z~yA^x(>3U;Pmtawfy5CzBe?g zTdc;C>GFi_iyAd<0baH%z=>+bL`L)K(JAGtN4A&Ffp6BpAD(wz(SM;W8|R;p<>1z% zT^V}*&bA*#Kzy{11;@n3O19z4khGdEyM~9VbD`uZzc*5`c`q#UZSGYvMm(sJhw&Dd zG=FJ+Q=ykH!sZQZCUyQ<=C->tAF`^zTU3$1K~z;z-9dXHf0ehQdq&x-oD%>+L;%nRrU);|{OA{*+fnPi5sLkgUG=*n?4~WrTVv?!2 zHjszYKzZ?w4U~FFWE;8bi!GMPYGk{rVcr9AU=^Ls{^q{B_c>j$)GbX}t9!P;Tdr5R zXFxHftQ-#^QyR83vX3pew|wAg=Sr*nLy=B@;;jbkf1thzgnU3<+0wLYF08}#5Ksxk zj)uLm%N$AVCOHk17IMRW(P?-vTI1 zm$wvUa(}0ry|j6s1oywLTm-2Z!o1Trr8ZCEj^fGUON=C?INhtGpp|T0ME|Cd9mPN#LT(ZiqY_3khV)q5eS^%2^@*(U#FvWmP16XfY6ipnvR!6`89#q8AkCB*r4fWZ` z&JpAO4B%(ipXpSTuy%}ago6AL@QXWpm&JP4lk2&H%9 zCER1KN7YPxGftHxCxBnKc%4h8qK*9Lzy7ajp$2a~_*UR_Kg5mvn@Ygu03 z%3$E%;KACme!RKRI`7;2D#-oge81{U3J=M4gHzpvvkHzJC1>*E{1|#?3^-Up!(>36 zvbdy+^N^~Y5dEKmy1SV6lwy4frIVK;BeG{H{$EGk*ca+pPGpkd71G#T9id`c#|GH> zJm2horGgM51(KF1?ppUvH(Xk*Y3D2LdyJAMNDq3Q-kp)mzVS79Xa9zmyl$;`-FKt) zVBkr8+m;q<;-}AatGn_~6t-PzkFvl*lm+6vE{=FPB^&c}=Rak{gSLa{)#Nk_l1R%$Ns;EU77?AW6GvhF=_8{oJ}$M+JA7UXTw^m}#4 z^MT!H%UlVmie76>>=)!~(G+Re;IH~9$QK(<1v-c{U8b>=l1&u&_^cAF1}M85W7X6j zbdQ-kX}g0GF81|93y0Y(atj_urkgdHfBlLT$|#$KJE2G7`2E<>$ZrH6T1 zebOOpZJbRUy=KmoJRgs~_T<#{=tc6xhDJgI2pFCw#7DEBP?9CfuYLkLNr!xP+2m0a z-gGs+cKb<2S?S5>YYPFt!xYgm{~ku%0nwq$XGhK;o7KQEOMA}P!4+F)KbC=R`|oF? za5!uF71a(lG`J+s?JN1{|LP2&g0q6B16Ij)QdJEglG|5|Q7Sb|P3Sl@kem2btiY^c z)vZ^nbSqa~FnATx;lb{bc7unv3zDjvnd0k zmgX*u;x#x*Bv1^ocHsR|sopg?3OW4%2X6xpBXuYx#Y9H57HCUloK>p=oISRL%nXnF zwI5OXyz_Baf8dr-21ynt7#{8UFCyNNK*&A#c(q?Q$hIbfNITd9bJ~I(-dNjvZK*$VH2}J!6$<9_z<1}B&+18_IE!HcE zyUM8m+o4W~CK=>r&p*~7Gr56$N_1lNki}55d92KrPOR5Ja zI-FI$8O&0s>5J^kV1~O?7#w}o-BOyW+R0NNPB#v+5W~$Is@k^;lw0VDbS=X2HYc>d ze1@K&HmLodt+M4tS>CDjYk!v_IFW((Po~w~d!aegow2}}&%$OIkho!$Q#X;b48)rM z-p;J=PZ!N12jg4hWtho0Nzb_+VY9q+Z%X@qLT&*4kCQZR*?H=;(3c|cm*3j;gsVUL zzFTlJM_S|U8rg+c)_@nw2MRuX; zY#Dmc~!nck4_~Jn>HOd8NxDMjT$yn776ge$tT7N8aYNiVDx(D zdG+TB*4#T1x4aTgqvr1C(l+}iNW6EJ10ToeuyEhGY>S6(pz6$(=Xd)mUa8JK^fSSu z2hjpUVc#4K=fWHaP{17$-Hv4hopkrMS*(HGFbBS1$o>Ht2f;K~P_rieGQINzPHn5Z zf;Hi)0+Yaz8=*|#r67-26Xr?<0zY18SzeK9M$^bx*cL!x>aww9 z_8!-EgC#);C=RnhKhlK9yp%!~)8<1^<2cH+W#eI4r7NoL>F*OW3702X{azJ)-J;^q z%g7rDbWW?GbAD@F3wgG{n2{~WR=9WrF^ z0yW)!P>(lxA$l94RP`~Kz5Qfus+=>}hG$Uk=(yETW$=B7k)+K4EFv=OmyNQ0h0)sr z_L7vI22O$!kOnnXV{l9cd&fljO?T-bQgjU*;krqZHdqDejRuxPcZ}FPMC>a2LdW{fw1hQVvPGCNAA&kxT96D`-jVs4`YrJM+25k zPktt9yqmtw95xhpV--Orar{g?C0rOY<*#xljJfDO2{KP7LM!?qg`*doKJcD_a(++Q z3tN>tP^}>|(eRXf;BNoHUpib&hk^NgVfs)YGpJpzf8e;pA^wr_60fkcVg?-S_Zcn) zM++N_SQVWHID&EDwF`iQXU|(|$9<~T{mey0bi6cmgI9;XX~(|*aM3~mG5n*}fO2Vo z)VO@kQrQP9zD%(S)(UaGzgeQ#I&6z68BejGsgraz{iFfFS%5J!~ zdF;3-et*407y0Ouh@iKjmi_P&aWwHNal-|Fyz|OOsT9{o(f<*5KVlL&_gKOAep&sTGs;cpzD&&y)T;ASMf1vmr) zV8yGLjsTA%9pv?Eq$Z0RLFKXs%h)Sk&kCWydn7JJ8YO$MK0vhcLxzw!?Cs0zUt@U7 zubA#FujBthlYy=nhI{H+Gy7IjBzvaHWJFGH_f69jqQRadXZ~%K@go=2ASE_vDCvq! zSfMdrpQUY%{{pR0qE*s-eJvjAo!h`&_{2qJHF!WWozc-ktY+u&Qc&6z6+AgezkAqP zND{vuXG@rQ1PaYd4nIw|_giy^aVioVxOnnFr*tkaK$!r3imRYg_cWlYR$-P?&()-D zPt8?u2wU^|2`%b9-ci1ApbCT`zf{a2MhtZl!njP%=JO)IH#8Q9=%oMhf=SXDJpYkw zn%Dx7_`?Lik+4hdokBZGX4EcH3yftXy2U#=X{ZeDnP6JGOmeMZQf(%R3J75$MPY8= z@l-*3o5Cq%M_^&IBqNlElx3A{nn&~qfb4M0c6Z856c)_l;fydT4ukhwR`Bcbo%K>* zZz!5t35MPYw9>{)<=h5jlyTmmDD1ff$NWO+t5X}tv%VLfgfDvGc!jV&Un zvK_;~sep06?oyAVliG@aXl%_JN0T$r@~4T?GEe53Q<%o(H3wFhr%kb%U>+L$X}7OB zxP9iOIUL?SW=m>*6h{o@Ah_tqUCX*+M@n;79OR&wCnzRcJQh-AC3V&-j`%iPE$%qS zAW`vSTtgjl%$VYq+gt|)B>o&55Jv*oGXR&sYr3!%*k}(IgIo8jdoF@mP0r!?0ru1p zw+<|KtCkht9y_j9%}UZi;?|vMBNfh((nqeBGbD*W%E`%&qb%M|{ys@@QvyMKdEoh3 z3g=?Jx^}oKR0@GBfFs(?=x~~Rb@K)(e}rbL?dM5Nh2N;o{!!VCyGw0lj_&9mY2*93 z`D}2jZA#$7lJu@n9BY{5&dZM=5LgsQ7-4y{D~zCH{B##s-N4Z%CqrvZsWWMZRzl0)Aut`y#=pZ--g>5~3sh@RDBuU$mdhSzU? zD|@Y3fQROw*;pw1LTlpHP*H%nK-Ft|oLY2M$=|9O_?8sG-T;K;P^A&fcr0;NYdIJ^ zrviE6!`E#t@8CDSe0wJq?xNY`3yI*id}T(S%l8=#)~J|`sy`{f5gv^a9WChKgxD(} zf&t3pLGK&%Mtb4q@+P<5w7_4#?~yJjI{{H`4u-KQrfk;+6E=EHH#D<-z-Q%EoM*uV zi?IC}pS~&F4wVVZ4qdffdqQx8$|RIKvjX0QD)L^&66Kfdpvs&vwNErP@HLCxTC! zr<}sv(JzOT9nmbJljmR}OjLwTO0ys*M)1e>=&i^pfVu>bm>2pFHtlH#p3hWUyDM=< z0_L#<`>FGGC$avxDVDk^eO@o>mBU)c3irV0sY7jhy?J`~``k8@LYcnNmPc>k)R&>E zjP6H1rIIv&MV!W#_Hx*TkE( zH9z9tHXsdtTPvy2HOYe7;_)HMMrH)h_-~sL?19VK{!EPCJC|N@HoU;GX(~g+A`A?l zH+RUy<9`~9&WN0a^K?fi8hA}Err!U@DY`4Db39fdu*R2EU*EQy0oo$?~_?oh#UYw1`n+iB9EU(L!VU*MR2^sgM{>QZl?M@0q(OC`fBS8Z?+fz$eJ~E^8 zQ|ePaSrt_sfHLuSNWY6eF6MK+q*w7Nn&#H@~R4b_&_k z3l9l$U%L^8em_xYAyr3Yxfi~uc8 zP`S@&xf$wV+R@mQ*x%PjY%QoL0=GE5I%5O$4M^hHt1%$8Y@rgQ_ck5r*p*Ps5tpz}jWIp7Cr3 zg~`Kbc*8T7%4F3%=v6owv?AB+ZJrM8%RcS%)b(cLtUf8cN7aCdNZ^@_j}0TbBzD=oyxh1u2U3YuQmOCP` z#A^>pPJ6|2*U>lSoh=jxT!u}&81-zySlGt)>?eQ&B`Gw1eEtdXlT~4TPsRI?G|E*w z9xNkJx+;-WdyDb=nDCzy(CP8+|1H9k%@Aj_BeFW7NPhu5@IwbkI8RY>sEsG8Uy!to z0kF9dUE{4@=g)>=u^6`DSp=Pbj#k2%shUkE&p+Z-hjT?Hgh0=M8U!~ z`>%C%OvAPk(%}1n9k$NGm7>ymLn&&H8}-Ox^I*%gAVt?ZNsDhbPcwgmpJ}hI!q?)!PZW_dIhU;2gG9@JWedr97+X zN_iF$*}6%P)9?s&Utk*T;{ahM4p1%3Qj?#94g9j6GO@2$4DKj#J)Qk$*H0)fqm!*+ z|GqV7(gHW92V@Z(*hD6=PaYP*MKNgAp~wFHX(7_+S7Od;nyPloq@Ev%^kgWH-8*X1$=~C&#b>1bNPt;zBebIW!~v$MK=lRU`;X|C7y7Nd zc_YvL5}R&ls5eZlPSrM{T_tnnlSQ1h=nw!Gcj+~vj5xF{b#3=k4rrdf3%VfQUMtJ$ zc323yhqiXhkdjr!!>-77XaBgu$bDz~k+% zz66q~z|1pHvB6RS6Z)khMOSlp)d~Nkb=Cfr?imlK-Us@Nh%bhk=RnvCOwEwDEbKSw zo$x;(b}b?5=+T!=S(^EWEiUWk_pLIAwfyBqi|vJrlmmbLNShV0LHKj%;~UDpos?Wq zmlFtt@Q*RJ;^^vbV(NMH!+-WCrBgPL~>}K`uvq?HM z0C0FWF!U_c(s#}Nac}LJRXEF&NX*?6FE_MrxC^Y>UI8Fo<{Qkd8%*UFXI%98cMILK zjI6f_o@H9`XXnHUBq+r*5M{7ClU~HWOh}tgD#?pYk%@q_axma@);>PwQWcqh7s!H7 zi`|kgdI(oN?QhXMYRG?zSTF5hWO^bnYX`gWV{Ii1>cF8k(+;RKx~n2~t=!ML84!*Z zL>SkjJl%VHo*+J@zki4X_@$3W=4oRwHgmLe8U)%B5>V23+!2#i*1z(Wy30JqXV=sI zS5Nl;cLD6r(6CH|y}u9|GsMAPQ=m}XTy4;Lo>&nk!zP|)yGkt}vi#5A6bZey_Yrw@ z#!Sv%xZ=JvDY*=c!aYpGrqG;c+~LQi7ILG8=GPC_9BX|xA5Q4voR0R@(WEKABJ-(K zA51>CXQx*3T#I!30D@QKfKE(gZf{CXLT}m=ArD1UUUV) zfkC~ch)O5EXnpOY?IjuK%Hz}=+;Rh@*T$W_s=_O0f=-%oMfnx_ovLAd=`$C{(tzKy z^;3J*)i<)zDDm-;l==~RXK2d+B9uwdH8Xp_+)wbkw3Z5U><1&Kd&>~nY6DB!WmT6? zrBi-iE4;Z`pW;CDqD|3>^mfkoFy;;&I+=UQ*>DMn>t+)t!*p3S$-Hp-hbm98To54# zkTf0t+Ch>UJL)1*>fcPgd9jeazNIb5gv~(Js!dH>ELY?_SOOK6#GWQiP&u}%&4V`e zlW9^7{q-)|K|ej$0=5KkkcqccXhXY0y&bDOe11+R+MaSf+f~U=o%J9k?Q0o~l}g8u zvfd>GYHQ~v1Exb0oU@K$OkpV72SgZu1X#IROL?QyrcZxSKXC_6i9{?;*{Z&>Gk5RKxPJc z1~Z)LuiU3{0*9p?AbOH?1L%mSIzqd_{AhtmFGYV3B3g!qu9fiaSx(Z5sT->F7P)k% zSlKgxHhua-Bnxr)Xtl>2zBRfioG8ZuE%bmWP|~g~IwG~IEXUAs`Rq$3 z;|0No%f4M3n8it<4n=3_K8!w~S z$$PJF&VJBXZfkPc!0gVN9krS5j>=T76@t$n*gkJtng(NFzX-rp%jGV?r%!VlI6nr% zWdPu|yn5;b6NSew&x3YWu)ISnya~)+l!~h@VZxwQ3t-}a{2O13+SQ{T8Yrn^KRsGH zVdJmtofgx26m&~39fu3Mn#ty0_+tvUe zLs4rvi?w+3@d$~`0=q67a4`MTLN zOe!uIn4y`A!V5aY)KHDX9?2Uv zkC-}VbjJR`gx>z!{tpO(7%W5lKlQKMPsmQidoac6+J3Nx&DteHk7=~fKAXGuhL@~l z#tduDC?~2fu4knp?94bX<8I4wWSD%Fz(!GF&Eu*)p-Po;{^YH)`>O%@ru$nDU&iZ+ zOL_?eGt8sKywLzwkM+TegGfkWf|Q~^p)s+!(`0`6ZRHDT6|`S3rc>5RD2IX$D_Yn_ zqjy-F*4b8PMf9i8ZhxSngPRs1cFMCBo`^%HIpQcC>jQAg|M{C6Z_2% zM5iGGPBPLhas6}}px!vA_AOkqWV$Y9BkPFs!PI0#&BHn6g2%1XMsH^K`14=f8y}hi z94s;!j90>aa?s?l<{w`CbgRWaS3lz&koj0eURIybVXiCZtZb2S)1PhKL+K;=6c<8O zla#?^r%lU+V=hddTkMjzX2Ed(eaQte@n0m}lD)e>$*tw?0yjfUiameGp^k%FgPG`Q zm~!A_%xLGcMtixTiZKnBd5|Nw)jGAHejYeNLX`pytiWJqm%)_!d5y&aIDkR7E>Oej z`&wnJ>RGPVnr22?xW8$TxLsFWU6Z+j*CY3}kyuoCBA+L`c5|y9q5Hrr$WsI3-Ltz= z91CuAeh~bA*LOq3^1-hFs+(TT)EeM9G%w|`&DW>Y!9*T1=Isw(Gf?rFFYAx@D{1^u+4N`2!7PM!9! zkq;?y{r}se59njcYZpf{c5lBWZ0bk-v|3(j1D|5&^Ua94E6{ir#MeNgt^e|m|2?pn zv|ddnGyfFC!tPMq4ZnBvrEFp+p6$o)o{2~J_u3sRqsHUrUyPcs?;=bl&TRzR2IyCJ zwp|TvHRE+?O^lI7&Fu<-`z$bsBL|wDykDU+yo0Ramq9cFtOdn2J8!3M9M_HQej!+D z)HDAiP_S`@N{=Czo0>{JcIB?4xnoJuzi347cYS!_lX%0p`j;QxQj4)djzocQ|-bk7RI5Kx)_sS+cEOYwnhZNvl z-NMgX+RD7O0H&_JiDCGv@!fYZT{-QNPduAfbKPb6cS%OKa|9mYACowtx&40)yvw>x8 zTao>y%;;BVO1i{XZCAT0)pSKsRgTC-YTzrgew{w!P^gf zeO`$2@omtouGeoOC2yygYz3G9r%BVqOu;}xnvrBUwzvmms;;R!5_AmL_2K@!>=bke z+>liPUMgBy)WrzUODAiWl?9Q}-W(BA83J$i>>OO}hF8nyB&?oY%pnV&5ihBg{?`2H zi-5s4F_=JHR}9@m6_o|Ds$M5dhvzmilo)nXks#A%q51(o`aEa(qwnXIFd1jS(qHzr zxAb{xt?=1psQ{+9`N-CF%Xl#3Y5HLkIS9tE_8f1>8@c3aEjEwr)Q0;G(PmApGB4ls z+%qRaKcRO_7-sq7&~j3-p*^pHDI3!hTvm}e>iI2QTEcXTE*{L)kprY$E&m@?UjY== z`u=~t%B2*f4H}UKm2Q<%I+PBPTwsA^fu*@3As`?vE#QLE-67rG&C=bS|96-Bo%#J| z7=}Fq%-KEXyzlcopIR}j27!tFG4M^%E!aBU5`A1)s-0S=?+AW|E-Ab(4_tKNNn^w7iTIxJ)KE0oy%#k`W&HV)Y zDOU@j(q5!`P24iDdd!}Jigy098!DHrY5;4*X+muo7Wcfiwm8`@n`2DtT?v2cS+K*j z<~(Wu3NR30L#MW9Y%GiK+bu8P!y1hCD2Syo~Il%f%(g{+~Up$RvlWv+gB-{_Ig;^z>#n4YQ8%KP=~zV=0;? z9cOb184k+XioQ}p;W9-2b<9~>&~_0Vm}T|ivWYC2*2UK^IPZ1XLKjN?%^ZGm8P}bq zRom;g!%YG%#Ti4f0HBbuZMWBg39?hI)!^^j(+W(f0WZ^v@`mS1GUvfX1#dBnjO&>! z%S=WWfOI{{T#H!Ts{E+9(UHn%*pq@5xY+y+%z?F?fssw}!_C!1%c&Y7O4%a{+VyI3 zjrRWC#meoj1^S7cw&^TX>p$)$)efK4dPd`nB-u>LnzVf_5>|F%p;ou{roy3RmA}z` z#(DP6nGSQQx+eG869@$lq<~r@CKNPzxrpR&wIr4nqU(_*&x*Of9#i+Nc<_mMU5AwL zf10qEoNht*MzHv+K5~=_c-j@P(YwGbCF!HU#F+Avevof~RzfnABc}bq(5ultl_g1E z`NwVYs>!|E`W+70)$8ul+s-q`+cV2>F*64((H@s!d!=>#V`^+oCnt@Nf*s~vDnf6W zi@qH7lJC0D+%$j*9i~3;{79Urd(tQg^Oku~PD0MiabZyiHNZT;NV4DOtbzUgpAne~ zO88g0kmAGuhID2E#E75$Eadc|7L0Jin>VK*o|xw1lw8q>4D%>>@oyJC)arHfcaYYg z6qJIoZpPl?V~`W8h2}VeiUqKPwYP@ovg4Tp`%|_<3Y=fN^lkAey%`a}w0isMxvVFO zK69s(x0LW*)W!crJq%IWz#_o}Rq97XH%A? ztNY3kR0iihyvB-KI_4o#n7s%oMYy59v!V-Qg}zkpq&R$JXtO9;n8SyW2@u4nUYmk{ z<=X|31P7ErZ!4FPWI4q$-y)pUxPvA-#ml;$eW-LND}r+sMF@yU-TI)Yv@-AsKh1ub z!Aedaa`&|TGu=$)p0lgsR*KQmcvMyYgFUphYV?%(g!`*=t4bvpvli^)*W64*hn7(6 z@mmF7nF}2T;R+yRQ;&arVXGGY8WoDCD7Q?_fE&EN^Z1yg-{<_QUNQ@ffS6be*LYFs z)e1vJIX1yP%LEE6kDUrp>A4`$OUwTTT`q0eV?6Gca4AHziH(%6TX`~Vt-)2!Ift{H zr@#pHgFP1lNNcelTAvNvJSu~iSofV;dfum@o%&w387>}Md=F!^DwAOmh-3#i!@OabT#rRl0%k^6P}hz**)OUmGPF0%Yu2NI3CYYM+i&4AF&RP| ziXQbCz_A2hXEyomgFOq5aqq4$uTkw(1vv(6Tt%u@O(38uIl}X2i-b_~l-*nBh;!*1H z=TlE%T&YMa;w;zjk{hT8)>JS7p~@>$;(3x0)8f4u0aMS6LqhN9IBMENJ>Z#PWeb2F z&4rZz`Pe>V@4Y#B0|BAOaenL0r==KHQE#^b5D9eaHN2yYbxgJv=HLCc@hGh{S;cx; zTI@cH_}Pwfrs3PAoP2WH|Mmvp>5;TWhhc3|iH93c@jS_-Ct~iF{I;HdKjgcK16=1= zvlTbtYP5{keVk@rm#xCD{{XDzy@*W{nb9kUmU7z z<2$+m@-83yjxA=bbUZA{zv05^&1JUs+K0A(_KLl-2rMqTJ8`8R=>HO8q3yIn{Td#B z-=oYA&>PS~U*Wr=VJ!T$GDxRS8)Q~GhdOUoX2&h~I)cTaHicF<3c$Lb*j7=S29CL1 z1ve0&oM;DU$)`{^+GhWq@6oZE?Fnv%Y8K-ZnD$x`ku>8SdvugE7!j+EKH^ieUC(#` z*)Dr1Zd?ByuiJ`AV3Og4NBB2aNh#FrN(l)6?+J}sFyFkH)FqoSQwRIQU9EdQXz+pA zo@M6!m!r$*$m^Ca@;0) zLb+Grb&(MW!vTX7#ug4d1d-x8`4&B;VvYrsV6`^(qIJ^3B)9YWJ4@=-NB<5Q9;sqJ zUG>;`?;UUjF)xl<$^+}L_z+}Vvx!%?3_ytVsHJ&bdUQ zp7-m$dDiL(4)F-A11KI$R$af#FDS81r`5oV8?b-okjLQ_=1(!v?1h$E(b^BDmO|No z-nPyU!a`R%FIR}g2VNyz8>dZ`C|Pi9$8@<1+;jXy+LHijQkwqJQXrJbIij_zcCjC* zezBmZaq@T4T(2%`=~5`p#rssmT=Q#XvwlbUI{|PDPT;KMYQnpF)wfmo4TrR4P#5;Z zE6-G&WZTA${~+|{2s7i^5w%WtbFo=O$_a}Zq;?>I?^yP#dU7k36p<%%T>QW(~GMRr*c@p-DO%88h- zwrFUiBU67Lk1o<;LT)v+1JBBRp4c$|=?87+TR^)WFKX+?PBmCI-hPCcs31vM1X<+T z38`zk(^O>V69fCdLQsbE#25yKHsmQiKt<+{bC{hbbHMJCDG#=-$5V?Rh1~4ZJNwgz zdI<$O8fvJv;!oIQVC`Q&t7JvGJMnM{ii{4_7PEk*qE z%lz|FK;rT~=WPCu&~-7{ndJ0qb?R)>6J%<^3zcnIk!Anzy-<5uu7?Vrb(5c|Xz#xG zoK!I}o5KVA6(0<|9_X)ElEd!;-us$D0|WZ5WS{;!v?iU}F$#IyVbpD$QF__ySk_M5e!y5qYeE}hfpok}9@Lp!~& ze~{y}ece7Fn@ws04UFPAG#^{;l8=l!6-j1xL{1qMd}VGiZ)^XqXNysMt&N4_bk|2{ zGAQ8eTH6mKumUPM>*m1fFhppEik2Kiplto6tgU;Vt`~zdK)qO?E`@P#O*<)3aU_1+ z#U>trt|>^s5WNz36*4U%iqbT16j;;!OdHf88jGm~yyN@BHlBY`)b+K-6(0WV#UWgL z<>ch<0YP`sWj({;vJ46d<=%7hMTfrmmS3>c-uQzFuF5yJ}&)n*#Y>nyN_+4>0GNOS& z)x{{{KwZc~-dYu9=F}SWMpJ0>{c~X&*X<>06TFa6TygU-=rC*WjxvyW71kzr zm0ht94~URb0$P$xh_mpR!=e3^!SEG3Sv*i0H5lzD44ZSgLwlylsl6CPNM)Ry%nXtu z8z-+d-=Iq|AMhz%H#YhGGE|B?Z-I|%1$rPs(C}wq{Fdss_u)YK5h__kx!}01&(SW< zrYsd5Z{+bVR6`TW96{J9&+Kj#!P|2%UDcqj_1~rpsM4SQTQu6DnAYJ%uo;UkZZhDc zBC$dr!bnOi#EC#UOySn984Gv*x44Af&R!3`-oPzs$U?8f6>yf^?{~Nh_w#K>TPM5b zw5E-lW5AX$5Q!JF{+d+=|4&t_=mC zv7ZgBT=`Jkt*kYtn4fnx8=e(-h#xHZL%^GPGxOPMW64oFpX9LKimvYb7%&GHEsvViN!|0-zHGN|6L+qDwOSadi zV4iSazkYei`Uc4-JS3qz+(futl1~8Ju=h0h@&UCXrJQ5{#T&^!I(+DIqcCs>#cE)1 z^YohfH^6VhTp_2rfuZsiS@u+u^-Coxf3GfdAW8Xl{^j?<>V(h=Ul!+@`N);YWnlR?B_?~;lhmK_?( z=d9VdKaiVD_$!fIjAy)J|1@3CaOxC2j7XM zka9rt#(_nuf-z!rVCVCbWyy1zlHBY)@3ekz-*gs25e~|#{g%l)Zz*^#!sBRBQ`cAi z>9%d#*ZFZHu!~Nbtf0Ug1dpNsDIh8mAj?ZTu739SixXU}*mhqsU6dydXy(>wyVe!7 zl6+!R|2umj;{MlaX5l447vc5pZ+C^df}Q82i?f6!cou$o%f4wYw?r~);YwGor{a`4 z`BtjqNy%7Fmu}rft-=dcfmPrg5E^2uw`Gy(MiKEN3Ad+j+iRIw9G}Zq*uyD!ZvftG zs-e?xURyZtv7KFFvhGAIcJPU6zY(7*NK_p8{1FSGGy_sFn!=-d(Wlw`rwHK z*p>Z-v-q{wf>S|r7kjMEt0FFZfxVcA>ab+Ed68D9B74G~5 zh5-y9=t%y({)fe(-Fa+Hvx1kljS+KSe*d&c$l@N$lO1CN9=+fXqYj!yjb(9V?s7Ky zzvU#7S|=|ysQ zgUtbbQ&JUf;q-On%>PXsiW%(3I;y>-#{(XdPv|i)=hby%YWvj+6T3*5bSk?@geg#? z*E9SU>Zot19qxJ^`XxddWblb_SD>Qnd(7~IzfZ57ZZxFDF-aN(aa0Z73%38A#AS!m zMM8oOnF5@HP~cmIUNMn$_HI?{uj}`hbp0ZnV!%(5PpDKs_@4}o#q_?BoM1b@dma<+V>{=@_EYH&dVwovk+-aE_0<0VoCM z6xMH1KoP400FZ&llg4kwRQ4@U&HF|WD{)GK?s^-+LHl9Vc8KSODfYi^kPTfmMZ|C1 zAD++PnCVx6X$xt*F{nbqU(1+rmfRKvIe3^;$0$QF5}HS^&qW*R6Y79@)@k<#*rggU zkrB$VK*=r|@AMV_S~X5K<0eMehR=v_#J!L7?SX|5D+`ankfBg6Yh^^&|Muo(BUl;PAIYXVzzZca=Y@)TV<`kg~y)p2ZlZ^9j&o_!ZW4d z^r8Gm`=R4X0=xdV_cJ*6I#>ufqI1{;w!FO>rb-?m&St`?EJb)3G+OCtz8F04oUFHa zG1ss*v{06M(`vjY%WT83*?t!eLb`zNL)%3QwdK59RX?>a`))bHF~^wy-P}KygxtwD z#PCZ7D)En!r$qnFMe9g?KFuKK9;XrORGf2%UhkaBUluP?tGX!3K zqQZaq?|qNA=LB{tf@iDMWxJ6j6Pi9Gvu|u0zsynoIfhl=&EF-na@#lQUaYbazepgN zwT&$#{zp#fr2JRbQdU5@?!oW*qwd;UDIoL`(T*>D8le%Plr};;z z#+x>lNn*-KBF|p=@BthBjkC(>Xzqq~h#21;xpOpFJuk!P>SnxIlOmW-9SVglc`MGG zG<{_K4i5pMO*xLw)n9M_O<3Xe7eAsj)2_{0 zEgRQPU<~yJ1@P8v#O8;Auamq~2DTQQ2$mPqTP~HX(Vb%*oZ8?<0&y=``#t$afwPxM zJ&U@Euq|g`1@yIj+Z5sZna#8KFlJ8I0+i{}5=TW{Yb%)&AuOl5oasfjr`i*pM+6U` zfWXf5Cx}Y1BXaN7x0C)zzAdcU?5<`}G;&F&b~1}y^6Q=umIj!y1IHdv0r*)$Rn~jV zoo*We^Hd;K&u-PtZ=5&QhqS~S_V7!M)C_;u`Fa&IF}AO;>&#K$S8ttIH5kR2seApr zdBrogG!rrIdDs7$E}5Ce8FsnOuhEZSA_jt*%7=F^A6OLQeMoA_N1qk7{FaqlU07`(xES*9in z3$(yd0@}N)j92Quzc9KxAiYbwCQtSApa6tR5pdddmNg&N8?`?6gwotuI{4hN8J50W zT4Z+%8Kddr7akdzx)Ekpr9lz*n60ZVU`H9Elv~h8>)AG7V_W5{eHCWrPmmu@BW_;z z{>$}32Zw+bS~3oNPh7d6b#&}P^Yi4C_imc^28m$i6SoN948RweEKDsjLcO~?Bfy40 zKA}k6J*F6KpPpF%TW5?ZoyustpRrb{IMRL6qDoYGjxhHFMW@%<)+RqrvQ2&6R9MBi zb9~lGi+o;`Yrw!15Oi3t8iz+ZnH}rd@?USp@xu#6hi?4lbRO0-JiSUc~ zEXvqwxn*(nz9`dI@z_I5sbmDFuq0U79E1?y-%CZ~PlKo&0NU7-?3r-5OM^n3#!~8@(V5OfD zL?zFDUDah;bHi2M`PfxIK?gbSQ|J7aI5OOh6=8Zr3g#fCaGt4sH(-=YioQfsh5IXB zn}zOm_&8Mjx!ix)VY^4S3(D;|iI7v<5b0xip(*3}M8ubd)kGPP7<*@Vi2BX3yra|4 zzimPQH=A^M)Mcf$L|$@wptdC0)#ZlKh!6=qTw58P&QKJsLnZpp%1e4~R+CI|mz|xJ ze*XfvyNLk;?Q`QchI53IToqXQ!;^~|1SUQ~~%Pr!K4MxI2fB@(1*AKeCPhsKPHmye{aI7Ri z*3sVar-|%#?lF~LT{*|&;=O!)rYX-BH1!%8^TpfmIR~cf$ws%%wDwmo-x5Nf9e2|( zvnVE)X5%?bYx1}{##jfQeK-wN5MWS^eT`38Xf_M@<2jfO&{PSPoOV8FC57nT?f}Dx z0iDf`ZXN5Pr*+#jNxIL77~!$nS_Ty;Wwv!SNT53+%X9v5$XkReA96SirPe+VOGFSw1Vf|7YW^*Ppm zM-Gk-k|Mr+MI9c`$s;-`wQ;YlUAbptkIyy0=T83J4l=m<1=pPLu!k~yOi$mOuKkRf*jM41=8hj41g(T`o55Q>gvnHhMw4su;ka`U+aCFN@q)^ zzJ3_Pks^fRycGgI&xCYxVmDizU1uwxTkZ@P`^NB}Z|e^(_;n$#V1+QOD+mDthQjX-Do@H1B|b^iNm3iE*Vl zGO#70rqL=JP_5~uXWjZKq=$gXRG9T2tp~>wIa^YJoo8G)J~lJnfv~mb%g4Ip@{6y` zI63+1LVd!7nru{P6(hHCqFp-PsF;6^7@W)0M#=UmJ$OJ?^B{Z-c;e>$;lO2lQVmwI z+zA=x?$DXcv}r3uOpC5>mlnz5(HlKY5v39*4&9?AVnKJUMfUT@c#bAPSm|MK(dv{`i*b(bgAD6( zop{0HubvYh;4=romrx@G40&t(ylEGZ#tngUJ9-u?k(>+V|5xJOm5?*L0Q_HFZa+pZ zHjn(H_pgGu0-Uq640Ik(rVjOt2`|fX1F34^@>_JZsDZY8@7iDyxj&veFk-E@q*eno zgIQuLV%v8`eFAsNw)4}C;WJff>+WL#++hpfLWe~a&@=E~t2rGyDk~?!>shX`X!gp> zm42~6W#eiy?y&gYI-PrjKYLe`w)y6GWN)ZuXCvK5zZ8`ZOQi=fw#&5kdl0hBu+HNE zKp3QRr&^^xFD{sOvQa}$!bD2xCv$QB2`PN<+dm4R&oMa&4vJ9Nkg-SF>$yV7j@6SD z;X|3NN?-1AEdyMetG=UO!N&?3nZH!y$K-5Hb9=ZZ>S66uES~4KTa|n@9=BUq!28H< z>lfdXO*2@%m+7<5X`9fU_v`(mC^c$L&ayR@b>F2BgodmD2be;tQCH}^jf3^txo_nW zf6sOmTxzDuJ(O|0<{mB7vy3yuA?R>+1#7)zYR3dw(8XN|SVhPn^&ep`qmZKgfrH%| z$IowJAd8@tl(&4s^nqbC_XNy0S)iE;jJJ2nVEf7Hd<{ES#h1?UhRne*85nbuIDLs) z_Db=DYc#}{Xr_?9lKS!wCw6~-XsK*M3{YNQ9Fjg#pzYI)RnM2H+! zUGjokVU5$CvSlgRVEHeNI;#gqj=AFn;%i0?R(bL_)$+}#9{s)H`<4^j5--7qZW+Iu zH1RQeI8i9<@Ca9iMDqNS0!JEX;cyAPkN)qU&Od*gW&9LanPPbp5ad{J;MRLU z10eV8OV(LiRom1E^8+%J&2gvzI1r2tjLBTD9nm5nuq2S48DR6Lr0tJQw?Az*+8gB}CY3rUqq$0a=)^+Bj~|L-)no4s`>YgqloJhg9r0O!qtg??;#NMBfmiJCT#QMo8p znA`OaJrgu$ODW3+jk~nhz2_?Qkr$4YbS^Gc6hGUk23~h%uIK`M+jI6j#l|`Sloc`s<|c3+65^-KIu0t{I$+K%dM?iz1UJ* z+8+x7HZxu1iKq>(ECIH$`G$=&Smb8b8N(Rj)6g@gLJF%{-J80dg9VXrXudxzpBIfl z|6icXh?(3U>vpGD0_q24ZSFiSOOORfuilEFk3IZ;B%)v;74eq^QdP9`(B zfT=lR2^hQ!xZrj@jM;$$XH6L{lp(?7CXX-{{Aq!^fq^ZnmhIIqhH>p=&lB}4W8qSZ zfT)V6FNEfIMUeRxs$c`<@fj_Rn`+1J&b1g~MdiVg;6n=`H8$MK(`}Q}kcltku^ee!gmyiXp*FLj0;y=yVDRL(sT;|f-qfI2T1p^tGa<>1FtP1Z z!H2rX55gX=g}Dup#GFpfr2dm@!rl4waO2_~2+ji;HaKZkpgQfOnPVmBV(GSWJNL14 zCVfCX(CNrWd{bW%igs=VoQ7moO#@dqDn+X%=bzXQDiH3H0g~J?paMa@cp_?OG zgH3IaZDqT?Sozkg~oDi!$S zkjb%+p88v*dA_@2Uw!QkM_g1(t}zA29CA!5aACP?39@UlDTjj0@wUdQ%&oaC{0+i#rNc#>Hb70Mf-$cOT>F>#I_ts)iPkA9E8H?PTpx58_a#q81Z(dps}i z#-Wh&1O-U=$*3_~2yhqj30>ILb*#*{LCz6c=nXnjbCTfrlx@E0*_@$ftwI;$L?de;KPD6-tkHm8Rh^uYai3 zpAA*B#!cTAqLk1d9YEc$w|PI|TUE70ntne;@JY&#ao&4^9158Vc@yUW+^+ZpF>^LH zc}Q#9C44_vs~S4wWeUEcth44qv-Jojy@cD1^<`FB*1qemPO)>t)V{7sY-pFA9&g?< zguy5z(UI^C3}`c3NyRqB!tuY61Elmzy=P^QH?o0o3uv`glv_fOrsF%68JxS zo#mREBf-GJ;<$!bM<~5$;fqH0@AtM1t-ipyulxhRQpoB@Rfzp=#5562!Y`LuOkpm{b`AXlK@B~i2Wb*_~f~(DJEq$lbB4H%C-0#39mfaPvDTs^RiO%mX&6OEmG8x) zqW-JH3}6(}aIezr7MVK%*QxTS@W)RlC_JjjkK)dzhlh z+}t}nvv$^Xnr1%vt$%U&Y^t~E8`YmhdLNj(^7shUBmu+H?dihziUk81G**+D2dVGz zytm^`4_i^0!M>Oir`&o-bDElMSGBc0!6BOq-wdL(yKu$ULH=9oEL;hDU!l)hPWL1T zTgM&tEW2iiBK_WEYNN7O?d2zRgAMUrZ|FtS=bT~={U)N+0Es@sN`_6wk=fw4kL7W_ z;FDy4ISV{`pu5!E6MR>sGGC>=7^FWtjEvr&(>wmDr>`2%&0dGBek^S$5Ha!Ipu=6 z6O~sDBv4)UqdnD=Wr$X!Ky?VCAfvM%bjY6ieFFvt=Wt$pQMPqL$#}~S z>TyDgGjS72k}UsAcCwkC_9ieBvBpq+K+u!^iB_$>#dCj?iSH!*Dy5->Ke;ZQB9S{~ z7hS_*au8Dq;MVIl)x|k>HZ`AhCT(8f2P%EzVIv#6O2+TKU6T^9GQT-_6yq4~&Xp!) zYB8*#SsNjk+g^1he+RN$nT41BU?dr#Z?jUdHGX$(^)!PHlAFT6fZPZaef1uN$Uhi<8`= zkhdEn!e2L4{V_3l=V+zc_q_G0{&M8mB9Ljb5yaH{8jPu!J6*Hcz_rsb`hTiH&(ST^ zPhJ{lzd#FgOPw6SbM3LBJJKI!D7*OP;wl&a6N;!u>-gWDov96&*TH>|H)KfY$1Qz% zge7M{QYWY=yUJG2VEz8;K7Pn?C1pKW8vq_1k+xW*nbe%xQ;dw7yGsa|i?)XnX*{`e zG$o7~0xjr_BYI@n1gk=XAMU{Lym9xyMaxm~L-it$ps7#CwWDLEn$zdC+M)3N%1i~$ z5~252x+m|RXH<7SD))0BEM2-WRZYuQB+D${u1^sQE>LUwRdLSA-1S&}XzNdljgZIm zr)ae|OA#bg;t}c#SdGMN3RVAfw(XT#*`bu)ZkiVLw}M3KzWMhpJy`$lz;Ds=$e?ZJ zT!d>&xHPm*w;Thw(-$fxkY))VBNys^crLTH!J`N=$^zeh7em_gO z8Y)Y&me6De%H?; zQY9=QB*@GN+BX@PN~24o&US?@@offu_&Ez-$1WW6XBEOl`cU%TI+TiZ!H-6Rx1z*- zW4&R~nHnC;&j*#ac!pw!wq5uHIlY{v?; zEnpO&Xb_}bL%PKJjaZLaU$_YHbHw9F-TJb+6Vy|z^CZW3?b zuzesY%;AT4@DKJJKIO2xOE3O$0%BDm6PG2c0fbk;3j&NF0Wa_d5nFxe>B#bZvl=L0 zT{TnQn7Gj;hYvi~!hf|r5i}M){+c_@+wb*^W|uaOuUf}gzdYK8;r`im5>qjuJr={8 zJAL>z-_g*T5*W$ZP2oPZ?_a;|imi)Ta6|aH*iJ?bo(L3Xi@YLKXfdkPb`1PyTchlu z^xzy(<>y2X=SeLT_KVlLv zdtz3RzTn*XtR8A-C_TsPKB8C>em;HXhd0lo85feN`dju3AeIMwWXaFscbz9`f-VY3FQfNlT@QuW zcHa`1TQ(WDwS-2VG)6lXe0hHaHT1^CA!bf)QWf97 zH*WsvKUh(wZCufSA}VEs$JN#EIM&Z@UKmeUi{TI;jEc zcCQqo1V+q#7P+sVXE=#Tm$#33G>gf5@5bS0OG#iHNR0!ex1pKwJIR~U&xlyNC7FRF z*?m9va1uzCs6-M{{pNOfnoy9ss*Kw!kgLned(7qilDB$ocSdhT;iPicmPr2MAxYk$ zO>dmoHVuz+n#$!XS9v5T1uheZ@Smf1Z`+CHu$A&8SFjH8nd)bwMK`tegsK9og)-3K zqoVcj)rY_x`{XzcTM#V9#1E>gR1$oIrAB-rT`pA}@oMpHd2>&v#roGRku;?eb48Px zL(qCo^o6tlS@nh#)S%|(1<&62w&2)s`024Zn((8x0_C5^Qyk6B_#oql%G|e#Oa>lb zfIrHF?Peo%^~0FLkyiXv_(5kMs#g5^b<3uBXTa~LRO4Z>1l}M)!4D)T#Hv%9 zYu`M0d(MOd_B92%AdC}+qqoqu>-9RfTa@bw&oDf{7x=N<)cyd725$>TZ_Y34*Xm}$ zvd^5<>9UU<3qL!el1k27RJ_Q@-?pXAk)0^_ay*!^znqEO<4wj#l;6)VHVf0}gv5$x zmEUOv)N+9D#`U*%FB;t`T3DRp@mToJphrycdFw{%-}725DaD^|oPxipF7*59C3czZ zCVC2K2sM!{*AK!2|9i__r(}xuzX3Dgd^cbi))I0C`D4pvQ3kO-z<&?^SZ;MhL)+Q4 zVx+fzadVo*Z;ZfI8}U_?nkJ#Pax<@mM%*f-r6u#Jc63~}OA-#q65~4j84^61@Y!-O zy;#%+c*z079;%~m{k6B-cA)1+@9FvyUR`?EVy(La{Yml#(JrJdvybozj=B01avHgb z^Z))nkD?h|>V9>yI;;H^f_yjt!W76sk>LTB>1H%ry|3sV)?*}FcZB%i(dK9!!wj?N zsnW9Ub2ZMi>R5_Vlhhw)rNvf6oC0Yo0TMXw@K9{!JrjX{S+_Wv&1;jOq7S*MoP;^+ z$;{+I_Y@Y}Gftl32}7*E0ntzBvvpWC()seX*fqyk9(}sql88Se>L{cvOFj}VyKk_= zE|TJu;v+L@8C1M`m#H!;@oQfgAMes6+`3wiU8GqdUnePl7Ss=PYWBgF{z15|2PRCJ zgEy(d`0694p(r8|xUu?g4vc;1lIZDA#@E#?YUD^pof1ulz*^^Ij(hXPwOS5x_AUGB zQz?X>{tOgFQ75V|e7euf;cou1kIz(aop}vPbBa&IX|2vFtbTVjG4X3ner6}NnOv?@P5D1sLI!U8oQh` zo_iY&e04ErjXG`_yZl%?-stxmBiTP1u z)QFGk`F>EGIR9j(b+YGqq-U&XDO7_CkEM|>O|-+f(%kJKh~og^ZZf{j%Gv&mb3B40#IP4>NL-ci z7Y#pk4unhN<|s0>W0KKG&o>qW)0HWYbSVE~$6ZiFUB+%>`SG0(P>KeW*^BO;^$?eo|b;jz#O*6B4sA_l3L*eaFF!n>(n-mfy@t zvu~$xsgosuLBtIds=wOr$TjZH<@$1qV7lD?ewKtR525l3DVDW#c;eDDb!*ODXTOQa zWG6UH<%53Xpp}czosfXx+Dz$SsYJZU@qj};(wzwG=}|GQpW zC|mAWB#`Tl7LZofoxCcT-Pf2j6;y!4GlJgDz-bopw)WKVBsqa) z781}fK-z+klrtM$P=)B*01h8u*;<}fMJi%PP9k6j#PNw>)cj5UY+8M>?usiqJ6Cq2 zEC2S;Pt>a8kF_Ko5n*Mhn^MWHmTV8MAAe~L>)X@!K`Vj*61V|sxPm>fVXhUyX}Xx@ zU+K^D@x?`$NR>VV<{KEh7KC)U&aDmwbDiE#+^4kJ>q_H6I^%H&h{(X69XHW1>+BfE@5IBw8~!Ih`|O0}`#xfI%89V3zdRvj`S>sK1tUWN)10Mzwj3if0VBw* z#|6XS*Li=)q+j9&aJ${frK{V%`f|5ZP>zbAM-Y-}Zh0^;=E2;f@fr!wbI+@XKVY|XH zviFmYuNvP(=Ihn?fTN6W{okLn5t??&8iljFbnY63%R@T9NBa3!NAO>zSaWQ?r`z`a zRDM2gFU92Q(!G2{o3(tLukfM?%#4Bmy9I4ML+uatE#5k2N)xh3!z6vaCv0d2=L<$c zearXbSNa3#q9HrLi2}3<9%uY)0tDMGm-_#+7A=~RD0xfnyeRwp$#TTfl$%d+&e4oh zV>>W5><=rY0?*0$2&R?<`4rX=f>&!5m8e^`!f>RaiQgxHb7t(LE0`QEp;*`)btR>+`A!Rx;|1o^ zY~mlao$n8TrULMs`$TKWCkItUwjbtsU$vG?(S_0BboM z)V9FHk#N1lB=sF%5fE!N*Ezj8-MxnGy#$7_lK>gr`h6XRFLcV;STtv^RJY480CnYy zFqu@k8lUu<2%J7opHRSSiW$9=&M13Yr24Ed2+Gxb&RNh1pd4BOqk}kIUaeAWrNRe5 zm5YNV^Yx$ekOG)^e5w6iqj#{UeCW2?tk6UycD-sWRu(^Jd@ukXy#W9wy#xfM^m#L_ z_OX1HkUT8-+-m?{^Vn)&;~-7cDwYZ#b4TeJbn_K_epOA#|38l#B{$1+rnZ2k`Cm8t z2#-xS*Kq$6>*H4};}87*{(+i3z2_KCPfawgfQO73^~MGvakc#c0x1(YMRBCE3^L4s zQy6uW5OjN{6mO_(Os^cl0DtX=UDFrKkp&sMAIkIw?=+ELB-F>nZSdxYh&{|yQ( zbWJ^^-jcQ#aYqEeklXzwO!gbI5v-o3*HInKwpeuoaQ*zatE*k5@v=;`64t_cDyYl( z-*_9zP~d5`7sMQve|sZBjLOx+QI-q+3$3K_s=#g!@9IrOI{MRJP3)nv*m^kQG?Wdv zk{HKFYoTWHm#bwNCOUs%34bjtchjw@>RPOBZm{A^(yhtuTAY_P!tY)LHYH2T9XVjRH@+|XESOYyNr96%kd^BIfKe-FKFmU6D9CV7Fk=5h? zucP?wX_W<+FBdpuvD#!mwu4KiLy}gYOcnw2(X5Je;FeLVx?0*UJ5MOF*(V9)ATx8q zQH;r{>a1^I8)h~P9b4{g>pfySl1wxXeOx{w^^+O5iVa_YClTRm+GWxKhT=Bu;CDvsDp zVl}qg=?ldlUBnaMX1qD$Dej8D6y>nJxQRf(WKj|+KW|A^$+XhAQUEHSdHwR|#V9V` zy3kk|$&<_U?>I;e(uVmeqe{Cs3I;C4t}%{YUi-`ATkwJ%c43u3)6NnSUQhKc!#^}M ztfM?2`+L5Ihvd%+%Jta#qvCD|r4{(#G4)m-i70!zWJ^U)<4UqXgM_WO%!_Gv3VwYW z_Y(!MrThBL-VIU9DVW~q!InjCnhF^tdl#=fy9xb%H$|C_&M;Rit2MkKmgacsoITy>q3 zRA%umUgG=gN$|=Tb&18h*yX!kfam0kJmikSS*$Fxi0Ju=#A*qVKRRh`zBCVZwa;S5 zr`_I@E-w3PFEQJ6i#jJ;KTwc9_WcZjj;uS(a;|Uqhfq~vY;pRVJATBZe#8g#-=8a^$#_5|xx6`}L{Y@V`f z_mADF{a zpACw53nVqljWHAEI6f_<8DT=Orc1 zQR3J&RbCm96$+~^Dz=hsFL?)BR6)9IKJ)8Lgh$=%QUCXQ+ivYfL-wca95I}3+xElI z!&=uC>FAH=)iR9M zEF6{b<+GGWh0t4J@(HFk{5RR~QnlUrI;b`W?5{Vd5&&oPJY%wZs!JO>RueG+A)q1g zI(Vl0am4yF+xmF%#H=P_EcW@O$Ky&nis*xSp5;Bi8H-$RpQHqn=Tx}e-+|KYu})pi z)Kf%d$JhfVU~tc96&x<^dHPTTx(d*}HXn_qgmsu5U3AIAf%jA8)L_c}H@~0Q@=H&f z@bYV}vfrWmMNVT~hXO%|zUu8yDQ-U%O->Gsv4CqY(ZQvDOdspOBwgamkBD4*B#DLTy#~%&*2-KUYLl>SFS-(9b9qynvqK9H zU_uYi7a~5)>hLWf*ZD`;^gxG3>ZjTtyq~>N$2J(-4au{0T43MQ&^L1RfSspW^(bMy zm{)f$@=KN}a>_=IF3`cbz&$|htZ!)zf<0mq>JW(D6bJfC4+;|*RvT(B*@SD;)fY9W z3j0`j>tm-1r+f7%3FKT4DGBK6o1S`4Lw_^Y!kb9JIqR}VqqUvY4;1%eU?{Ha_q-Td)vYI-4j&E%JDNUSw zq32P1p=Zuzwrbo98N=E(OKRnG0$w^8&yE|3Cfcls5u1rKM~-pX)q#b8ahXtjPAl80 z?i;6=c$am)B_T;0Vx7S`au*T21&F=H#Ph!XS4~n!S-Cge zv*?S;h0vU`mH=v?xdF~SG)pJt@WN@-gFSix2`JlYRlqBQJ->0-jvx*Xpc# zx_vw+C#P20HkTr9qp-B<;Yy4HPZj`-36RdB8Sm2j=d^gOL_sGCuH3=TbNQ_F0Ty6q zoCt1av?4PHA;3=;>lVrQ8WgIUw#;3%8{8Ab$2nu0EA((rfA4EvB^>pxlCW~~*&kMQ z2dJTQRY={w#<*9Tj(TUI)P8_-$x0utBi?4VrB0|YKQN$2`|OJOG%Dbnax!zA4T?(K zK{oB_DQlk{9`cpTKCLzq6`DUCE0ntWwCx6wJ!jgAOpA2Uc1n{_69y?%+^Th<3fS9Z!Cm-S_a+;&|An_BL zv4Mh^h?^QQ3n+a}bRM>T##E|n-V}4Q4NQMB)2x;d%V$kg%EIZ{`NMQIi;~m6(s1Q_ zi>{bjNku&TramZIC{+5Qw+VDoYF2fh^|N#}nJJ8B6MU*W@N){*kHa(2#lK@l&}pFCL8i1;SrBGq-`b@$ z9`}B%z9H^XN-hVO)BV4uz5=Sr_kG_+1;HRhN<=_fLKyu4RFD`V-O?bA?h#5#OG`^m zrD1Hq2mt|U0jbdq0;9Wr&&K!s&;K0G@rZ7W_kEw|x$o<~LhOaz^{Cxttxy?D?<0Bp zn~X-l1FNMF4NvW9s2_L=#TP3;##&O~a8jn>A_Oue@IYhBplfHtOno~KUbE{4G!$?i zrILoc$?}*s4YxQouYszC$BxRV296wI-=dsx?+s+yWaPGIQW7O|Ns z{I%X2pjRr5QeLLC`Il~R5KQsXy_}TY{3XODTK_3ou39Hf9!R%<5-E09y=bu z?0}A&ffPWD!MH=MXrw@1lx{n5l@_q~!Gbns*L&6#k&}6?j|etbKCAaaQihnk{Yd-HkXeUk5F7AS~$V5y`*^S^r zs>0FY()jY6I&0>pq&hw5`{wpeX6TEsbm?CYH7N;mRemv22~kySm@6)~_fkE+??$?< z#pK6*Kx>|`o;QNukx?d`7XHgPZryS%q}>Zt`uY??LuNMBGhvnW`H?N^!6TlU%(n{o zR-mT!s&pYX@twa}nPg^?h~_C2>4k7v9s?dkXeMP!-+!Z4*=JVS-VjB<@N9 ztFKURg2$YPU{ zX$#YZbW=xLTl*L7Y-y7nY`**@ahvMAYXOlcJKQSI(WEk^DtlLt49G!odhcjkclKT8 zK7ATq3|owxaLnwisp0W1)7T2($D8kkrnJSo;gl}wo0GQK+L-7r=G9s^TD|uSZUmPk zQB|;rZ9mC^6P!s1^!3PZ6Ae`)k?X%-xy-2HSNDAEXy3d+z*QFxgLE-GrLb3U^lhJ( ztz39nqN?ZlMcp3U)hMS|Iw(_a-Mfb$@*H*+2!<;u*R=|2#NoAt4Kh~#vI0XJCco9s z<=H|tK4f4g5?2CoD@_|&4Z5er;=e45QXTt-QgUWq$P|3(T^ng>(Ro)C*LcEL9@sLWT&dl43U<%Bc z>f{KCeUn{eAKb`fu~dvpP5pBH1de6svO@MM`ZvfZ4B88Drj9S~SC+8j7r5FcBTT2K z_ds@qsUCbbtEKd!f9+Z8i~jeHDD{f7xok^T=rkexy2`{#dw3-Z1EjUo)njBBm3D3d zVl#n$%h5FZ6Q;u^y?CsG-W#T?%)+I>J;?NIUfdd=64gT706G~wD5ZEMl-jXoA_Okc zu#Ck*-KIS@DBH*J{db!1TastR+5o>YH`JHz|C@@O5^2+@I6NN+(l@vCmeIj*f+D zBViDGO9}7CA+zVXlX7xjwbe{Wd%}?5(2=2VbXUg1iYD2d^5~n?Xmw(f8Wu-yU6@Uf ziqO%{jK7q9wB9~u+Zl&fLdj%E7M?vC?CZf6t)6UuHS6E2bd0Knw|P`4SHt?tXi`H~ zR1Qp_-x}-1y~VrUnG{*61^K}p+Eg`YXa<(d>C!g4IbSf{+}niebfB?A+meIYO__KR z$Q{)Ki}-EK)4iR!;m5h20A~8D(apI!Joeygi^W2kwob~mw&_;1TH2a7E zcg6eq7+O{k?8i>3aHwgf{6z%KHNx`WaR)zYj6CjWG(Hf2%J(_kL!qlGj$KqALrg@_ zW3lfO-;F4pqIs|`G5=#E_hL|vcMVP!od6I(07~4Aa$!PE3Z&(km(GEbZ&)Mnp3Zb? zA*(DZ7mP*5i(_uN%a?IgOwxjY8%5&qFB;yzka#DNjOabjiNde|S5hP+j|3lvFFt>w zK2XedR0eh9wt5Y>Pd`mb5;R3>2_lL+{S_FVK6ZU_S#$Vq( z>P>?x9J&Ws8ywG1(3{RU^)(M{JgM03_k+y(E7Qa1BCE?-MqrPMjurd#D&CGEQaJbX zx(qEWUeTo&biZ&DnYyU!kMPAC9msUiNtDV&`F9aKl+9&Sl|8dxAw-;gBT=>tkd zc1E>pRSSI)>GH#FDQwI-=3X9|+~X_N|)LKgaVASsJQLICE>(3^3umt2*%`Hs3%v!oHO5$gS{h>bPeb6oe8} zL9jMa$;PGdbx5lcw^*jN0g66Do+&qO9>OJd9Yhophz($Cy~UhRq0Hy47Mxr1s!_xx z=y9dHCdZZ<0mnXd_)!y`>hqhA0$NO&0N zM2J)YsmH?w9m**+s22&X2Pkt2eB#s;&eE{hivHX|}0gH%+`=9oH^nU{8KgQnd zQW{t)^jWq)b5Ri9-@mDw^seAqUTC()<%P}{?;w^-J~is0@uUfANYqg6`SB|#(EJ&Z z9?YnW=e25K&rbine*pKu!MH&;@*g;_N0RQ|S1!meXYTrXb$Q4kewQ`8L_L0DKZz2| zwF$sL<1!$+rV0YT3PJ?R&t3yywL8D`tE3m!1S%rno7_(}(#tE>RG#d7wqc9zys=ti z$e5>;Dj}4obe?ahfmiGOFRw0wrp^_T5O{Fv5+Y*>To9_4cxYrB6^`cF?>I;k9l=Uy z+)nMoVHjCF$ta9%D(Fue$Uh_~OrRd!=!g#Y3<{oyPTL00I8t}VMY7k4t9yv%xN?ux z{qp4u>`j%ycmNeEt2h_%q_E%fu;GR%Z?5m|!f`W~8ra9dGRW*%7zz7bWY;Ebu6+KT z>hzJ3uqTiy8;)=41h^tm@9={*pUxXJIsc>UPjE?k=%<+eBQ+CI25@(`(p({N8f^yH zao;fz8&2o^6G!U+3K5`|onol$`e3n!O~+61?twv`V`+bi+nhC4-BKC9*npCmlIvbn zQz6r-=A#gn72`b49N}<+_YA%!!D}XgdkoM~N)#9q)51c3CSL`nGn=b-k4HSH$^w$h zkcO4tVJq%lyQqJU@RoFp&#h=a5S1ZxomD(G*fb;v!R%%pOq%*mqZi=%&A`+F3i!2I ztg>HnPIS|1KE@D(6vNxJ@m&m<#aoScb(1cMC|}>L{v~oXyECoxfm@s_#8I9IOf@zx zYHk|~Lh-89wIp^tcUgVlg^EI8kmSa6Gf5<2@Aysbq-E-=l;Uk z!0^-|4H);Hg0?DF zQIqGMjg{|CV8jQNbVtd(UsRvlN2|`_LXN#vS*|*1Azg_@FNE>Xy?b%^zb^6{^^uCz zftM=)N{?&dn}-gV{uQsovVu*5`3d4=PZG6;V-Q-A*aB54hzdZAxmK-Wjur-y23l#8 zB+8(ApUp|&vbZW)z&+BOdj>Uw>$4;}W;km{nv2=?D=lHjbQ7F?v=N%_9og!NoV`@E z^6i>JR|l2L?$O)+y_si&2mDh4J2Zv3oYI4>fVXcf<>V;@=^t7f1$mh_OI2m>S}b2(3L6o@H%3 z(m86~AH1jQxSAml=s8#ax#<7-`71#!*D#x#@M+8p;eleRQv#U+5 zdj&*2z2_~1)BfL6!C6*yC_3m3q2hkiTL$G-Ao4razp0@5Q`={Bd{5H#*o&e@dIp}f zRRnnv4nSlzaT{!6FJ-14CxLlsZDsU?3-IKyE)_RF8{#B^I8eq%2n1Mf_| za26g4$ZCa}EvjAI2pR9D7c%qx!pmzM=5rrId%M8vk51#8$Xw0_ClV;g-tYD>5p#1i z@m(^QlxQZ_tuwXe$-x&@X}JR8F#D_xT5uTe2Vwl3h4bj0lj9iBM;%;2AB20_~Fny$?RX-y=(ww%2_Cl&Gffv~ju zXQtSf5kMB)xrSD#r%wS8p7ZaPNcK$>T1$SO{s2?9G(D3~%lv-(V_X*AktRr5@~)O} z$leTEBO;T)_9~#s)G|vj%@Po=io0chY82;CFyW9C;WNa8`E~Ylf8}l+9xbT2kXI4p z{B&0$)x7&PN9WZq_@s!~xhFjZqC+^FP27%nY3f53O2l@>T2DBHD_H3iHdM9{nfsq2Bkppwtf=vdL z%7Yx`)K|dL%dr&n$&|IxrnYZW1eU%;D{DB&6Kj^2QVP6^siAQkjhp~YTrOm+CRV>E ztIcOPV8U|L2>w6P35(B!p5}H-AXzq^VQ>k*P0ZfPMLIQEqrLGEvdCp+|P0Q zxqqh+-urK}!Dp~QW-n}DySS>lc))Fhi+e+cTF$?o0=5d3cj&sl98!ps^MW7 zC*||O0`PzY(XgxNMNG89%>Dq2u3H0nLHZ$6LUa8O7nct8Ot_7KpYX6k_%jRLT~dz| z^WfhM<;|t8RVy#^f?I{AG-+|U5JcK74cd+sI_O~+J>L%A!XJ?{vM|n_u;vRUao{8b zf!gY;tDB}n^JX36wUctsLzv97`~+ifRcvtH-; ztp+S_F>?3b|BJ%N#gsWc^+;IRxocYqV+a`254{9snkcjlVrZ=;&S%u9buYl1@m33d zn`q;1Vdfcqod9ZVfTNg2vy>M*y#eE1&VqAN=5kgBV9=+};4v8R^(K?vbtFw^bNyGT zegv_uV7Zm40HTgAl`y>m#Xr*>cCxz}y&dEDjBoxU9bx&}Dh2z%n3bL$FUJ1FFF*BHdBqAj>8CSKn4lpPiB?UMFSLHX)>xUgbH(D( zUE1I$_fKjHEM}I;5*wapLx7K0akgQ3kTzdospjxN*{Q9hZh`fvOE&YP9AND}^_hQ8 zH$C_Xnc_l?6?U%(+>L z|89D1g`E&t{S@^9ms*iuLV*Q`I-9vT#yo!P)eS)gurhpHec&ianopzDUp;K0W4)?e z6ZMco^e1YS>Y}4#_Dt@C?=&Z_J`pkJ>7w&c#vOiP=)4E#6Vg3JGA)aQ-+muO>s|sM zBOpBF)LwB3CE*Z3R-B!sKNHx46{DnI^)tG-sshOBF1?nH759DrbmD9O8;V+xS^uIf z?q`>^dm>!d7EGFKGh@2lKQy{?^PM_!!bLJK*rdY-eHRX*A-+~ON^5K^>wx`BNYc&Gnkj1 z$pxj&p3>xB;!ByTnl!fh_CF(0LZk)<-bl20`!XxtbA<&CM$dZUUrw`h@5Y21X`1H+ z2Y`>JhH}YeE+1zXR{@c|==}d&2N7lOwR+8qKUw+w+)N6yTFV`=#%B_P<)es|i+406 z5TAfZ0HX<6Gwwf~Y+T=*JTxLoa^smB+%%kZpDwm17N=Rcr&9&RzLJga6b^G!(FW88 z$nBfS7d8SS7{Dhc0DeXH(_xp6t7mR7OMYk%kgcjsdY1c1le7aEjT1a|WdEI6fmev_ znci-c0g82?LEbqb@8H-zA*Z~4=Hsr(>;_X#Zhk2VMdtNym{GicKqCR^hwjEiYq0Ud z5kc5l7OYcAKoBdW3=Os$&xOLeAs1yK%>xoZA!k$uzPt2G`&LU*>Z=cOr$OjZF%k`1 z@R}D)m_J>!;?(ciU{)8wb_JjHnT|-4Vk-ivJJ?uZrChUj(Wld5857eh&${jr{hj^1 z0LC9VVD4?Y1Q3dUz-8;amhdR}VqEpLS=HrRTG||G zZ%Km+9(a+CMa{sFM{|N6`z`s!%gmqy3F$l9^&AyhdLhHE|Es6*9>H-XqN_yRKn>2i z?3R2TKef;j@tnFRD%C@jE&tx?=3)aA1c%uboJIW@h?fr~b5XXFvN~l>K+k>F{df5% z8x?wLG0N%RK*-b-e!@bUxc!x^&+>%ZU)G-Jg9?*twtq^rfolvM#4;~8N z;p$tA;xu?m6FPOkfDL<%f6~G4`O?jeO2nJc`p>w zbwJKc^AukMeN_yw76V%{gmbmrT*;g5LO7 zWYfGZmn&w}uVf$IX(3yX|y!1j5K{WgA}Rgcqldmd_2 z3|fET7XZNqSifp-d5-(0fTV|*S9)skei=fG*}oU)(Sxib4W06 ze~DiDOtPy`up{K3oKWUPd#d!sw%e~QFJF|tViESl{8HbCN4J07u;&X_eoNCs_c%X{ zGY|7$G4uV8|2_Q_#Zw~XE2YVJ>5du_DADdqJicjJimf8KqZbxYA@{Qa5wcfcGnLda z>SHmEm>;jQ?f}=ZgULyMigHj*vRCunO=?kj2sBqe zoz3Xs^{W;RjF3cYW2r^AUzz$TNr&mSB?$`%3X5%W+K&(EYD=C?2$0ZElmK4K^d}Iz zaXXvp?BIUOD<3a2@hrsj^(!G+-Lr_?4JGE`jICTwMtEdu92e)QXa3Ij*Vq-+M~xE9 zFdZFJODn4~kddD|#I)#k~JDfRd#6r(UunL2^o40T25Bk5O7Y6j^h#|<*o~3!E>tSGH;>(*|xDDe3 z8_HW|{3%-|^{;erj}(w@AGkPK#`0Ddk<$;A>=()D0O`B8`bSWg#olY$Y&KF5MZ#a| zyyxXgR)S-M$Pr&5vN~0eA>uWEeDB9Kia5RJXDb@77p;0?hItk0rIO$!O%dAHvbj?#$naB&CUBrYiRR^~DLhYHkHHdG`YrLI3D7 z^8@|dPw^6O_84U&)v#KwgMuKNx!6f;*-Y18u0`;@5e|$W!I$eB>TKiOq>W?0T>-64 zQ@1-of3^{%L^neGu5b-^)>MxADW$8oiMkyH%%{Bbph`8qT0#!~mEXo$$9!vRf14`b zE{7E&_nH=$77r~saQB?sTls^TwsUQg(y5yC;Y`>~XXvZj;FZ39p>Zi=O{a8LdBRdv z3D!8KehpU$tAO+MwKk5G-*VNYj;=1PhjPpfZkT}R=>zBE*}wUAW*+URh8DpZCbHz5 znzK`$GNfkNA5Jy?lny>pB+2+b>EsG#_G>hxEw}%X*+URXct%ngJXZWYb#~oq^K@>K(W-ZHy6m8s z_12casXdtsSsi?VKJ1QCExT8v1ICM7-Lo<9&wqBGb0HX68#+k??foCf>Bma5iLUuB zn~#<3KF{BP_F{3UXEkmux=N4^*{k|I8%O2He^17nEU?iP)3nl8gD|YF*Qj*Hqu}rK z?A>%ue>feVy6;}sbC~E}sgxoZDI?rk?~m}x`(Qjof?ngl9QtQb)O&9EN|Q zaVapEu?}e5sXa8C+Re=rBT;yoW_jjLx{ihPF;0+2uSR2$%Ckfy4E%}mhwpR*67KV zK3^Hc`oZOR)(Y!(jougbIv|K1dvsvEmY8$osx7U+5g`G{V+yjjf;vvhDV=y*)dlvt zQJrz4b{{)+W;8Icfd{e)*!=Pynpj$ggtH>`+q)6l)?Jmru}@BwHk)~iNIQ_acKf%B z3t7{vq{)X|B&lLmvd8;8=oo<}sif)0tH1i*Hhqro=<4c*w?|;@zkF4eaiKMTLnA6V zvDkL<7&d*NeGouQh80K4*)@{`#-MW{l-XGRc6Tv4K^km7V0S69_CuOt72)t#_TW*J zbDSGp<+Lp}$4xd{WFO!vXB9^RcDwe2Z&lhiv8HkSmQrs?%ZQo&uh5&U*pW>GAv7VZ$XgiUk59I$ZF}JbHy}N@<(2c(^ta9Upi~(yL+JM zhQCDi(Spujn~;kEos@lIgsWDtUvQLyV2%`r35nG7Ny9&ki0;}^V-S0JH_T|oYnpbh z+WLT{wSA3BE))^QImJ0*xGjs}LqJ~LqZJA8aX_Pn#BE(Bn#5k&xhU65=~VDzPT~fH zQ{1ER1T`rgtW~~x+V%*HyHEp-ewW!lu{Wq#5~2{21!wQ7Mg-fwyMn>c8#^Ta8i`1V zwNH#7oD%ch?t>cBnVRWt+tm152_B)VV?M6c*H;hr=$tC_AhWJ=(VfY zRAd|8i*8RrG!>+ki*?$%py$AqLn131rF0HQC^T+&dKIbLs;69*D%_OWKc&~*+KH5~ zyr=QMZKa=0TajaJI5L~9>kGJ>3Ou7Gyq)QLJp~}~j_`1nw!m<66uJkDS_?Px9(nC` zi*(C{&UcjI5_f+*?XA9w6C^VEbo7C{5)vbD zpy;A}!0ndg&4NKpf?JVITTdATE(77NonAI9^$0LPY_>HcXs4gue|Ah}KJ-Y7@5BV& z4ITN?{EZ}+7dtP}%gNg9_1MbfK^EV3$5Iw?!Z;P};{{ieta2f2PK;e+L4YgC%pgPY zi()q*OZCkmo*#LnRmBYczRi$eo0+r2@&uf2u|P7l+m&W6OLQErIdrrQc6&goLtGv$ zP}MoSp_xPZKi`GjwiL0Lb`_`)ekdveTzW{`B8RhXNFm&t#DCIxKdlsJ&F?uTU9A+z zfI+ohT#Mg*cUE4bq}A?$LgX-6HTJS!RuJ0JUR;cXalJmA@tAd)vbuUBqmPB2N3K~A zG3U#4`v*zvr}6Z6gLWr6nm;bZ@9I`Yldtr>!TL_vpLhux?PPbV?(_!Yv8=3Dl@8Q( z_7hD#Gke;VVT+b0$;cGL9i^ivgQbq?mzRrHv@y=Oz3PEcac+Cat8aD?t{%IETYACm z4AY;k;!ke>inZR0B=3#f5kDl6}3x{hk~Ru8_~p6~7U@~hxk}9%Re`hmw&+w*gfSXYY*c)#v%jbIS*QzzhDqv?_deFJzk{o zq=N-zj`2$ejs>wPcy=t($%dKR%i6O(t|HrPDz0Mt@I7-^Ijl&i@`-LR@dC27DoE^> zVTWFG9-K#1(%}dyDwzq1VXmiUO4@Hwt=bY1m5g@rsY>IOwvT3km^_DcbVdH^XBa4h zKljaoKk35txc9cu-a1}At4+v~dW?VRusHqbJ6W#n{kyMXMi*?k4SRn;xq&4}eCr#v ztx8nNh4Y8B-r7d}cI%t*F^X=C=;h^~18jYpmZ}LSk2~+-3&zxGM@p^KX}jgogja;k z<}>B-MD~0boBi^UOB7)#J>*KQoRA|h!l)>BCPaF`G{YB(XNz{s9s`##Ru=NWF)0gLGx^&?y*vB|^0r zJEh2tvxCH5H>fI@}%bNrxBQ?ECl0N=t z|5CYrq_xO?>$s6=H9Kr#dOU~n`W1Y~lAYp|m)fH$v10qOJ0&M(vq;w=%3v&$DTWwE zSaL_sW(&RIeLV}#rrZTEEgdtt&8h{oeZ$?bq{N&OYnBz02g^I7&i;~ZYlo;8XwycD zN<9&TlSgr>5bK50kPM9=*%3lh^K4~%TutPMldNln2{UY$E$U^(d_QQp=deQcL(nvJAt^h~Kz?AnNq$;e>fXIAXf%5O2G=k6`I(FG1_aH5h z8Tkm}bdxfoTz|3HJ(QtWoMHjO4ARa$(2=XsM3+TJYF;!Y9^E8;1O0L1O&HuGi*@MZ za`AgF7l<0R7}@r-7dxMAU<&!3fUkodAH3L;micJt-SC^FaRZ z)F1KZVpbqKMkyDyQH*wBp`cmza-weB#jqu06a z=muaQa&Z9?;yAX`=G(Om!<7suo<;DgEhO;$!Tg*HtE3Pk56f>SO-R%Pk54+JqJ^$X zDsk-5B@_Tx2aOZI9J16Hj9xcE2}8RR>SqOhEzu?dY<)Fr&m{4TR|6}8v93d zIEF8J_NQn9-|ZJUl4TrrSo3Xi>L&%8uXW7sf135lK(_t2^ma9OM!j{+b-}d&2v-k0 zHpBB023-?V`4y`%EB&SGqPTHx5HV_&!As?0U||(i`#3P}dee9RYV&J*ibDO!Z1_vp zunou!&6FEuz=}|3ukMR~kdHQ|%$MU1aE7=V1aF=rUHCU0yW`@bZ4c}Ui%p#-FN$Jl zUA6Q^hv(jQEx;s_>9wrUUEpX7X>=G55nBBtb9%pU`XN`k-mm%>w^Gcm?1j5UmXY8O z%ZFT*)q@)zo73?$F2jz*g!)AXvMQ{59O-LVXb#Mu3w&|z>P0@rD9fmFht=Ry{I zf2Qu8=46AYMCm5g`At7jbc%di1c%)plaag^54ETSlQQ+Rv8=qlaNWVo%itkcn>AW; zk_j(RZ8;fd$LSf1d8gDAlRry07NsPpjN(IW_0{Z>W$m@PhMRAC!o4)p8oxyU)weDG zfxlpW$cokPsLp$wXDx>hRP%BrUk z<=q<)lqHKY+PgnJ^7rY#9S>F)GFTb>6NwyY7sOKGw~o=ZkGl4s@gYfn%tQJ~f&(R@ zMcv}o`t-dmIf&{v88yhIMppVB$>h{|mW_>Uq13fiuE12Szyc1Zhke_ArodUXeAy`A z(5ck=gPG}RgpG^b!vl|^mCrvN1_ST*=rg>Z%73vo>L=V(pEBL?`*bxie|vO(*kzsV zc1n*fSi;5pn29wsuwazn!V8lsEbP#Y!-%!RKlJS?DKcr351GA9(xRqU^I#316UvaT z-Cek_@mF3ls0JziFM ztjnrlM_jQs$=wE+zvFP_y@=8nqSn6*6dKrc*I!>E1_y`CWMO!y<|r1)3;jXT{EG0m z*a);BxhGU=!xPEehfpqW4D9((Te)>4oExKgsJrpibk79!00Dbaz~@936@)(o%0a>( z0HJIhUNk>_)|YVsm*K{kqs)25_~S!_(~xyMMa6DV7pkoA{KzN=pYodg`Dqd1;nR6{ z_gLu$fUZt+pR4Jnt>%c0v7r+lSp|2*%o10sKN&i^eBr{`ncGFv=omiv zSANd@TygELbQ~6GjpAdRowD}~lit))=WO-g{q_}cd$yI!V4Ho9L0C<7MC;mX#U4pT zv=`d2cx7caH~5p;;5|X!GPNjy_1)xC+Ki#!x722aZg>)9|4m!FWLP@Xwe>ds+8Xnh zKGzDK56(sdjhu?p}H+jJcr6I(CWN;!OcJ z=UJY>#A==UrO%9-rP8=R#qjm*-Qbi&_S7fs*k_u-bXTPu6=iNMizGz^_Vj<{4Vl&n klpCBnG)ne!`JVaU`C>o+ETuE~45Rn&8g}XN|@~YGUhu z?qcra&wpgbm$#u2HOspRkACvU^Wlv-ALHi@??;xdv@0Lv3I65LXU9_`^(EK+C8?qI z`aFxCU(rx9Y>Ux!7KHvRm1H1aD4$BVr;|Q?r&u%`i z-onwwbu|{eh%-l*Z-pCpce9^B8~6Us12BOCUVyl@|8Vy5en_~Nd%t%=9RH;|`1XSX z4=>+cNDFS9y?Zzrp8q=T=B0<1%HiFw<;D#)PmSNdncHRK?lEla$Z{)w3_Z>Ee$Dyv z`ARt)O#ifj`?2l4aByu+s1J8=Ds~R<+0Fb#&u1&T%bULY^>QoE_wMrKG|%77{rhqU z{oh2-!*sKK&xa>>_ehtO`OOpE4og}OJK_5Veb2EHbXt8Hy?l}5+a9FucVYe8;>#!3 z<68}$`;!ir`H4*J6{aU4Vm~h$968_O$<#B+_kvFg%b<|GrmPYF8D#8;~ z_yTiE3ThmLKT8st!hky!O`}iGiW@?jN3JlFd3u))U*MZ?lWc1{_fHv3p>DAD+%43- zJ94h}?3=@l^AEaPs~!W@)@!|UeGcD)vo0*Q-JgSR&h|k292N@d1>r^WxUZv*6;GceeavCO?3{0mTO~+x+eBPxH_)6wkJdcTZ>O_Iv|iWSeJ9Mj>3rI)))TZ< z&*so}ihs!I_pkb%cjSH7z)9%~%;)Y47IJJ<+isl&`W@O=@UsMMTCW!^WEKvJBRU8Ay(H zSHcTXNa*`P5HktS3Q@bHV!P@k^a3D__L?mQMZo3mT&DLBaF_gGG05BqiKns<#E zKdS5;PH{XJ-!ShM0saGpJK)9uyR&vlY!>y4<)H9>5|ay08o*>U|I4?XeGKD?kp9Nr zdB+1i$*Bzs?|SieW>lF^Ebe+o0Zny6ae0U=mTrBh^jS0q&JW;7D#H#MN0}$%b;UN= z$uk{vlK;Yk5KfqwbjakvRIL*VeCdrHSI*%qt3%$HnUB8<;&XRaHE)cpi@NEieiCpo zbGVt8_vYbqP<0US8w#d|oNz?5tA!QaV{_K6Zbb7>pkd@-n2jCWmCF)qHmi5NHQk~o zUq8z17N~c;-`3H4@9n=TPK&wJ<0bX$oq#gRqGusjVWIdH0r zOUQgg@AYIFaN!tsT&z5dOzsX@ljNj>T$P)v1L2iZ*CZyXA)kGR?BuqtHpnBXn_i5P z#)O3<(M*C5x>HX7;)F>mDGHEGoKn;!^`IwTTIt}|NAj@0!EbC(dRipWHoaY%9t043 z(r22ud53i(*6#-_`%z-v#FxeGhvCJIxaK|L5A#&tIP}7|ejTbjj_X7d#$zTWMvyC& z`GzgNSKBANq5q{EXMIU>U4Xr#e{Dhk4xeSbV6cfitCkTVW=GofBoR*di!WqHvR6{W zx*NK(8``U3-4k8v_|0d$hou%_Ej^ZhjR7SJ1iz*19O#Xc0}25`;3rvV#O2)L3Sdja5hyktYSv4aUO^ zcpM{nb}XKe%r^l;N+se#V1rJ1xNhLQ24HmYl=y@^+QLeMolZ@u^gVmgP}=0!C=E=a z!B7?T5LN2R%#{JITev$A#0(V}Cque~TR4DG3NP7v9}!gVo(5fd;*6$0PylWJwiRDr z%tc|H0wnA~n1DO4ZRoCjm*y=tz><%s1YtbhycnOYLnZ@=#77&VZ?&4icWkYSVRonN zb2DxC&-SfriF^7^(ISiuAd-3#xzh!TBfb31_ub9w+0SFVcK`|dk&trKPC)7L2A`MW z6W$@Y)e(x%V}$o4%5Dke6@2ZgohvL!OfltEbuW_gW^t5u4wo6cH;FZ8GfcI9YS2Oo z-UXm}$s--pnCU%3)*{@A0R$2LM&a}?E=x(on@j_kBEg^6)2pqxhMhK2rba;f)7Uw{ zWY1(vkuw*@kCmZ@+zU+8tIZY;#X5-u=+FJ--E|A@WH*uKpW+hRZr&k)WmIkk4w7^o z+~y{=h*)ZW`{3cEpFWrmd=hOmc$17+q=W*VRdh$#l$9(SsTO@2@xS-aiNxlA!A?Fw{&t)Y=ran!eDl zT($XUbhNdZ1WXcIdsf)TbrR4W1U=hiXQiwu>r#S?J!BCwNvX93U{R=&FIoGIKxOeO zTEv`L9#+3Dh#8p^ex*w90>B4rlb@76V4w4}7GCN}IMParb`8MBph9;3FQWPzLKCBV zk75W|sanEt;)$ZZZW3y6(Xc_7o36c{lt-mTi_VPp_mr5QSQ6mLxhjTd)Fy?9loka#R{c8n+l~OSIG~FKE^}vSp9coA;TUm!rHt z)|Kr!??5KdH@HyX0DuIpP54-pjc0Vs?mieoa0K)R1VbtSW%Q^Z=qAqPL09t#6@ZM8 zNCir#4uWj%twn-z^LP#v>i_wM05=1>j{y6XDe+&UY_3&qHLfVF)%VU7c?g`@x1E0B z|FAkNf<(m{AK5Um3(XfKd!Y1y`-fB4dh{Il_$L>-!Q+Qq9#ja02uS zS*al-npiiCStbnQWvEEPg+pf}jAUomD@byPq-+kUtDdzWo8n+gqq zBO?%JVcS1x$zY!7;~Dan1<>A+_t=B!AiDbwB-cjpx5bcPxcgf0_!&Zuk-~KI{>?P- zcOodR(30CNn5~2Ed#@lY=)Yy|y|vx68|K@+4oQOu_p6m%GK^!3CU^zak`OOFL!G6d zLdFV)>9&nmC=KCjuE`;L(n*BvfOPfRbMo+B1ImYPMAed)5X&Gq2r*qQ{{R|@5&q}b z?g!&w^{7e6rvaI0$TkRHEY9h)+Q^M8CmYHGL4W@J@v7lEhoc9t(i*c@Gvj z>9ocyNj#EjEXzxA4yIbTqmaVYUQLXsznd32#?G1OEhk3w_?+8AYqgUPKSy zg{fLqyz{mmjfln3W)6F1v75n|NH+4hOri;AF~<2``1zXSTg+x0zG__civA3%B0LgF zY~24c=0Oi`+0ft)n+H~)u}Sh?UY`tL51Vgpbb~2WhV!CYjU#-tslgRK`yU1>At&xn zGlCc2`mfoiAvbisdBClkdu+pidp8dpvULQJ0_$(GC3>~LY4s7_@Qld^A-8@ zs#<;5pck%B$n7X_afJPv*Xe|~0Be0;NPGj$a`9?#?a9FXX(jYi*y3e05}7uOAMe7e ze9!mL-lyX=aYVcwUl3>_ZUh`hJP(1OHdup6s0;)27O1X~o`ECwk8oBXI7W0pEw%%wZum{H{=qQeg{1m5q;W(%B8 zo`BE!j)elnzi92(R(mEzkDPcF;B0e33`Jukia>zbQ2u#x*n~qHM>~Nyo6-qDfz1JE zX+|>{G?-c{a2iM(NuONuWXJT+h$it&|8g$m8O}+-gPef!&?6$>5+kB{nQUQ@Dc@#9 z+l9~ra{-QA4ES2oft?#*K8L6dK6$2_l9;PGR9!1F_91Nbwb&rK~W}FIG&nA*3J{ z1K{{o2{f=viW|bAl?GQh$_>i-a4NQ8b+qHdJKDu2C^JYZh(M^;;`w9uTU72f@D&*g zSTEEjFg}kO`U7Xxz}VNl*oX)F2@@V9UOp=kpW9K~wfC z2Ex7VJ^@%@c4$)Zx4ilJ3zI)!W~Sq1_UTG&>3@(^B4-UC3ujJLcBc25n00Lp@CQm3d{U$%yo!(+%R zyseqsA!f79DDFaPI!WZgP(^H{Ng$-cloDK1An~-E>LlhPs2-sM(b24N&Z>Y|0D@kZ zFGEMHr>$^+`$4EQ9g|3tV_4xDY_QG|Kc#PRnI<%j=BW_qnwciS`eg3JAAt{)71V&{ zg>Nlm07CDc1G=P`alQZLOwQt2D~#0@?~(>dC?P95=H7uRMx!Pfw9665g=i7!n0E_H zl|-^;hh;cD%;oJ6Imka`RSd@Vyi z`o>`Mne|ONp}-R`7UWl3;}3-=y}I6CqO@RK2tKKsk~NVw^afs(3WbjWlsPuE<;T<8 z(2irwLxL)GJhmRP+7&WJO#h)3Z!Q=VF4G`bEKj4aWqscF9?kzcbK`Xo-18Gv?`&d; zroL3Fi(=|d5-V+sq>72*BQP`u29gr85l!DyZ+2`(c&(UND6gslS%JU_5Hrbm-iVeZ z#+tVP+c7$|jIp@eE~XRjUNi6^!M&(8ib}Nt`pXeg6@sdeHwD)ac$&eDL z8YEdH9;6MZu)N=-(0x^dyV$%D(4UNlz;iWSd6g7ho4#IYX+)y-P;wAl>7g+yUAI($ z`V|yWuMcw!jNQnj120w+F&Np-V7+QAcVdA&*?=iKP(An;oK-<5)%t}`{W?i6Hc6rldTX@;F&a|I zvCdLaN4S#8QR5Zh$v;wuxEtL8*$+S};VqzyfHV0P19tjGA0;jiM6p(Y`!z#cbm+l@VV|%kaC8 z9^I&(fUSQUZu<2^AWOk?%51j2lAC|aY`&;-*wFojtQV~}+dRW2DC6WAXje@%2f=ghMRGKD>q``-!vgoger%>%6 z3pFQ`#L8J}26(sDRChmkaDZGTaYdjfTKB^66|KogHUZw}%FfEe_I{8@xq+u)V4R%C z3CJU-cq8Yk9F%N~baOdN8xt7CmQ*^ZPtG({PZM;q1tAwRhH6T6>BtxVVF3&67+|~+8)5w$Q2J-udA$1ykdRqCOtvmt15Ts7y-xn(Jla91Fs?vb`su0Zq#P+8TVF)VHx>pG*U5IW=#&7PV=h>L7y|#f;OMi+m$U1W z5_m1SOx{giN6WkgXu*8|m8AAd3O`ipQHg&MVgzw1S`OML3)@6{yRidb++bQLQ!eJm z+u6K@`Ju9rU1V*vF9sG3R<+ zj$e@wipO%qln~e0R{~B07^2q*w~E&ABViBCYi<~30`TxeOTOXHWuFHJk9oRg&@C85 zd^H=`%oZHp5qIvwt|a>ixw#NhM_JVQQ4gP${bu{0$2Z)&7=3`ny3O*SX@AOt{9Ie@ z9F=b=G~%wQ@}kPkg}P#o9#HZq>z`0@@|TyB-(+vQnEK+uOF{eM8Jfl^K4OC0aK+Hh zh%N3NJ{@s+D#6MiGHzV_3i59^LFUkaIJ+r-K3U=GV8_Wwx#{(-N76 zP?C!&_R?&DXi=Li1*8Wk;b1q`huw4gl^rrK#?OS5|5>ePivWv*aJRE)=RSwe(8&1x z?eKo_@bD_4yEAM(RxROiM{2Q@Ek9liqVMx~`7Axv^ScgpCbujuT^iQf5O7~O!$HT* z!q`1i$aDPp+-VylymsHygL9Nm4^F&x#K$$qhm0Q9WkKh=nv5%gHr?A&hi$HYzF)A= z;Po$o?s@EyDLRF3c&$q)Z#%A&nJR_Od$$TkmejA=E;4lwE&1o%kD4m{4=uN+eh(F_ zKHvAk|Fh>Nokw2sIs4S|PYa+Y5(j8RiT7h^KaDpnExB$kt!olc6Y2mS&|mvS1Br$7 z7~&F38Hd&&Nw0no?tk+^a3RrDwI`j>yNs3*BoVXJcDZ7lYJnnj7}4bbhfCucH4#O{ zFm_IY_jNWSM*_U-DdoFuGWkiVB9y1bNw;eOjbsy53v5iT9Hd>u+w#w6#tXIz|sl%m`0{u2<7xD>M;X zQ$dWhOP@=v87$TMDJhh4&-L!6L^t%WWU|& zqsu9>hW zdYAX~gqM372y`A9qiqx*p!d{z;C-SfdZi8}5tDRqJ!#uTtTYh*m(kyY<)ANmDL?CM zMFK-)@WgOZ&Pf}iyk;3q2HZF!#B9z)G;y7x|F{_yaJQWtM|ByRV`q9%J42ul0maei zFl>#Dyu|7hHF0zqHpQ-Z1OABKYrli&{3UGWYz8>$w3MF)@5}=XZDv}WqS(9 z`l>sIj9hAPHv1&j-_R3a0~ zb7d|Q>{AEgA8b_m>qctJ2e(Jm*1HLzUGVOmQj0f&Z9xhcm3w_I%k4}H;&HKK? zc>}3$wk3F_I#XRz{)oJHVe#@1*iPUJw|T7vVMa6c&|$0)YhX>R0Penc0I(3C$DA)< z5)^_+)s3GWK&pdSM}n;-)c<0FM2x(N{9`1_odzoKxTpUq4G=49zlLkpxF@Nn_!-s) zkSV-OsZnBaZVNbdSA9=t5R{?F74|HSh_JGe9{CebaGDITeR<+4*-Ks@p_Z8W%~{{{ zU2G@U1f4On7QgZ_VGR>NOF$H{crv@Ddl{0g-`pWJJG)wl+g_HK$uwM4@`zC5VaqnX zNByb{3zG-j=AEtUIdWE`AdItV<2U`fUE=v5*6{j?%Q8qcf)Ug~v{m{gW;zMKDhgOG z+FAr(s}O@x@WKs13MwVtG(3&`%v>_9Ck|NQ(#3@sur0s)u9dzcRYoT8^P4K&s$-Eq z)Lzu1s1h?#;sxj17D*=UO;N_ua`HFfV%4-JyfOP<` zrUixwa9?w(!0#Ml9s?`uGTHz%R&X-#CdkIab^=ZkpOf7~{XxEYJ%=oq^&xtrsS)uc zmUBRlm1rx z$E{D8#yf47ZHG5o$@`SjNChuiEpYXwu{4^F85cac$?BQ{Hl?P4w3$0uX6)EfF;aZF zExlNQHBoi#JWUo|wXK5~&obL@#YNv0%eiIy2Ucbhww`ycNBCfjKy{f6k^OE3=|Swg zN<*QNpnhO?aAp8P$2=b6SSochQoDr{W6HOmHKF>(XNLS|oSs`x&~9{nU>#cT03z&h zaZ*`u7ACnUMQ&+frd3Y_IPEj6ay9zQz(O4K6AU2dL4)I_LW0;*5OVB_u%3 zmGH5$!aW5g!B5UZdSL*d3%khvI=R0)SBSxx!EB3<37oj`S?p4*YyBGU*}-^$-RH!roB{$9dE)Tte=i zB=U38D*%T@7naq3%n!|PZk(Q|gA(%xTXMQB3uPU0KnUbRZ_zzKju;yb9JnXSJ{Tt; z;+go#tPAJV(4+4`9ujYb}9;c-D~OVXBN?`4SpE#JQrn6YGN=dt&>Yj5$+ zV2Q-7#3(u z5_U7oMw!H$0DcL?(Ja#%>Mh9PrsP|azS<&1=%vJ+`^za1seyk>-hb_jopUI*M0|>= zI2C`+4&S}OwNe{9ta8x$vYvCR1%bVP(qve4UK$fDs#bvxe+39eD)jErkFN|g>a>`g z7*Brd;13-zbHF^)ABQL~$TjS)tw=CSSg_bfk#;OFiYztHp`#kpM?w$*I6>m5JDAn$ zhR6iC__OnNu{G|y!+4}}>8Huxj#_@s<0N^{3v};KTfUSAF1)~|G@cZMmeDH3137XJ zqtBnk%4j%ge;%bddfC5)hmtOIB|S4d%W&^PNNQ(EwAl8{?w|omqiKbJ>Zi}0FX%+- zK`EAqW_{syQ}Vc96TJfxB2_4`4j7;zf2qN=ov9mV3`P;FTV9`zze$*VlJ0>O+FcZx z{hLN`EcrNdHWyUQzK{EXG?1rDWa@Hqd3SRQ?JUdCN#OTKDEU0o8iLryzzc~m`yD(x zZ4$3h$Eqa+6VXy}L$}P&S3uR?J?mn>+GOa5b%O>lw@jeRhj$mOp5lnre_A;219Moi;>E}zd zfzCI&=$$Z2_V3q`6arI@Q7Xb?E~zmY@0Is{Fn^w2ya|ZV7Jw2>{tVa-KujOu1^+-v zBg-On17wFYu;nfoS_tBRc|*i8nnX)&p(5j4GvFjLP|4xqf*l7`>>%7Z0(V{tF>t|5 zbhmxQE4g5V^kvs@zax`sWVvBz`OwN}f<kR)Z%emuy(o-vNf(NcSQsr- zL9;|yqoBuiaD^a(Qi%(dxEex2+|}1g3$~UWY7#H9me2RKhWbRK?MHR)`BVRqneWj< z%?Oz<6KTnS+C)j9Tn1t>tup^$9K?qNK<`E=Aw>fz@mmcx#vLsD>y+H5mfSRN*P$j5{B04qn8bPO@|@dGtcmeES#Z?}=+ zT4ha_E%b(zQlc;Tmelv0rSEsM=Q=}3HO>-FMbd37P#x7AL}GTJCGuk4s+HEkFVT1Z z3Of%T+h4Kpg?XN-D82BNncIT0liyk;F(Tj`uu)T!Ci(&niGh%*xVW$Jz>s*hGVBux z7aFz?NK-$umV7EbFF(}NBc9OxH+1?$t6D)nF0c^y?^A?TbZNOu2Dcy)%ZMAnRR7O{ z{;JdC;>008|LL+l31=M(DL;he>^UHt_hv89EK|6 zdBUM5^9psr>f_DVtv25mOT>Z@kBp5n652=5eObW9vE#BM9<&@ud~uv~BY|0?m2^%% z*OH^N=HH4V9*dlDCUM+!qk&2l`~SgAy^JGH2Mj{vf|an5s#7yl=|F>3XC6-#2h2DR z-Yy+m1RgeyJiPxlk8ON;23$5)Xw2Zyo0=Hlau)L?@3LWj1wV`A%a7F(v8>R~c-v6~ z>#R<)ArSW*5W3KJ6#eUg%gWi^9mZ-4#3)U^Qk}ytr+N}GE0V#r(M3{UBE6gYd0+ea zSAQ2s;>0{j02PsJ%4rhgIufEI1;jD zeKZCp6R^?d$Fz>D?R)B%b~PLBVvrQQl6wO^x^S51)~kiCAS zi8XR?z_}Nw6?EtT9szeBT;oaR|YT{5z*imj~xUA1LJxE77R2+9v zv#=~;4*p)^W8XnwckUxV8@^PiObJndpG@C#K^?$lA#1`a7F=fB-Ud9;$i{kcx$ye# z04~nerO1dc7_8z)6sDDB;=Mi;Se{-)oOP-*fD=SDIE(`z_{v4?Fek{=YjOF$p5@V1 zt6~yk*$F*i3Z|B2LcL*{)3bqYuK!$Qh$ZW@(17VYxZZ*z?X_^*_F81Pq{s5k+HG;_ z(M1!j)NPRvLyu(}h7JpE_RIBOqS=_4#P`eNJ_{hJFcW}@^_bXOMuQbz^h}9*)PBATn5q)vcxlBagH#L1e%tg9ZG)w-@Rhdk|B?JE$|N zk?Qk#9qS7Y0zAH62M^7f_f|X>7S|SV++1?`28M3 zN8*X&(@h)frrJ{38rxV^jJ?IYx1^A@LaHr=g^xFEZAc9T2rQREER*w(TAZfZM%b8_ zWwR8LBcgL(k{j5~>PCf?=@PWNx!&%i)#9B%eh(r3tNQ2sGzUnWjQ`1mh{h0?>|UF3 zi79&zY7}sP0u#lwVb5SXOHdK)Ayy?h72W{z@25(4rpTyh#ZX}QhiElM4Erq>Ljw^7 zpDD`pr;3RJy_kd9{cVI7%3num_E|D@%>gZZj@KJ)15ppT8dZUe~_w(>c}+vV7gsq;ibGa#X}dJ0B^*l<$)O5@6OEBFYUMO+*YR zx=j{QK1T?>j%*EhrFck{?Zll@7gbV^Dl2WN#gru!1Hn<|^+lAeZ--u#SCHA5DbCn( z6rW8(_(F7R=S_!mds9G1IzgkS{^=ROL#183KtcYBg!k>6(`8@)?DkDby1D2B@KuMA!H`dkw%V$^B6IKPD8TN9v zkY06)i%bd=g$P8UwOkkDjQ@&0&KM_QwFohZF9Iz`2_^x3B-?O@)kw6IMbRJ;wN8pQ zhK&S|0;5-SN&9$k5Dqa(jX+4SZcuc5$gOm1Iep(CJGoQJ~9Yj9FA&= zBh=HTsTYyGT}(Ff+eD0kq2~k%fDx~pelW_pggZU8Rreit0EWDYY_~NS%&m|-I%Zdj z&;OwJe~~sMNo&~Bn>O(S0cG#2mg|>2S8VG~o-E~FEC$}+QXzK`blQ+<;C3rfB=Fz} z*r!D=AzuV3l&iQ0zy%G}u9INf0AZ{SY&bVy5^t4qNy*PzE{0}P!6zK>qX7M<$tJiz ztgt}Rv!t~#pic5+5#;fjGSR(3U04O(+%v8z$dwkP;ffWd@lH8G7{0l&jGj zvn?6wdo|`~{=+V>EJI1oqPMCkXJIg~z!JWQ(7$`0?xD#v-9jw3~%QFwR#snh+y;#B*VbD|v;73s!2< z&08^yiwWdlLeKsa=M+VmVB6#lodt;dYN5XvOlf-eG5UQXk&f1>7MHCwSu~Q^ysA%R zqaP=`PYxgW^X_Lf}$KF=5V)~V=Zq4jMfw%_~q7#*a6;KabubtU1YVS zT2?h@zAIhr;+-s4!%wRA=hB?_2~^)(s+L>BUr0OIJd4GU$_&Uw-Rh!(-7M8X|74 zP97*GrKMu~Oz=YAMkzDMchTrYQG$kr+n!9+wyx=WKq`rCYbSILKjq&DO3PPB5gl?K z_L6iWgrSD^{Pb~UsO)TeM-kjHZ9Ll26cBg+_sa?Dllpgj-;r^iqv(zj-4CU(_!QbB zLwg>AD>6d3Sw`aOysp59V^}J@@QtT@>;cG3(2GPA7gQ3N1T`B7M;VHc>E?*~v3Di) zA|zpi@=MYCQB=;Vy5OalImY9Pf@>;AdN0wQy>=sJL=tq6g3U4Q7^c^Pj&u>@; z#pN}^d*eA?GPfS>3I@V*B1^c8q$Ew|sq_<_5hW-);7gj6;V>WZ$p~`^tnk+rMCun# z>kEq9ZBnqOel8{6$^MAtResNGM$ z8FHMivV>uUBR{rPcN?!HGTlEFk8@u^&@za0wt;r))^xoFsizLN{%;m8<61Oc#bfIL zEO>I*vt@ifP|e!6t-2SR9A{1XV`M5V|2}%|+GnBfO;T{jDRz{pRgHN-Wej);p{RhB z9}p*oZ#xF4q!X-9O$X6TRa=oVyU=byfN(gBZk$~pT6EkIpk(F`%Y~b(pjsPMyAKe@ zwuB~P4@DBqGpgp!d6XfHeeH2H-T1j0D7bL%5LRG$K(_=simNqxhdpUzpk92VNkwsy zve?}vIkeW9WC5T~iUydzfZOwkR7&ddqMtHiZ)cF2(%4H^ga!MUs$95k4Q?@9`Chq7 z*^g{$IcHUtWdus7wxD(HJ#8Y~XI<7ki8hETP66P7s+n%qvr-r#1pO)OJp08aOJ#Q@ zd0PHr$=*G=|M`wRR94DYS>urxNt&ON4)+X4CpxMwvAYg6LW5adqLtFqRLWD9mAS;W@waX~$5jLjml#i}9IhoHe*&-}Qy+k`h$;T<*GII6^%# zbI)Rf>-KBceZqA$kbtYT49+?}KMVM_j#obrtOEV{MlL07e5Ah+fMV86Ed4qiC}X>oQtVl%NCqRl?nY*ukvKl#3Y zXPMpgd#gJDXWr?avcz|*y(rV z$|yyY+W(6JX|+q49sxDhng%=|XhI2yg?3^vucXvUT9nY;ic#3m)Pg}4LlM~d=fSJb zST^DXBL2#dl0k%H{{3WP*$7xjU4@WfBjBPZHQIs^=A(d^L1OBcRKAG@u4g3JV?n)@ zn&k?KZ9wi>O$QdoUPc4Rs4zlvrqqX-o>}yIM%-)$R@}DmS)Imp{8!X zq;Dul91|7OA?@^{^bPF{pb@epu_(rrj$xjR&&;0U*Mn#IFh8xW z#u|0d9MP93FCUi6Ir(mz&Ov^Sy9Y^_!ZF^rIT@g|974q%jc4gI?I{`UH2ef)ZlIpn zw}=(Vro7Gw02CC*k(OJ!sPou`K)7+>ZK%)Qb6XKU)b=l+n&^`*2d~7A+9O9B#4is= z0UqCu(71JMo^r3P6<{NZ;D%cn>(cq3&0B-OWZsHIzv(b!Hl7XQ&Ht^r{$oj=vp8^? ziV?UhS=x?rYag(IKD+o>SeBxSMG(Jx@hTdz@eO0E^(Imag4!rAzyeY~v1qb|sEnp@ zv)Hq(lt46OV!7UB~Kr=(;77 zM?Ub>=iV8Mf&kDM7BgFvqmD1S-XrW{Kyy4Da^0W>1KuiW{9WXO{!&HV-{3k(wUJHRyPcZN- zFoPCU;wy^)Gl5&b4x76Q;(}h6*isMqtYD*rY%!pwTO+Z#2BHkHi##Mm_@B5C<%2L= z<5e%A$|MDncj&uUW88u}Y;XHy>D zU}!WrA1xS zzW>rR+CN$-Ezy91PzlClB0<`?LKAp;IKvFp2$J+~4ucl=aY|oef61Eg(vdyK{)fLQ z>3fTqndZV&O@21;P4r`ACGR}y&t!Yk)g89v(^cpC%&uWN!JX6sb8$KdA1Umj?4efi z0;mXc$KfOF)m^ul1twY&2UQ)!+n&c5sI~BN7FAZAAg@ugRBJ8zw}K*j^SS=>qz@{^ z)`3}SiRbkhF9iO&m@449oe;K$wxOBQD3P!pKJ4#4kO3vd<`d;hk!99)06Tht!p4(j zb_PZ|oVTDE!nXG5{Z8rM733nL!=m{;7($SGBOk#u#*|4F|09FFrs$g5d4*dh$g z$UAP-(G0g#KgmX~WJs1VX-1HW>*~oEV{L1m+a!fVD#A5cP06_tzJ3j9qDZ(!U4@Y3(;ZkEbT}ObW!*EeE z1i_4{8AN%l1peIEDOLBBKm!S5t?U4D%r7@OCVV}>H)5*l_M;kl%&>#{BQhY^ zatgR6aK$8mHKrnojxRR2qeKpN7M}t8v*T?`_{Ac8a{?gj% z-|w(y8oABy$$EF6Rar7DRr3STx9{@DsEunfi?xfx8a^HCosjHEGWMTgM1V3fpw5X9 zgV_~hEfQ2B6c|TQi7XkZ8FdhRkb#|yQw@M`pP1LM41$flilzWc98^d{euu&Irm!y+ zUthf#ny-PXB96D9;Yh`ndFIG&Hor@{dowK>-huvNQK>wRBb|EUszrvO|U}6yi zWCuokn>3#U5^Ccl;E2Ta-a%`DedL>2N!ckaG~n{0)+ZfRP9fovvU_9X*(anvExGzL zj-7U_O_+}5ZW03k6y@S)WXSAVBd<_YWim7?`a-#<$X+_v&fh+}>-%fD&zS!Quev%& zE&TDIVEL2K92%;$N_mCKq(?YhZ>0&74!DRbSAd#ugB^LrzBzTGV(u&&Q85>&FLt9& zLRyO(dEaP-T$dI6TpCCroii(FKa-%sW4pGx;-(3y7?lKy;5GOAo-;;7_}jnRiV+h^ z>3fA$P zDqs9ChAVUfDnv}KM2l1{$7SVoGO(`A9Tio771d z(n7^?u#?*~IuAwq>?9EXL$z)^|7RaBF1$)7F<1*#ggBH%qM%Eu}!Bu*M@X0s%n5=qKOxL;R&}kxxY=27eN6- zz+_3gF8aUuB>bTLuMCgFym^W$f#tvGDPUBTaFr(G<$yt2t=;A#B$j}*H18!lSSG9yhC-o z*SS}+Qe@G+-iplfE*Kb>e%w@@s9A`|?l~GNa@X0c`6JVzyZNQv`k8Y5Ih6kd{Ijo| zYj{bt1Noz*a+q<;=KK=^1d;<-&^fNDy z-r-G0WUEP-Nqv;1P=tYLNl68by2TnE)#G?V123x)7N*QU+=a?jP8qHhGgH-Ux0SuA zHUl3&i6C{h-uX?~C68BRoeIC`VFVtL1W*d)gTpVPp@moE@gkN-L|*?D#Wpz+FTn}+ z55w|dHyau6QX|H=V$IsK6`lJVV8sq16cvlAvnLHV0re;=H&sfx5sp{c9oNXw8!gn> zk{iWeSMF|=1CJI(bJ-KtuBii$O}H^SCNpV-xN=*5JbjIA8Zv1~Ql=HK z#x}fLJ!RDFh`a@XIGNZ%AgNo$+H78 z^-vD(NElfk&JQ*)Xc@nL-ga%r4prF9p%3`BkaThi3kHtZ zV|`orLJZiR>6DAK)#V50Z8-J~$Nt>&&$7L%n1(d{itr(60Dkby`n~+(-Sd~8d-=H+ z&hPNw$yQc7A}7^j?>!rjeg3)gw_#f;lCaLdFNn18k7XwWiP#1a|ArGVSHQ`z1$%FI%2eVw^lqD(I(P_wK*7 zhQ6uMIeE(Cq6k4_JVN1=W*8FBlTCPWL2}=dAR;C9^TE!>k_s}xzCm$>(KM*4ke?q{Bo$46o^vgM}~%{#vM8~^cVdg(zz z3u}0>KzMPU0DO~#>Hvjb)oK3Uf|a73elDR9^8}dhNvIAQ;y6wy#5_Uj0-WmPk9mUG z%tOo*5OXJ&mXBZ;&%=L7t=rerqLr8-DnQO@vD!a1r`D4s21s!w81x~E%n6c_z6o`0 z8Q%wgORLa9l7d8AvztqhaBAHoXEP)`ZOtTSQzRUao8(pGNLVXh`EV1_^<{;|Q|nn` z{UPK|R8!+t8vQ3S6Bqy*awp7d9#RRC3U&Xf>@%T}p&5N9_f^E)13hA%Y(QiDgN;Wm z+g6aR$Z|KQ+E$pT-9PXHX4>;iF<1b?YYz_*-!3x6>@ZTMINzkS4LpvG6d*1X*3B_WIkv$k>H>6CramIJK|3KCNyR;r z5pxxjDcV>{Ig)+^zs-^|b*^HQo8$z}N!dFEuYveeEvG5v}$cgt?k zX72938MO>83S3?7;9q>ryMOGp4uh7K5Hok$AOa8k;SqAqb+?hZvui|A<6`DcGIu68 z%iKxvv++2~YKn4zU5(-CdC5X_G{*km6|oyDA}Oh`oAT zSxu4%lL0FuNpyXbYf?OIl2_5+Rz|e4T`B%>D$^(dXqoZl1i*=fFgl1egvikA5HOL2$p0kY9Am38&J0wf~+1*vaKm+3PsvtauF%g__0|% zCdnRisYS9FfNPUmV(*5phG{ z21NFGry=Y82eKiuVgeptL3UIw2V;`-mzNlVPoKuE)YNYz5h6iz;O(c?dPCZ9qt`*e z6ES8yb}8g}i?lDj&k6U6xk$9z9^~6JlL@F6%aazHfSf^%uD3|K{B>ERWy?=lq<1{` z@o)MRci5$_VHU}%9ASRR0cGSa9P6(5gE5S1(^Jl6{yKGvOFM1U|P$3g|8v3t(Wu1aUs$ zUs2a9Pn`p0ffo=#Ld@pZm=(Z)sFfLZKoqM*@^iCAva1~SR6!&Ii@wG33u238l405% zeW&s4Cv|PoMxvu0Y${1m!q(mqJA|fmot*YYFuSHdC+bd{(|(0cJL*p1MTfP*w~MgT z^l7mP?*=8a_4-sF{_E1G%a)(gr{DcQzw`h6$diBq@t&!wU+qiuf7GX#$pkhU1r(Hm z;cKRc+B+0V#T)gh^AERZO3So`^fD&3(t(vykOu~y)Ln%>1v-G}B!FB(d$&05E*SMG z2A5C{f&|e!j#FPWIkiKQLKN@*z#2MsQDpkG2QLTbR0bL}T6~&JpB}-E!B=?%=|%au zGkw~14NmtdCRGZBzA&L8ODLjLHzL#7bUB3175_~s__#k^+ms;xjU?t-I8ZT7K3m+STM3?H10@<5Cd{9gX_uj$^CeOEM(1StSsa4^j3%C!Tpvo zQ^MC@12N#3(3j)DFSx!uAJv}O+t|XlnN&4|(YbeHx_N7`*cvQe9Ss)$>?i)+ANoS~ z5hQrCm`g)Bu&NMeHYN8^Z_iUG5_2WNwj&A{$^s(k!}dyd!BCSnF+nw!lm(=+L7ona zS-`~Gjj)D01Y_nZ&jJoKwTyZWk8IJ8b~5!5?9})OIv2MF;c{{6EXC9yU&xtCa8j4a zy_jLbFavT=klOO2dGE=P&?TIr~dpC-!!YG#qv1aDw*l|>d1?6>SAbTl$9 z|0>x>NS}NUs3Tt|s9i_b8E;RQ2KaVIph$}~v-{%{d_i+wO2!+6FH?Lod^GjV!nYLj zw8`s%B=ZCLw{+4&A+s&sh?9cJwtFYC?HN1SKE(|tQq8^0&+yZkU&79V$hH^BcIP4Q zCbFFk(7g04bMm{9VxF2B%;3e`@XtFQ1sD;o4LGF(`jLnFL{EVN1vl-$8s@Xa*Mbor zltUZWTLn*xIJDQyQZJfeq;1r?(QjiDz0~V{5q;X%#)+FRa&x}OvRhQf+Bb!%q4S=5 z!zROPER~eEON}u#bnK(v?0M^xzv-J>&;QEJD1DLT6-ihR&Q}mdP(pmSH__N#eMJ(9 z+(HEJo-%)KszXZ?_&$NT(zQ|lZSni8lRYMpX z#rtZqrxFWdHLrtVT~6J3iA)Xjx%w5@<4FUu|M8#infi?+LpecB&3)C^E5Z?1q`uDQ=-epFDK#Upiaw$fB+47|gB1Ff(|KESh>t1l885xRU`cp?_ zAf<93v;>VU!eC_9O}Yzl61j0En3NbGVoWsSb7>UcO%CT%IACaqXc&b`v}{P6#`qKo{JXW-vX)Nc0q(4m%jKmeE zT#@81hU^p*lPobjAe@m5vaiUooGpp+A`-%MkyMf_E|T@9Nh(7qjn9)A9{zC_#WSo* zNO$lrqMtN+IlmZOE@~?R*7PqIMJ3Y_jGRvS+UX+n5BGu=bX^y9VY*`9UHnE8^EuXS z80)$mFUR{qACmq{`jE%1!i8AfS)~v17P-9bg}1&eU}f&QdW1TwmffP#YHX3q)pS<< z-e=$Omp{s}lyWml%bU$Al%$&8wZihIoagn-Dl83Zw7f^ya3m;Us*v3&ZF#i3C>;un z6I1I(bNHg=1v3O;VaVtcdM3B!6uIbkfFZQJA_?vRPR|7k%gY?NkUR*7sM}Lu{|G^4 zYXaU*ffz|z#?!xYj$D!?W=Ba)768f7*`r?sBTm&@d_`yrFTW!8M{P~5wBqdbc;=+I zs_H^fv${Z#4uf)dgzJnq2wWf_g2g8~9zdm9eoOHV;YL#Y3rY01=aP%O4)GQOS+DW~ z$=^MD8k~}aCEn6WkQE<6yyHZGwxv?(#f+(7e%V7KJZ%RLgkEvq%u}hr=L8La01Xvw zbblm#&YngW-k7_xG(gig^Mp54npz6be){ix!k2xzvzbYxXJ*3kGCJS|dHjjI6)7SU zTudc2n-hw~oY|C6tmVuix?_TC2GG4o2?gb%fIta9N{C5RDHB1)%?!-5Cav? zM^B2s$s>jgq^>e*VZnEVA{GT~m#^gR;bVTOVYSA6&7fEJ0+6a|jTHCA9~EuBrIW z`OUSn>y#Y^8>pMCyKo!X{WMABnq(di+Jh847$oEUL775^kD)z?M}@^lBWvY>>#HMF z5A_&q5H){tX{kY{e2Vy8w(IPGF#vE9AV*~J%4$h`kuewjC@w9is76mFyB4-4+-U-1 z;i*f8ipL~7+-;F;aJ#Z^{-lKxTjX#^GBe`LYUKiRVP*TIyDUoqT_Ez;n6{G> zTnhxjY}wdv9?=^yLLg}S5%#cz002UenHOdh3g(Ts-Mo-18O`bHG1_42kL1}0(AQ^t zPTZ*z&d=L^eoPJkIMS^%+JGozTs%2|5f;9jd_8X8>fi{1Odog+v}l{(Tx{Z4D3(`{ zx%-5-e|`O}?nVn{)ZA!AkW$o(Vg%i@x&q!SwxZ;HLdq=%!Dfu`CUa*iYCuhCd>gT1 za8+UCG(k=DaOcseMTyLv34*b$hDoNb9vYaKUn40~6GR$AvJ-8EnJlcN{M2ObOb{s) zX$hrPogCbq6Xjt{459gPYQXv_-{H9SlWNb^oMWtl8$H!iKjVPMUG#h5zK4ai2qCJn zi=c4RW~$qC)a;U#{cI#1inFH6>?gcP_Q?H}76&}M(vnaL9&hPl!!^877rRJSkc+*B zw+0sV`uOw_`%|XQ9^>2CLO7Fj7`{t@+t({CUGGG2erX?l+457d|M_bk`{D2L6tTD; zrnJl%8Rqb_LFGeXFd-(A1Zd08y_Otkiyl9Cp5zEsG)p^o<})7<$yD)w4@dNeh)*yY~uA{7(f{2Ph=Nda81Zn+quxyAlh75fv@JG9(- z&7tq$TFtS+GdFnVtD{xq&;0ZUKmDnv;F)g0ki9Mw8My@mPn7hO9DxeT?M=)w&CH5a~ZM-l&Ows3ncW`x$Nlagj1c00!XyoEDbeR0sQ>bv%03o zl#33Y_Tb!Oz%QYX<1!ukmo)853xdm*p9%`z_1nJWD}Vk}P~eF7hG<1{O*ySnCwk?g zN}X+iiz@YqQh}%|L=UB}A606HHa-R$Kmh_5l3!y~sk5DBnM%F5vFxMXfGJ&)j(pC3uZ9{ zFoM<^-r-{vk}-yW3u>+5!{{orO0~NLpiL{k^DKsNp8&yA{-vL^;K_=HSv2B>du5f4 zqYGY3|F(D%53BoOiyp2pdO*P;yy*ZN;@j;)!2z*FcXMgO>yIto@a@0#JO7baNku!A z1qbwiQDKn?JH}X_7+biioyhA%Rog=0abaLWW_PA)x~7Vum9*LHflOIm9{i zs)mFLQ-a-Ct>pTZ-tT$OKlq6ky#;n!={drBf~6=by{yVwdRkHGL5W^WK2#_@s>gN)PhCb6!~P)Y-KwNFXI0(?Px!JkN;--?5FSX z-{fRda%W5KygHIQ@A-xoKI#pg+>y~F<-jGl zGBmCn*e(=J$)?6RL1cVw_)p5&fHp#>0qQOkU&;6;!4|$Ztq4X2R4eio$@rRJ;$c8C zgnjgQYBIh_a9@KYf-GPsGfJW$8DA63<|vU(je=x+lb{gl@f@X|>|Ycl<7$o;xgg#h zFdhnfd*qeH(8z-q-E}ABtM3?l+5J1QaUNS1tK7L?$HMfT`zc<* zujih)!6BBqpRERp@R*&A^wd(PEZ0pL2g&T&~;UsSO99 zy{^mJ;n-k!-nOP~|Nd%RZy%3ucXfsshX9mGIG(os_y+BFarQ#p@0#rMLm)ezx5M$c zUYMoy{nf`^leGgjY@+@AyzR&13yYT{k5_`5$hVa?v9}?W3DD_N9e4LNu*SC8U@vYZ z%Flb+JKn_SNJ};B0@!Bevpn$Sq!-oSh}BM>(R; z__UY5^pF0cSG1%T$0}OdnS(SaBhio}-?ym9kc!k@>JTMCnGc5Q0XnWl=er;|L`m?7 z;aubypzn{X=y64|A|?pug*sy(2yCy|249h$XA=ZL{lI8BOrK%*1&~jS_yw6Fu8|xf z6HK(PEpoO3?R7zV!c8!lC7L}W!JrG01u?*8r?8S1AFF@5Byi83_wpygz%9G`C}el_VRpro4XJmPcS%;)Wy?>6f$wd;@$57! zwv&4x;=wEEczp>2wSohdHDkp-37JZBdOLP>41nzWt?CPG^m9%;cL6hjB-jA?nSxGBV z$|7VKRp@fa6jGhsgGt?;D~n&EqEtW1h9aO|X{^{6d+XE$5uI1+W6qp!iRIk+Le~R| z{O+xhip{)52xQ(KZ@affjnC0rL|g|ra10L$Z;`o2!tv;Oi0(1EI#w0!5smoF%#ha&2$@ych#e)qrgO4uyNp;0mGkQenK0E}2=nNFaO5|-2q@K6T9d77&{~`xG_7@;2q8dP z&&jnGDRB;=?IFC4t+cm0%OcT>V%%zHLD5>DZ>#9vTt#2+@QGVg`pp}!_0{lN|BXNL z8DI2XujmW&so75DLFMufDkpe3F`S&z9+8fj^H0ihoM-?yUTd)ts6wzKsoxJGH;_BQ z9B;hV`9mai75$brUh65Sp*tp1zmaPLXT_Y8@+X{?0_H3QlDUXy8xfc#^!Ytb&I;l| zXGuG=#14>=j8uUR<56NUX_6&&U_OzC;Q}L*0!6`?*y*blT2Km%>_A7sSPq1k z06C8bjN~ppOib*6@7Zd1AO$J}V`68Pfix=z&QOq^OaY}lP4{5 z%T$dlWdqD~OVc`{TBnjh7kNr?^(h(u8%fUlRcPPJGQph64t|wDn?mZEbK9Q!MN$N* z;CKLAv+RKAh>TL-0GZxs>KoS^ffAJZ&VUk7LRspaw~MS#*v#+?R4aXDv4Z~U?O(g| zVV<0pq`c`ct6t3#^3xY&f993VLU`P7WceWZi3=J zFYe89{L_Q!U(g)KKV>FOa-QQq-ko`l|9E@mIsUWa>nz7Vsfu)+vmF1i{5sF^PiDr} zoagw@?$I2_KUdFe&3TUhc#q~e{^LD*5RQLR@nTS%>{_-f1(z69a%{=O^cX&c@RIr$ zV}`Q75MWXk1%@S>A3wVpH#R;Z3sj4&w-&)7M=9@JI>r5d8YQ#B+<|I7hZ!;^KUl93 zOcFJMSg9o-W@fGcRLsoL2fcSO+{bh%laDn+IzFI&m*XJDc#k(&5 ze%bO<{{7ScK?_$T;Sts(y{ntRp*A#p z2Wz5YUB)Zy`I;o)tU^Y9^ymal%se0AcqrQ}4#Pm1+%VC$AI~L= zu*qi36#H!|jrXyb9bRsvZNgsY`FwL}+D6}(wQ7BG40d@of({*Tw0>S!W=+7qd1#ny=K8)?ys8phoqo3ikp^iaP3{9gl-H#JON} zFSNUx1OezOK1|R+C(by?ghLmd_5$%9~*e8iP;wkK5-OBAUR+%ku;0? zMP}~Ban844T&=A+@7zS^Z{E4dZqLQe%}J*r*;)EUOuEO2!2^gLb*Z0jX>=%_$W({J zoD)G;6#o_WpEu)v`h7~q|3(t?E<>5gWKeE&8R*%JE<=gBg$ajA^;nAY1o;8cDJHG41^EW^JmR;(Id+|AJ-+j|tTmt)!`!f-< zB_^cqk<Z1S=zz9=v9dL?A z(EwdF5RopiCji{QHr8PSWN38j)?#QwhejQ)4)#Z(V~b!34np+QDQ`RMYtH^hK~^Rz zh=z2k4ua(cIE@lj!GVf>7*OPRkuemDv=5Et(qzt7L=4$!z;|ZwbR!Pw zu&7y1)~*0^U&`QFoq7WBy#X5@4zOW?o+ZeXy?Q6~?B&r_^46SKzEtCcuKF8a^#Nb^ zlEZ9;GR9Pp639?4V0sv!O}-9q{=nrt@=iC}NUe4Waq0Q04%UNWc^%DbtxGp%(=$R`0v=GC9+kB)e`9 zor)&N(RIe#bF3JFMl58Prr1i3eNeetr!tBU5PXR0)SuH4i97ybrH2)T$n{S1Y)$Cp zEf9GW0ug-yPN>IAYu{zdPif!(?We!!W8dRLm}H@gwJ$2!;xp+Pp)1J&)Gho(fsVEB zp&eM%U-KMDb?*!$j@t zDyVIENS~|9lMbM`YFAuBS>m!?mCOPy12{rz_uIU`tM>lZCp+{hUaMoaqQ}h@J(k^~ zQcrKmj;l#_{PJHI&i}3_J0v++7Cp4XihA5ZI>ipM=jvlxeHL6zw$3v30Ld-GOv8&t zwiO;Xkc>&Lza2CnUrWtH`Mexv;>#MLg|1r zl2$sH=#>YZN`jFQrW!G3+Y-rkb?wdb3z9XY{O$ccVaiK8LD`gdzT-oGI$)|LV`=`p zrC%}If~N2g&E9_0f|ez8dq9&|5Zq>x1F#&Z(2(1TLxYYo@#ib0U~@t8=S{H3gf+=O zq?CpW#)K}2dWGe-2QRLmyj(CQbU_PBv!W@ZK}S$V!v$kP7o_4erv!p1!b&J%g3A?> zsF4B-6|w@SQ@Tq$bUDjfWb2ZZT_KWmXy4G(K~zMWQ-9S?z33fg@E|a^J$_2bnzYi5 z_Ns0E|IPXTdc#-h_09jk8vp;3KmI*G_j8V^mSD_mqlRYlDXf_5noVKFTvvbSk>Y|| zkGg>Jwd=`>O2b3Ub#@gXcnp#o8;W3AvIt1-W%tnGyOdIGA9naj&Bx5=f3~7QVr`a%NCKPZV|K=YgaY03n?5c2+ zIKDrL>|((M$Sz9+fV4(Clq|{-ScPuEO<=w+MB`vDELKi38E~^BOj^1ycEF5OG5l5 zGH#MdI-~)tre{Al${I9(J)AVaf@I;NjqLjUfAzon!1r@xmlP$+dQ0kI#XGAGuvRY= zTVS<}A$6mG;J0kxi1ijQF-pfNhFa;|C0~*Jd0P>~+R@{Rzzl2)8h5y0thc1D49tyC zl1CSe^%if+fMO-3c2T`0HHX4cFxFdAZ)l6j9_5Nzy=6$fp>@wip-7~YHJNyC z=z)kHNdMW#IjR3dTZNGoqNL*dq(gwb=7ct`bxs5Vg%#FtEF~1tEGq!6#9yqx{UB z`cccp^7DWmdFg8hdNx?%DB{m}|;KJr*6ujv5i<3aClgW7u%6T&TBMVKEy@)Ycn+aOt-!74H zBUAXmrTT{tT+Gx!Gv2&YIBLg316xL|B59D&PDjs~>q$|4A7 z5<=3bxuhGjTGWkM?Fkw3n$Q0iKl<~Iev>?mRlh7NLtQ*f>x14m<^R!L8jYFY?C527 z@~n++ZuD|QhRlv$W^H9FCIaQA((uKvF++yT&1jCu5KOZ|W{DC)h_R&leQnNlA-vIVU`W1*|KEM18W?=vN#$$Wvpet1ZK%_ zn~iik7)&{gvRdbdoR}(&@fM3Y-y14PYn+NDvDo@bj6T*UwECzLvmm?0P`G8wmqvpW z-jjdlM}O0go#Hr21N;yjjba%pD4X}~6vmTDSP<<#x@_xKx zbmvnw8AKx^8t0gPN^svJ#&4A=vKVg>5P{*on3y_RF*sug)wv0fm>{YVy-F5uC5mKx zqdM{1KX#UW8X6*kL)z__I(33%jJG;OFT$`=H3)WBC8|if1%0N7z2dLl{b$OMeu}n? z5ldKT3PD_G&>%g`%+aRZrO$hu47JHGCa^8R?1Id6r-c| z@=e6*glVN4*F+4VI161mKh4K3RB zlpY1ysxpk2%D8|Ub*fMm?Yh&Zfd!>>DWY9R2U2v(p$ zfo09Ij*+`evNn`QJrHq9w86-Z#yl!64J@l9iFVo9JSx_dVV+d|qBXO#N3YALc~*iF z$Ry+KNx5dsr5I(evplNL^Q?w~3GUJXS1|cwh5_Cg9SpbN;kYTsLY#x?)+F9{|3(~) z(y<6J*hYZ~w9{`Ar|3Xsm?~+iG6Qj(rR8UksT-I}-KT zlV**R8%P_Npf*TWx4_uK>6a)-%D@EsD$Q@NxOpfFlCCzvb`Nl}oZ5IMbrMJpsN z5H@iwDXz#dm`S!ZB1F=_Z7UFfOpX7sXsyNRN0RaG zV6r`M-gNd(I32Pz+JB|i0MN< zjjT_0_IQWDI6;GiVEa({8=XDgBA5r6Nr~Jf6QE{m#(SjLGrG>2VQ((E+NO92>I9l% z2-LKIv?kb%SakD4N6~AvKQ1pZ(^Kf87s!?Wrg>2B$iQ)8n}qC;8kC1gB-}6~m;(;KQOj+}1=$wb9oQxyza4Oz;k|}`#_Zh5gUB}*#F&g?UlwCtx{SDN`O?@?8Szse`vbq>$GwawW27yF z)uGa8?Ik`-pfM?xCsC5_GTelXxd$E)0cUj!LkgMCZa}bxr}n8m1W6OvitW%e(wYO` zOBi`vkU}IA1k*AiID|8(`Eg_#S7h9To_aVyRkI)vkyK5Kf^}n#gfBmaI<^#Uasc*$YFvG6? z!hD-W=HsXKw2AJC%*F3usM#6~#KIpL|jQ|Ly)ETC{1fOc4UakRn;cYm)J0=}fagm|+(cG(3*XzObv}Sa>)O+1zs2 zuMB1<&P664vGXI)4PM~P95BcvFhrD~lQMQe&sjEoPYgsjKRpb=e&Bk6TT3uOvd0;A zp9x6f`N{e7h-p0nfE<%?l(-!hG}_qU|RmtN1lJzFE}_~s515gQV*B`k-op+Tmt*d4nHr7)!b!BZqHWiCjz$OM66r;f7eu^Oa) zOfW@d;A7Xhv%Vtf852Z=rL;>l;;*A8%Pd4Mq#W?sdlPQ`_?=QMSs$UQ7*zI=|QJV^kX z!)oSMXx<8zP!d?-HD(JvX4wQfSjn4>B5}QK_`o!@H**_KwESHUI$s~;wNc=LtR00V z_U@fnzKmcft@g8j={5a(J*_6iDD%Fps6Pw%An!W~0v~{PAIK2&zDg!acd5oR!CAmZ zL>|n-Gf3mvirNW9IF2zqgEXEA28@8rlW>f{lTVPwOM-1hFb;x8big0vPzKU?COD7H zptPDv&SNt|J^0oli z|7$x3LdeZ19RVOi$+ripvNd8Qy=$=V(bQ;3im;@E7P(w7S{jt;T8?O78nx8pg3%EG zS3K%(3~MCDy4)H^M*x^h0YbE}+ofRU2w+^e1QnPJBI7&!)X@=WTWU!lN1&k$Uw-P$ z5x_+XmK3SL5lk|31gvBSo6OzD(Fx(uM=u5L416g%IS{Q%-r`ruDKL}7$&q%HZ-_e{ zea(|bTP$SYlVS^380qQEBOiJm5evD=rbT3V%tcKrx&;l=4;w{~0ur*fp0VQ$U??DQ zg(zn13O*7FwuV@7V*ZW%fSA-1{*rJ)ruzsdyzXh^oYM63Qw0xIEXM)R9~Jx?Q0GB(nxCA@}&`?Na_RskALIqucses1UZX! zj9JJT-4H9Gv|UTcY{bGcgo$aw)f`#k0>-ky2PS$2N_3}N;Qe4@+J z0g5gzaA%@?AFv-PXmLfNom)SYEAaEyP-+hwcUC5`I4KnrTd9~w*acMkLDd#CAw5!2 z6VkSqX9No$G%2!9Yc%PhWG4r9VHG<$XW7E}!P%D;s}A&r$LptUzkaSog-v>UlO`wL zEznNgSiNbfuGY8lgejQP)&I&@e!=bcy0a?)0CRQ~4sc5gzrcur&_NtY-KEa12_BEt zfHxO{m?K>Rn@Aa$;DDY~MnLu8NG?}oWuHUA-~!K98#)c!%UPPhIDmW(YkVw9UQG#fXUG3%1dJD5|x*M z$;e|D;8%_6LGigWFjg6T&fOA&96no^|8Ym9>^ z&mQj&4Z-Dz0B*cG`eDs@gTS3ZeQ3}HARsKicc}o|b)ppv-xBOI?of{6JL$eB?R=iY z2mJ2^>W;mH1D@O#SPYGH1xbYaMwx3O!5dg75bi2MZoMkoXvI5VLPZ zHA3`!)a#6T^df$#$Vv6xs3K<&Z?4F*@(Wk znIh0PB>+jd11hE*FJTDu5H&5-j-RSn$pLXh-K z5(MOk^$hq}mA}+aO^=8PLX1i@luwYEI4iOQ^t!pXcYmsenndUq`{yq#|7L%-pT+KQ zh8uAs4Kj`x1!2zraDTenYaD?_%Q53njqdd4tb&Fpkeb*Ef0`Nf@fN?zkS^(p2g2C zE~H5c&o)k8OQU@JCdGwRNi76JvO#L3JbS!5KB)w=OGnqqE~Kql)nqELCJlEj*Npe4 zM+SqlwMgp%1rtB}Nq0}&B@Of8^2v6k-QfDuP@|+}S>RmrxAz~^?UU6oD#aDITmjUz z{^F%pm+{|B@!L<|GxZxujh(!prlH4x%nXWpi}v{icT2(1f6&dj&nSA`RrMvKeSIO< zs_G40dUNQ~Ww)p_cUy_|YD%mh{a?KE^M2kt9mMx2gN?;3JhKq07;MZ^;gCs6p#dHb z2{tD9U}CT_3pt9x#w_B9T!wV&i^*UXTSK-TWGwg>F+@e@L=w5c^4Op4=af0R*wpc! z^J!KVThV?_t?YjzsbKOfBC(&_G%#5FtaU^t8@RzM(irSZ2K&d!d^dPZY;$9=$!73w z&fr}xzS|7m)fl{=d+K|C@_RhHCLm~wksaH=2b~(n$a`hW)?Hd=F~LMaiILwrs?r6? z;F(~WIn*@`W>!*Y<$`4JOmH|JX{IG&WE>)KoePq|GeOKdq8J%r-GRt8^A*Y9nIMcZ zShu;Q0kMA}NCwXYwLyb|PXx(@AjQZgh-ufT*3+pmpFmhxbQhH`D0^*^plPA02=r-? zsLYmJZ$rhFU22}3nK=6?ORc3ib7Sbg*^2hl_xNvew!A&UGJEB{mINrNT9#QLeMy5kEC)FcWkDPDNF1Ql5Tl)?g-?063UKr-{QLNlu$dCIAjfE?oZgHBag~(jKfY zvR_1Rc$rkT7ZZe-O0u6x>6Myk79!)q{+q36KYh>CZzP4tVMgO^4PlQ$Lzq6uYc<{$ zJKS9CuNd>7l4C4nphMqFPs7zEQa~I z$6lMe^~r+KkCs=%mT97qXnAL+nr4>QD63It8xJ+j;Iy+tO{3+V8ET4ngc=j|(_va0 zP3cDDf}uqihfvu4=obx#9)#ogijD*7o24X$cDCkF)AR$9M9+pqZ2o03Jl`Y%b|#1g z&JJ*%L}Dg+(nDb5eSchEV}mO@)loQihkHRE67nczsN7`yt|ix z7j`+tr4*a$Ggx-fdti9E?Yo zcWl`$+8m7KJ*jI3vHsGBzw@)+?#W3hjaqV23#Ek5I||&U0~DJrlkU>wWD=|f>WqYy z-vcL82xbToM7FYGh1uzaU<8N&Wz%rOV~=S=CFq143_EoK6At^D;fWFPLYl?|)p#P6 zh+#wJsmZ}GL5xJCEG7ie<+&1@LdHZ)(-?~EM#^RkyZu7H&5$J&$S8miEawRqst;itdArXDd;pNKfb6w z;w(~FP?VaU4BC&LDm%6ItDy7%_+oi#Qb8t|hJN)8JbBiBzGAG8beJB){e3-1TFOry z>m#^EYL$Vm!erF4hEvo>4#Yo9k`;3r$B)ez!gQ5r;}#U(p;sO4SIIGsNh(J()hc>t`q%zcC>qMm7@PH;Nc&;dLHa0& zf4yrOS?Cr86x8VseEtDkDw*Ms)&LVsERy8Dmym)8djR)8=qTyba4kxcnVEI)R`RLA z!Zva}qFG0x4`!cC>tWzg1<|xdv#vOPgh}XoD(z)%)@z!QK*qY$ndAQTx#+=irRhCRl9ZR0ovoS-~P{;fNe)^}!3;>rL2x7Uq1NO+U{q zuUTCE8DSDz(eP0y8tNOcS>8uCr?>1DZI<_Xa(dtPv;X!_pE<%_`hu-!s9nMsKLbM` zbPZiAUAnr*V`$U{| zwNE6z5hVA5lXA($UI&}?%;g~a&wnT@kRr9P#ZO(1$h&YUlC~)?MAn|-bdc-r&qJfe zIp`jWMd%Zb^RCe;DWdz0+u=dD9Z;1d|Jz>R+t@lFd&QYo%%er>qDH&U>N2FRx7KA@ zoO_j*?8Ne=xux9e>woZ{f5#^U3LyTV7Nl7r#QV4FJ@fFy(h5UgwePn}{o50DqFDa% z{%uf1l#Sk5`6snygWqhf{L|98DN-U3yMRjd@?p-h@=voTavf^)1|)?8B^Qk4A8+&q z%A#D69}@l4L+W-02qv!+DK^6fioAn5DB3Z?Lxgik=!DX;(2RZ-yTkI=kxuoqomQSj5sJtjGQzQq z>yqAY&&AGiUDB{UWM)IzD!j^2o?$QP(rj~qs(ZAnSpV-^?|joITpd!cZb3T+ryKJm z_oAf?w16PyY-?x zkeRtz=Ypay6CA)s#pD_-s9z~o0<~OCd~-B$Jo*g%fUt@TCvs}a{py0zXMlYL;DxOA z9^`-=|F~e*SJziGWPl}Uuy%pOC(MFfWjp7vY zCOEM|EVedVrVfIWT**nMTb!e#aZyOPL}pEJS3(3C@)vL`LOkmb!BJN+A`}EaPIU-@ z9Oy#Z9vl%cg$EG|1dvMepUfteRzdCF8enQcCg$eDRNiEyJJnII#gLa&~1mE#D_&+0CiX;K;{sAGnB z!qMo|38SDuvt=&{mElDXUuZIJ4$wc?fDEf}xu79bB<=bdmOjvHZS?|IfC^LgzMNQos)qGFf9l28|7#!o z*kfmJc--40${LmqMn%~Nv_OJ-voeY3NZkU(c&%i`s3?_XpR9%jI&@Y6&;&eD!3!^< zBW=aDJD@fW4FEnrZpeTzor@%7;MlzReqRQ!)j|x zP!&Nm1{5pC8WvKX(xu!tlz7fh%{tOP&2iX+iv{O~q-Lh=i(I77L_6F`e7$!-pl*K) zL`(PYq>}y}Ym-tjXYRdoA5$7!<=jd0*Kjmc;3&gMq8>o_Z}j*0&-eImasNf@O>p){E#_YHsJ@Kp}^uF!V z9FGp^`O(eim_gS};X7U;ZTGjEZ^#gpOl|K29{$lM3J>!RxqgBGBk;kSSH2!X%4=() zj1C|!CZ(e@rF`s#`_Lq7OoUNM&<@1MdHF+no*vTk^pN6j;UUGA)kpJ(6bG0IreDKD zibK+5kw@eqecBMOW^(N(qB`b;%p=PAG2&d*3kRUrV@(JuVPrTSA@Qog9|*a#5Ez3$ zvR)T~cZ8Uqw4lelHTR~3^A8002q8EGvJjfL{djJL@y+_MBj8*bo=`VCJm_&bhEMqA z)ZGrxcQ~Nh)furG1oHFKb~qufw~xoSyE-FQtJyq+6VkRH-vHne+c@if*JPg`0@?X_ zI~7Hq3=JZK-GZ~9u;>0VM zhy*Vs6b>RLBq}LXCgV&LyiIPvK!Fsgq>v$IqJ%)iic~3(=Xo#R`+nbAXRo!-zt8D^ zhBZ`k_WJj`zO~j~YrWU!eO}u_*E9e&(l#;BHpySy1-E;QcM-P-4wdTQSdm7>1&_8( z^6_>-92sM#sQ(v;Uz>BJNeMw2c11yO$Tfm|;9U?1Aoi=nnOS09ZX-y|;DT5N;|X}^ z<+gV*O(Vv!PE0=iz9tI$M>;Y2Ko-g6&ATRxucF@5H8CWmL;&LvvZtf}RxH+aPL+xc zXMXj#Llxn0m*P`Ccscd>T0ZH~>aGocG1|X#`1pDn@yh6o^jqFe_-M~^XfRQteciac zc*{#Kh18tty}MNJv|H4M;BztfJjLKA|CI-S?sZ|NHLhyY7(1|d!C=Ab!6>CRJ0VVs zMnI2kL0JM@3Bj>ZO3scpG$AR)eh4^0N2m*3-`$!QE^S~yx1_I!uZlGyV&$105hQf$no6UlZk)M$jL7A=U;!gzWr z!=u7gWG4pa4(UqkoDLNWH^mB`OD2pfSM2v1z-94CaL7c|v(Y3oo5C4QK!kU%c-jbO zko1^&qD%aOU+YFZ(e_A(k(^EG+n;&oJ1*Rrz{Z2pOCmUTgon~;6l-2Fp@b+wJ*gE;7`jcVIcoG-E5=n|tz|J2v%KQ+%US*hGKR z>%Zcq9|`6GO(=K_>)A}OZa(syKL%Gq&A#i;8_KEX!!dH8oNB&x+8r@wUXS#WdA3!J z@ylQFbMv)qo=Zm0t9^<4HbcY%$0zf+&7!*X;t_dJ>hzp=s+}(5Q7$-#;9T zWHtn8>s;_~Ky*n+&tVUSm}W&PFBdF;TL61xP+)Eck|5J1QJdcijFv;Ow4@uymLSt5 z%Ya0ZVkpu$NwTc!l9={^t|p!)Say4@!OW(+WFhPY2}LvJWHfN44ORQs5G=$O4Td*c zUEQ-+fR%r~vdGmoloou*jH>`ju;q|f+e{+v<1_SN_-eB8kE3zvgm@dU>N9WcY3)41 zJ(29XB!W~Tw<_+ z4Dv1HneB#;+@je9xE&YZ=YH*Xyyra;?kYmwT>x(Yp$SCB72P=)8by3-ZYrUXalz=$ zL2a__e4z_a1Ocql78!K$v@6mDaKY^kp|*g9SHuF>ho(9yf*{owOSsu}*rOJt3*dqf z!}Kng%*__isY-B?AryO|=BPm;6_ZmwxETx^)BB1d&DXM78N6ilyeGvCUI^d9yr{!P zMxwIxSs^Jkhn}LkCdZ3z)e5!KtF7|cN#>z7uc*~l$lD&35ucQ_f6JL6tn0b9EA@tS zzT8gx<9svJm8IVbd8$zEv_Cz@*nc3V4Ll}(D^S*-p0KsYc0@hSLF{z(c=jN6+AW$b z*z{X;N)Y?*r$6*3pNRg_>k_s;du4!9om$87^*GNn2#SP@)ssxk}{;%%dOiWRA;T(E?47`BL(r+USlu#MR(d_)^TYAat6 zeKxSdgc5o+?b50QL@0MjebeQBty&LD4bAmyX11zWN-4LvDf` zViPgAX_GH$2~I#6os!DPwc*!Ion-`h!YetMGTNT`5hc_MU8d@|Y0D4kxF7%9ANn(+ z;5rQ9M0fHOoO&58It`~T;JO|oGIgARa~|9J4ALP$yW~(EB995;QgYb=&#wMZK;b0V zodRg5jw^>Q!%9)?QC}=pq>gjJGOQGsdSK_M3`0;819t3~R=VB-T-ZoTskdAXn#a`* z-8KE*quNkaS0LJT`;6c^(~Y_4@aRk~Z4xg?l#GlbZBr$9FKg#Amk9)2LeTgH=v}c+3t@Kv0y@2?9WXi==1;;1S>uf!5{Df}+_+xH})=W`X7G zBb>)a`1sHK3qSh#QP0u2Q=WjdDOAE5Cx`^JQ_BdXn8jvXcs8^ROfRI-lF@$>re;C$ z`b}{1=)cW=O&%3Q@!OSTD)WjGoZE^ZxFcCNQkqNhdo!asgsHtVqgI#bLyuH8}T^#3(z(If4_9@qS)XJKsza zG^#{IBFb8=`fD=0@|&|+?icvYNry%fn`TYPJo$M#x&tQxP)3%VZ285qd$eE7y!-=N z333XQpZ!1m{sdbe$;tSnM;+pY(dB{Bun#XKjEA3s|BdyAK2a*ALfzW?Ul^hmH6fvW z$_$E?OPN7n@hgsPM7wtzyGze_bks9;uV5l0Rw((XAm=J%_4Cw| zS)cAeW-6F5Br1D?l|J2fn0+L=Z!t1T^{@bNfRdOGDD;x~ER`_RwInbLa+>?)T7UtS zWY(uUENYg76x8(vX$PsTxm#`k(4&q%=+Lcd&FcQZz(SI+{`IOgW2#%)8w71G^|5Nr ze22E0x2DCzHMQrNZ_yTK2f|F6yoJmgtk%r;$kNO>Puxod0Qv(rYD=hORZ}mL#mF?7 z4ZdA9e-0q+UmTv7EsL%UiWJxQ{#U>7EV5{S`zKrG$^h@>{I0fsfMNWfp2ZN8^^k3e zf8zm?mGQ>5urh7J7CL$);X2c3=GamSY$e0|#N(aRJ@mx^?;|?g;802#gjjcQZmw<+ zTTU|)y@J~uTi#u4Iqep;c6^R4&l6jI((8ZlPyV)uEfu)wzL3EMvS^5xUZ0Rck_{Ux{ z3N8gVk`GNw>w=qZwS{Ac*$tozQ%f5w($E$`98zkpfIXt$kFG-q($2bIAt>8mc!frc zAt=6(OL82fV0yMd0JcA*#Fj1zcL3-h>b!M_)2$CKzEF__L`Ndq4Sa~+(di4h>Xe86Wc6sAeS3nK*M*?rl9A2Kk=k4^gu|3td6<$tTzBNk> zFE4({Pro-&Gi!a-`7*593O9enb|1Jni;ZCMGFU_c7)O98trP?|U5^}6!b=w{GcLNW zH_*Mp(gZ}N18r1|K9O{ttr#;dHl0k)$>%7+iwTw)7eMD2pxdq!+FW8669hz>nL;RO z@JlHSFa#xZ@f8w@%nG!#$C{>O1Q8!6V1{_g^16%E3P|>ThS5dV`zD~>EN%qa-p#eCx@JB{6 zOKWgB`z8-#)iYGVkpZqdsmZ7};SY5v1R80=9=SA9x=$wl1@Z;4#lubb!})F#J^!OY z&1~=0sp@f#eC{yvQFSwNi&_&iM?U9?e17i#{mK9N9|x>o?R_uu(dkW7rtocPu-FjX z@5-$rpUG4B_A6!7;;j2NR>+Z0#8R78PcTP57N;;o;^2cirpS>GqC5+_5T&(;&XG^V zGfR>{)+<(#PmqF;e5;jY>Ze30haGG#<1?IP9nXv!-(ok_ur}Su@{cWsS`{E?}?If`?w(acb#n+VZX8 zU~KY9|JjfI!DXa-)JbS#lc1SL+a>b^+4-(wlfsR=(Sl7DtYQ=A#@#J26|Gq@$0k8} zyY17?=GbJv#uONdpHPHvN0H^&1mp)wGtW|ywkSo&kz-kwDDq%|DET?+{)aT#8;HwWfX0s;N>-(3CfKM(R*e_yy6?{ z&S`Pckna4a@Bj9Hf81e2BdGd;qhp(s!`M1w5A84l7En85wZn)sjAUWpFxGisg<-iX zwq2~X1(gnC>)urkBXCWcrC&K_%GN*vC_9WXF&ZN;+vI!gFmBk-j#Lq|P(8`)Fdnw3 z+>0bc>$9As5k-BdL-y!8jMRgAj=S7%<#U)-i{q=%h4N{37&-2;DLX%ceNxjrx*IFK zY0D2Oy>I_#pY+DpM}NvS4x>&@CIGZQUb%7@5hWtFgW!6JYF+JFW`}XV2JwXm;^l8A z;wB^W7<@2uoB+aX)4$na1hNT!B0MaNI<{8z@B=G{(dRJl0P|@*%j__MKm_$e$tS}R ztS;tMy~9zoNew*!{YJHEg|+Mq4ZP?_zOGiQI3piatdW?p>@XVDHrPG~bEJD<{@2Wc z8{-|=14M-n4nUUlt>wxJeFB+K+q;v~{TGE6VQ{Q*a;Y!&`;G<#&Nnzt>l=vy$m#jL zIP75(X=?Ue+l~v#^Z;J~!UnP&uGw|4q4krltX+0Rn+|g`#Gzj;F$ptEp7oORHBDHWbaJ_aYB2V1`j%JdGtOi%9F|=5Ew{s! z+wsSk?hJ*VesCH0n~vX%|J8BHasEJh;Wf$o%X<{{A?%RPzc)>}J8_ya&KI3_F}@ko zl&eN>j7P41f7y=T4?kEw9eupKMe4r(T4fX8VpKCmn}^8M5V#wN}r)<-6H6dBd(E~ygFTmDXV8W=AqWF{@kzn{4t(w zfMj$o;(oWYf^Cq-P%YaQrWmrFgiq0;vpL5h$fw5z0TQDArr-i$8lUtK`imiDlKlZ4JQ8%C^38SkamZtjP4v0o08SoociTu{O z<|eY=@^$n7ROn8M+5QB3QQNnXFPd3(PP2}JqX=cG-c!+GViPA0&1q?{1_ zqAOj}+K(WK4y@kM^W9;qtAglGr~EADTCHo9bqH7*rPPLZRfmrLTej==FXw9HXyLu}M9f&m93q8~zH8EI*X<{JmfM#J#If zrrMz5$RKN1LCnD^IMN@wlLSw0!;_qm^#DeH=)UXqeoLrpf*`J=RzY%fiS|ZlmAsKRA-TQuE)hcEa+UFGm5q zzNUnCay^_dRA0FIq$X({E9|DkZ_rBS+k;s}-t~!#t0YH*s8FaAyD44S#f}fHb@P(3 ztY>>gtEw9HhRUlOH%+?AwZF)qK4~XT@szx^5l;c?yuG`&$f>ILW-Wcp z3w`<5Jo|??p?bA$<*L`JSdVR_+Z~FM^uwyw>?`wQUCw+*Is3UO2)q2sQH>(;u=mluQ2naZqC&{c~lxCf!(?BWi!Wq7e$rnkTN#fZ)_4=CVdz)NoyCYVu*~ zVy+QgQ~LIdP&zL{36y2&Jx2l_%_%-$jg)1m#cXb%KkZ>3@hxA0a{X)n{&QdOSE5|6 zE_Ry-z`s(Hz|lkx8hxJY@&Fhh1%M+2@hVHJY!>A2T7uUO83j=!EZ{aPQhye~9SG-T zMd(?@PTE&c-8m1i-D4gW)yNjf?KT|Fc>wr~ScvC{YteLhteEovF(YK%iDJqZlk)(e zWL5w=y45TJA1hXQ01&>=ZAQ!v-mCT`MSuErZ{V@xC#Ph8?nspfIKT`@t{ygN+a^H7 zb@eqt^u_WB*W5~raK0cZM!O_X7bL%eE+YPfEU zm=6^i8qF!+TsmWkZJBA%y>7#x=y9edJlYO9?RZNiSHVc7k}Ye>2*^r}6h-w_RihQH zTJMmHqB@!~_9r*WDK+Z0C`}}3HFr#ku=)6W)5A?hofUP4bbR>9M~m80woUuj)3^2K zDPA`=Q9(YvW7!__Q?16_l6QA4dDCuDn>3nR^3Kze_n-dmFMr=S(ItA)U`~+z!t^i& z21mXqC*G{wAw-wbzMj)XIkR&!qDxxGykeDj%S4wNp~g8%2yf{pO!q?HoFuA_6J2f& zE6vc+mbX`(6K{wnNsUI*uftAbx;S*5ctf|KSlh#bGc)R|sSt2 zRvan_++D?rIh!^YGEVbL#MccouUvh_t`iA(lW5T1g;VYN?Pt?|>@)taAOB!v(-cMF z*)#_SZC6{o(|oxXeW(-lsS6$uW+2|cj3sDbjos`k#BS85MNpLh1lL-h$iSqGvI4FP zDvH`->&4z!k^0nEL@x+p44xy1gInAd^{ERM&@UKwt-mBzq>oqx_cHzx?Ij3GR6~a# z^{ES%ipOTjz>Hcl1Vx{^BpgCiIuK<+MH>`7NwSbpB=J*9j?UIwVx$5ofqqm~qqgGL6{nRReR8kijESRXwBSSLf>A!(O2_`RR zSgwX}DP%)PR-sgTEG7tfDp)QA+8wHpsR{WAlfKiCPgq-0$cB)wg5?5dT%SL;lP<3# zK>c|_wId)z%KD>cVB1gpk01U;uNwoM7Qj6jB<55H9xjl4N?bbR-LC5jLp|fg7VV+EY z==3?PZ5VI}R;pb&_E7uXlcKo3>RPpX|2o`UQG{UxM%5eL$)dVcHAOK)w@>j^O}RN8 z?vN@Q@>h8l`M;aW#C}{Y^;!?8uo*i$V`poCP9K=b8p-9eeAxt=DHzRC469JS!}~rL z`#wi}XW94d&%E;;SoxV3B{hMq2k&^{Z7=J%^iNY(=Ci)Reklj8{QIr_B)!XPrjI0mOI}645q?uCh+YIQhkU@~qP_ zN`9JBznG(p{5k7n=Ll1}aIRcigCH(u(|t*V9L#vZHML%p{6kh*r{jeDRn{q>Sp$Up zIVZ?y&$3Pfg#7vT93kW%HTfE4oG(9hLz}OsVdW>9Yx@U}kQ~n-HeHVa>{s>@_&F^8 z(5J==aP{kdQDUDFUuQ&o*$6g&x9+3Rc8(EjwqBT)K?BsoGu)8@ntcS);;8N(xkYnj zNbfP-AV`q* z#(UP`Htso@37GdpinLiVhueF^_fqxQZ_wt_2Fig%!&r2rD4BTN*a$ZJ3Z_4Y+aQxursl(9C8Kz9Hlhl*(IKn2n*HG*bK)w= zoJqykq=AzV-k?lzaKY91X#!==n}hVh7O!lg1*Btc=MSHjU zQqk~no|An9wYxXZdKSBWnG>F2(g*u(^`HQ)*oVwEImP}@kqK|Xe1s#(&e=yeqmLki zShqGiXLAoOLwc_PffUU6sjO`F28@`W*+ZCae&#H~H4jri(L=9BvO9df_z~8kL>O;> z{xT9f~^>hwFBg)l0`5bzV+|fpbvdIpI&5p$XEAH>g@H;zt)!-Oa>J~M(>S{=wSRbS zFyM9}{~N|NKKXb4H@+GAM5*KH`l>TBLK z-pe<9Bg=k)1Pbsk6K@ssv&W9)zL-9KC)UJU#=9v~F!VIOcy(!W$oto51scJ0Hj*!Q&4Jg8U)w{7gerzrqoGF38(Wyb z*M@ITMmE@br|OkC<#%^r^l7)K)y*>){XAgw_doW*?YMm_^I>4$v^M82s+I+93%QS# zLa4zRi!sx+*{bXtEV7`ow~K=ftd144eFKFqonO0{(%Ix_TkjD*0uJ{Q&+QnQ}aCAw?LM>aQVW^FI+zV zM&MTj$RhX^Af*>T)CTNt37~bY{ zlo+Q-E|<#cik`JoTbtF+B??wGZ}rR`Mr8W$bqXqMntbxW(f{f$!<8UCE&@sW5Qer{ zba3S%89mz3w&mR3Gy_N<1pui%!Xs*WF8xeb(`PUJOuI$1C7phYPO+rl^hba9Pkrud zMlzvbmQpU2&BnW~A_~iy&`6mMOpwZv;tm7t1RSzKi$xn!=14JetB5JvBy5fp_ZU+r z)*c)rGOsQIz-BNMjI)44w(Gt1V8_MKlG+vwB?w)`h)dI19rmWQ7C7+{otogP&DM$~ z^(D!p4tNIXt;o|-FDa!Tmo)x(NP@T8oUmdni%- zllPd!Fb`S5&-SKR(KeVaK{aZ8>G{U66%uO_{InuF8=WivsUevj@QX5k9vKtqWUnOC z6OK_Y=Fjq-(JslfW=e)!*p0@~JG3;mM^DfA1=@_rvI#o60rI$0pQGRVY_j>%ADe&Ttq#7hs|GVR5|h?$J2 z#-_b47%o#j^806taj>tyIQ)3?7YBQ{FAo370oFq=4oBhK5M+I13XXz$q5wex zoT>oP66SE~3fhcI#R5QL;t`|b0)eXCQnsT;(P8RJF4$mf4DM~-zp2<@hg{?6Fm(kT zdgb_>DpOT#AW$El+v)h|)d$)x_Ftbi1x7GYX*o<{$?djlTuwz8q|;ZTfV5s+taHT& zLt@s@74iE)pgMoqjsvdO7wgQhv|e7Ufg?=&Qx&F)5q8MezusP~H|hG<-Nm|MSb{*k zKeyBIalZNfuQwO#s^Yb#GR(*4cI@BP1uT8MykvF1o>lY2219?nw1!X}5} zctHzGt)ah5L5quRa)s;u(Sucm?=tVEq~g4pO54qf=_!H^7P>}m^6K=pr>vgkSXF=F zpM1~TzA~!niu(4dx?#Y+@Vz#CJcu@bV4PUSCmK21jBmcAyT^(hn8o{1oxN;tr+JuN*~QR^11PIEY@?s z+=@St4^3av1ry}wV1_OZt(9jxHYfwNh5~%Gd2>lpG@xHMMy9GWf}Y~`a>SSPqnld} zWw^w7HrFE<5toGW0!IZM9QdcbHRb(pe@rl68{BI zP-zdHXTC%BaNs_b;9RzD~kJTz}U)(5Ip;^fZlN+cxt2yc6fTx~B2JK>32c zA?tTC@KyGyM+ec4r30>@GRR|N=>%3B2ALFsl|d1Un+!^0fz@M4`-_2322m*b^8S3B zl0m}xW`hbN0S71T4-cg>XtEvqi&LF8#eBO#d*X3*hxB#Cq&EDz0CBp>YBurH;-wA? zRvC@DG8ATJa*Izd(KcauS{YZ5VU`AwWNng2jM5jr{jYr>N(G7;^HPB!$e?i87>f%> z%nxQzx@YzkY>gNt`MH*?SCjn)f(V#efT?Ib7xaFbUDsJ+KUNf_=Yp_;VnV<$+Czhi z&ND&Il7=8yWjCEr!sT-mm1lw_q6G7;@Lgv?(Rd~Zj##5Cg*MkJMVzB3JYNx!l$HuG zxK!iG5LBb&OX@%bR3A2n%~5PCB059CqNt$lL1|5Sg8k@ghP$|M5DGP*-jmg>s;dUU zrn+=xsSFRfPAWwl)vkKbZImGNfM=}rds@Q&;@2xf3xEd8szqB`lWOUq%Np_?qT8xy zY$=aWL=&=|ln>tV9NYkH24$WOD7k{H3#r@E{un8mgA-WZ5#`TkCGC&%-KOAz#-s}F zBwLV=Uk7)R#);+h=W$&sq}zB9<;j%xl=LdYaETo%slx}GMT!EJX3 zZZkzSa*JAPG=tmD18)1+SAXbVeD^3bpwC}DEH)$ktQ*u7m_O>4GVCY%AnSfsFp`oU zl+1w2qLBru21*a66H*^R2a^`v1q&e+nl^iFowVpKXuKTASsj+s4)%Wgyr_{|U}ZHY1CV!xo=!VJpiYd|$$$=()tvo6vXlO4R4O4* z@6YXs{yS8bbET4%kH04+0miJ!d?u#N>j!_B9 zh4cwJ%MVf3&)NCY%enE(mIa4?T6%FtugM=)Z&B>)mvG&##*3l5^2+Xgq~8^~vG4th zfA(K|S5zF-ANDf1dB=>Tf*nge2K&k?4lalpz%273_D6Gqx+@__ZRLXK5I}jA>aGJw zstJH|{0q)2oqjgNWkvnvD_S*}WYm2stDK)Wpj0hL$-|z5r3KvrpJeg$0vD6>6Wi@t z>$b?h+8pAzm?}R3Y7D_<9l*P#)qxPK@)MZY$>u~-c+m@DNR%XVeq!HYO0yJ=0hsJ% z9ddr6jN8NHf`(H3L^sKuq~a#4mpxo#knfIAVQ~@N|IrxkV(9?<##(Lgzi8~9s}5(Z zIv_2OUUXRYdJt%{iHgOICc)TSb81>(t~=aa-C^1-YEvt7-QhfShfjRpt3LOGVb>KL z>~`It!jI7a0%MP{-Ds+B!Ou)jxnM!9jWyNVj3d-Akr+@f zv^Ew_y%P*VJj^nVrqYDOh81au^-41ogiB10hC z5h3@=3&=QB&~SlDHyQF~PxWltAKft;({9mh+Ha=|@@@adyZ+uU3)8MS@m}Rg?F_Jgz!tP{xmyv7=YP%G1II#e3df`2>7L!0t%W$$}E=47pCE zU63wlQwb@E;zc7^Ri4-;sVgEFt^{uw3#*OF<$`k-3s@>JE7;c2&j`5lP96HVEC?3~&Z?ZIN4*UZnlDvs!heYg3ksLF_`NBcM4~8vn0@ z+hKOBjXYTNSERDD^l@Q9;>cn^n84~>W$8RH}AY|W%2G{^t6Z6GeDPG_@4 zOG=Mmyjk(0V6qDNvc1;oIMDsrCSPk7-IyAgID7t&@kX5d%*xut$9Ne z&cH8%!pQ{EX_wn=QSw;Y|3=6<^l3PZML|H*+H*Sl^=Adq&*^M!;4S5BtD%8whb33`yMTzUSGPNGEv~{%s-~CX1ktTFCq`TY<{~#A3pGw-}ghmaI{;yjE>v` z-VM`{`<5lvwT4sVcOB*E3k-w^Bfv+neUJGBJgL&ugP+HwPwCSD2d?Nk)LyE z{7C8A^BpSKOiT;dk1SEs6hhe5B5+yoNyQQXWROQzC+| zd2H&>Q}Pll3uK;;3L*EA*d=}Vw|@OU|LN$d(rk3EwyB&CQrk4mWNB-|EZG){pj$%k zA$UF-IGL5lLt}J?D26yRb&Vf-yV@&<1nR36l7(QdZEp4}GD@LZx(01Xvtq7o9wnB` zwapEnmJ(m0^xI>es%o16cB9n{;ot_NfYf5eNmev)7-ytG9suY)R=cXUNoPuwEEYFX zinJz;LHUV*W`}_xr@F%0qqb>1RW6AuLJeEavp)evN>wfi>J{V4TcMe)`8B1A<&vOh zH~PJmlV{jh_eNDb12t9TMxX%=uiN%_puVc#*UjAeZ$0u1j~4x}ilO@|;?_$buVl+* z{IAOTRASpQS=qW8((XgHApVVOqrB?c-2Udcv`OAVEget3vK*Q5)NIbK@YFqKjT%pl z>Saw-0W(r%t4GS4fQQ2hB&`f9Q{kxvKc2NR-|eJ^rI|eK53Ey#rye2d=qIle>KSoq zdhd~5#;;p*JLOFjo^NCV>|q}XdYGDi%S$hX)C3E|1gO8{v11iXIw-i!l@hl48^8MM zzyAfVE=-{6p}7fgH`da3LZQ?nWy&6Bfw!P*R;I;e?{GLC7#SrW@c^bHwQhdpZu*>b zr14~~YCd%KHsOfV1kl$->ag-Q6}IYE4GwQs)x;_8Iqx|h*g2CpN)$c8DjwJ$8)IL^ z14rlBSMdNcp^O|DwygWyQTgudu&6MB0_Ad?z3KQM`y3AdvCFgBn?Nd(GSw|Gu)~qqRS& z>dz5|{fk3vvJujiLFwp5#sBITZiuoq@>aSb#EAXvf>&e#TXZaaAr}NyG|e&*yh~xv%8?mutqUHV$W@2Kh(*OL z4uFTNa5#a7EkhU=liXqqQy~f&h8D!+#*G<*IUF{sGzwyfR~r(N^r>788u_IQo*mC^ za9@SP8M# zj?8f;OLaj;eWK!k^qZgD1@gbe6|xU6B{;I4(W&?^-3!sVeF)T8xIIIkW>-iXkRv*0 zJ9qB3MgVaPBT<=!m#b7a_z2=A2hj*NQ9wulZ^-)<}rOvha$RB zR$MWAk@M+FpjuX3vp1Mt!4idvMle?rFc^eUDUn}W8_Z;D0B4XZJv*3q7*ZBIcD=zC zxsI@3fhJJ}7eWr2OG3Gfpw$Deh~aOVHi$##DuTfWSZ_crrMZ}@(u3YEx&_2Z0f630 zX5^POaC1$ZZ!5`4tpAj$*0MDol{ighk+WJ0N@WU;1C+f7j;oMgyY&HCEL{5TfREMD zNBsgd=qF*XvfV!72I$ID+n@8I*Es~b;zKt*AF0Z>Em=~fPtYkz>*ON0lhH7O@D$B*fdQj{u!&rH$L}0~2oN8a;=MnYmlHmWQT7x92((Tnqa=A!i zhLeV6z%Wt$=7UQJ<&sFhv(Ua_2-W*3Mg6)Y2&6#QDnAj~JoB2TDb=FQRDD^9l>n`( zLycG}#%!X#Ty~8xr)X9C5IIj>W|(n$igukMqsOQxX}dag2&?~{IV4{HXmCi_6Mb9i zblUPm>h#b4$k%=2dp@S%mUN+3o$f&=BWO>2eO#ToONoXCA*jhppjM~CWHYW#_kiS{nsW_1eMe3IxuQ>_%inGc=SDFL3EkwU{`t9P?H1-CyBP2C5duF^hFJ(<<12ILgn zuEaIZhpyD=9{Tib3QZNkQZ$r)UZu}9W;2wRd}{Sf(OdGZZB_V7gUHBNS6dxe)+{=> z@{o+KKUsqb2SE5oaJw;+C;4~kv8NvY)`P@zU%@05PF3xY9YP?ZDi#_zlqWUyD~>ds z@ToI=aK3p!DzTEMu<(enO%9=?jKd+6)`Zh}@29DlUTtTpLpW{uAr1B|e`EV8zw+aX z2Gh1UkH@V^4psC8lFIPPkcBo<5&Oy0i%#bJH(1~ z2wkx7pffU5Cnf}`!CWxrlwbmMD@YCIf_n~u)Mw@50^0!LqBfM>gAzCAHcWr>TcBu{f4RpU*eAydhWR-~F$WtV}9p<}O3 zom$fB?IGje27Ulza_R4(lolfd^C>~EK`}ATXWiQdX zbFxg(oz%DfcAV;5&Sm$zE4!Nl8@WZTd7I1b=PA4Y)nET9f8we0xd@%lJVWxj>^kg-9vNNH6 zKotIy7tl{f$(PxXhrv$!o$5WPgK{duFP{cKH>c8UHvWVqrc#Ro64LV&m?|6{>DrTe zNAf55_9h5`424PlM0&ZU2@f59?oY5MFKy251-++_3Pix{Uc8csfWP!rzv0C%jvT7O zGdzdt(7N3o4TiMH;6KH<57sj5E6YH*AbRu}-6J)+-S&u5X}?@>*8!$4)saKjO!lr( zeV9*C~V$k)^6~SYoad=#eC@2%ewOCdb z1KDZ_-ek)u7N%Gpeo$L_rfg>z)XUAWhQP+qm9ydr`F}$)@o$tm9&69+r{`urJw4HB z5AsN;cH>0nwB_4Kkd^Ph`*;50Cx0+1->UPkO>~Y^+&62z%1V_b+$pBD$!}29F**Yyep!ECW-;L+U7DXFpjESvyNh9b_6rG z8)FJcN6%4AX%yQm6_}8JBf*Q>j?nTQQQ$aFOl)oQ%Tg0@Nho(UL5CG@DQ%S>eX`bW z;~m`&rjepQ<%5PMId^LRaunsPGDGktJ=$>3w<~M^8wxr;`FH*|z8U&NsifH3YCMvt zO-X5{8hIG1k^8KOp)2pBXLj>n|N77R*54NiDs9qn)ov|28rVuSnJIf??S=RP8Q^ zOCX68554Z4BUQT#27wev{#CWdid5|`2y@1Q9B83{1nrS1RUNbF8GC}1>CqW^+b|~yE2WK~H=DPzVqIKbd@uPR1`Sxsqxxy83O?de2n$`UQ zGqKt~w4%0mTvWTCCkki=slwCLyY_sC%CtfJ2xcn%haWWpREVmABjKgnVE}YHx?Oa; zhArs7<>HzBOTWWKznG@+RrXf6JzCwL3TZQmAm54v+(0_22Ig`*WxDuw$0lrMPJCe# zZprC3z@Fh#-TS#%c6Y_HX}72ik>+CAd5UGP{cUggCtnuq9U8B;*(|1a6cN~AzyM5B zPASpaS(u9+)iv4kk@nhxexeb~*(~t&cQBw7Tw1(kYru1$tt%^HM4;F@pr(auBOf|v zvyA=9Eo^QFd`-zwkt}Q z>5>5G0GT7XIhgL|B*hmgl5m)G&CO1FX5zX>?XeiVs|QzZjUQZ=Pfh}P_2ANN^8+V> z#J_2hO@bbeh4{CLf_(i_k$P~oX;9Hv%W={Cn3*T?!UK!<4t||XoD?NeBdQx=|I13C zGz95=z1VMvmnY5~o?)B!RCu9DTF(@-xE=i0`kB&(AeRI|rvg`ZEA%TjlIelI0FX@i z@sLR9y&{TL^feC~lC7|1phKT_vu1jvFVOpiE+k6Ilh{b6XZm8dKzWD{AjoD7@McdO zf2H(LUlghr%-8@4X}zXeXFE^y44_#+5dLUUZzWUX^#w>wIaL~odW#_ zUzUd&|7MRe{;hoShZ_HO!b6R<{GsN@=Ap(~{!sJdojlZUxZcH7y0}1|Y6o!kxJ2V7 z=#t=#(hlf_I)xE*C4qR_9}J~c1{VU*is^v0PuDHUagz22=Sc;1g+R5x01!d%zHEn2 z*a<tEx1 zx9Rxn<;A+fRzslPpWAVK{9t)g`D+W*xRYP2Y~tHBD@Je~p5ENMk8juL0kX{}9$zQh zeeXWDittu9U6`Bl9G8{X)q$$I_M4u6?z!tI#hJq|CZP!W{0L`z#U03UlUJvII%V}N z$29*>z4PP0`ay7nXyVm#L7t&K3N7M2a=}Q-L#wO*<*8ilFLuGB&?5f6E_f6xoYVYA zp~5s)zM^&R4x@Vc!noj3ybv{u3u082cETZ#-G>YDopQ+|aG_BHRQwQif85gaJ2HA6 zj3yv*UZW?%#Z|0Wn99EBF^9lB;ReMgu9p9cZ-zcm@_0Ub?#Vb~PX?&7sg~`L1ZoGr zE*i;2GeX(H0R=JG>6s2&FO<+AnRTy_IcGZVF4HmX7R{NC+nLSy>Hpm){iz=dORGKU zZfUJU9aWbDOUtCwSXm9)zCwH?-jUD#QOGUaF$^=(%z6llzhZ(h!)b)G-MF(5YyuP1 zt|;D;t(Yb*A(}%Jl?gf|Ec8QfG53&RAut-XA6c?-jt-FNlB zb1(UMd&yz-C2Y|L6jmQ?rrTuo5lY`7amQ@+@6PI*CLM9iW~+ZYR{ytt(eHTYGOWJ3 zU~cuzA$x2Kpcb;C?CxDITJ%?ruTvlnfG_MyK&9&VdV~6h7_~htW!t)1#n;H~DvS;o zvG&k8z6O|Aiwpq28NH>QK0K2gU+?96SV_P*gqtd#V~(%)-39Dj|3;}}C5QWP zah3@3O0Ey?$+F7TX-OiIi{u@scmNQpRoO^E_od{jY)5jg*J%Udgeti!oh5mHJ~V0n z2C%?R;3~PR)q!-vb#j*=x13E~qWh#uZfeaS_LuE+eCB@BrZ|2j=Z9YltO$`l?1j+f z+v(hw)55ViVxF#;=c&inSo!{7YTEnfo;_%~+4_=-?=Ae6m(M=)qSW|bG49L1@_Rn} zcYVc4Q;*y5Z8%~E7Mr#+$9cmM^SP!TmmyjhzbiP!eCQl8$CNY7;ZO*A1j0FDjwxr| zPP$3+iaBCVQ_c{JHdkFm%rWI`xfD+zFIh*-i#0L&jO#ZxKr+r!?TSat%Et{8j~OX# zPN9mJaTT1-3=@S8;x?&Jb6!-DZHKqo*L}v0&Z=A-RG%lVnb5#6&X8@a&yu zzC$sQ3_#M}c~-XwZ3%*>1d_J@%UAaZ6H=tL3f8)rx%?3R4P68c*8}w^4urZR=R?1T zR}!Dh<+&C)tn2K-k~8{QEE9CJb=tV0#X2a415!Zrjm0(gqIwbMU2pmIWy($ zFA%XQ+(#ZG@o#7m`INsJ`1-6edg8;(a;Ae2Meu)5FObii+bvIt=fpQj@t8n!A|4Zk zetMPCp4$;6(2KdI>X>QE59ydc@q@qO-M=Gxa<0h}P3Dy=?UrMtF{RydfPgONiAopc z5CL7z6CFi%P{)*{S0;^V(?2;+gw`H%{lbadgAnCNo+z3V(Soj3mq<~`^W?{l=ENoN zzs-{3>$+q#CoWl|sxxll>$)TeiIu<;&_ZjuPe;#Lygm9H#WOs>f$bfgCcxJ;Zxff^ zt&Fufty$flLK=hS)jBgc*@rxtvoTXsyeN62!P5Cf8{HOxH=LXcm+p#sm-h1@bODM-;5I1{Nzy5aWV? zZ|XVXqP2m*1t;512`toD1u|2&E&Y=C|9er0{6qY|S2~C}VjAZl<`D>D^tl~Tq`e?! zwsm)B>r8))+@jgmO|R{pGBoWAzvtV(@Xtputx10eU04Z#CJsR&p_bqzYO4dea8&3h zP?+e=LK`j|jX*8Idyd)x4-IZtrT}xPCD$d&M6d&w!LG9z_&_7;fU0 zL<^ks=p~d&)3c{Njo5=Q8aU&F;$dTXc%M@_j%0u2+9^P%SDUiMuOCPXaGx36Law20%N3E08Q1pq4Bx zX=v_(;DBJ+5Q3OJ)e6!cx?u5ZHXB&kW<{zk7X&ht&`%Wk)<{S@0@X_@NiC%G1Crket6$yLaQzGGqk@?2Yp)qkJ! z|FD_&9*192HMYxkGQ*8m0!w?hUWs6BYp>+or17Ww4fe{M>NiZS)lOT!wRyyY{NWG% z@Bhyz12?8!laYlm4|48gt`Y0x6Tgc395ETu?FdLMpBa=W62F+>4uCUJ2;0M|N8Tgh ziwOd|0bNO&lg-8uCb6PKFD3{)2+t9MVE?9y36dYh^xJNT0ar}-Lh!I!X%#e96pzy{ zMxgu<+yjbIuQ;lTRAX4<8{?ItdgL49QPIzJ(_3Ut%P!pC|I=NZtk1S?ZQfv<43D2c z`U8c=Ih*>*XH)G#zAZH~ZTTTJ^Te-t{5Spba0_)Iuh`hKcp*W8YHDVL8V%r55gemN z1BnuRGbpa@61a<6DP%PRunQe22o5lwWi@kD!%2?B6&ECqx6!SU zF*UC_SsSXlassu+Inyr#->cgvDaTM(@ky4sx)T&ei`7lJ9htluP6-==s#Hs_A*rFg zX3JBR8$q(C^1@_0d0|LFWO^i^8cymUVlF7>X2=^|wOmQlP|oczF4_>=$Y$9~|w(OIeI*{a9wg2T3`qc$LvtKPuc z3)?CM0o}F5(K#puWVS^^WUD8#dW^{`rTiSKC#%Q(dO-&ep({dsty70!R*xIZV8O*e zneDeW`8fo$7Y2q&YGw%T0J>z>b`wDW+{e#^Um%QyT?*lJ>Yxqf@${oTD*ch?uItJ2<{g&dT`ecdt=qLuMoM=hP&Va?7Lb9J^+8vTnufv z3&K<5$O@1iSiLx3HEnniJgmrhO~FNvm_cl~OYYWaKcytn{Ts!(*;hEp3iW=#^f>8A z1VUZ+_u|&|9hR)_wV_4NGAS`5-Gvtv6KHr z&(Se9AK;}^gq7?yrSFoJ)16*(q$_OoNFV{UmDw85uh5!Jsr*<<|q#xjd7EYrAj27Wu}?{W3Q!^$Unzcu@dZ>45BJFlOna7R z67U8NmedAix(DJ$V7nlxRlV zARF!}_}?)5L!T&>V&nl3#+*k#e;)mGGd(R4+l!GWq~d8eCZ^(B6C>*%#05!(Zr!WUEsY>!6Bh)M7HBY*+ZKo=8bQV;MG(bM9GZfq3%FbiV-pudn+%EI zpm$cDsI#wd)r?JCuyA7lNxW;%QPiVL?ho6wBvOHLHf{`G^5Ik&^?>LHei+hU`ft|< z9%a9)jq0fDv<(IQznjYF$<)+Bp4J;sVe^2L(;^kIo+;9hhO&q>1de;U3bO}wjx-{i zc+?_|X}72~19Q3WJmtPW{`K$tbw3nDdYW_fG@oT)k&BZ?NhA)I&c)~y-%01TaQfFc~=c+UzXk#$_gJ{YU0-y1Du*cR#yGL#9pf*tfnvtp&= zz~&Wjp!&Y{^TT!am_40F)NL_J@xA z(U_j$@W1;AA5hphJwUMaMzl&V$1&pp?+y<*?H09)e-04N6CixepZoD2{`xSU8m+at zHYhn_?KIb>2z*ZwHMusx0K|BLKzk(DW=z|_^rNGm#xqvTxwbM*VT+!4Z6^r9oNL1j zMMBtcj=P;1&k)SHw!@m8K@`L(YHSjMIoAfXAf_oX*S7AuHiedRZD7_Rn;iugz)jW{ zQ{~!ljx^lE2|>XD*r}itvG&yAMkW;GA4rlZF3Ay13V=9Y{!Y#L(lB~M7F}CJ%!;sp6B!YFW`D}DdJHmMcA`Eo9Q`^N7w7+7cQTF6;_ z%sGF|XxUem$8$mQAE+RVhXU6^P%uC?O{fsopdUb5@A8T?)4n3wZaKb!hemsJb7-1r z7X(j z2~IkCzC~yRwc*TQwdh?DT`B+NF%grUCmkswje^J59L+%M3E9f2* zofs7!%BBt_K2_Qpx@{xpUWb7eDrjSwY$NZryG+>3%bme9;`qF>1if$#=zq~w*8mRZ zu}rr(mZ`&!opKn+%1YEBQ!SR$r&ihvFgr(&u5&c)7R@<~+ksO)@r`eM;{OPueZ@6- z4#Tm1=-@xVvfzDOta{)_K_fWX2zY;C(#hQ5B=)uj{0XtX&Nb4(K+{%j0oBwTMhJ>? zWP)bUnIG8pm=47Dx**7-6y3A#jJiKo6w~X6-lNY#G7eiM!Oh$NVtGvv*Fu^+92!2~ z4f#+?4X=C<%XBVwC|Olk+!!msAQ4Jfx%f0CX;4cauS3r4P#>}EP;<)u?o#%qltw&~ z+1%ZZx%K&r z=6Nx97-82OI;ZSWOE4OqVnE~2RmvWz5)$Xaf`SX7tyEMg``xBv#)6V0RjYr=I%U7# zDbuEv-ehqqpa3tiD{`;wv1iII45WUIDm&1jR7R4|p0$#t`lUqOa zhCWOpfEX(zKTpRe*h?~ENqhK&si3N9%eRJ_psKF>13&ccs0(Y6-V@GNvd=s*d&%o% zf{_YTwFN6AO~TMDQyLjx5j9-)H$ZTUsq~^9>?@cpDlr#KJTatXuhE;-VJ--5gA_N+ z7Vx0L3Y-z9RADX%SeQYe79d<}4owZ_g1cq65M2k@SC3YO3d{vJ%OysLLe(91d$6?A zAlO&97%?(Matz-hmpn-gYP7+Eky~3W>Ot?RWuWV-J;ga%n+{gK)C+GfAidDKNvIBKnjz}p7>{u-mGm=Hr0vyt#8w_sV>bR zJHVBXnd+m4wKCPm3~M&kM~z@M)kkcgwo4WRe85CfHPwsbraF2&7+F_84kEJzMHu``=?0RF63Xnhu*7pL|9C8{Z6lqEz3O!W${Jbnfu@sO|8W zE$wV+pMU$a1$y<-i^BSvKlQJD*;kHDIfd0krA?qvD*+;BsErgF4U=QBE(q)wYa>l_ ztcw*IO>n>28iT>lt0||}4n@Qi0O+u^`G>4fSX&^!q?MD*3@z|YGOzZ-K6V>ZPLEF{ zl|r!H$RiU;n<=Nr0_Z5R{Q~*Y_S)$S`4#N}loM(>r>Ku#Y1AK4m%!%#=!T8Epg~t> zB1T<^;a90sAyc~7CPMuoCqjj$Iw&eVG~rafxdc?oKIAk)9CzGhnJ1m{l}i~?q)hSL z1$&-sr-m-(zG73m!MwlgJ}0alZjd3J2CeC3NN1JpmH$L!3|MBOg zp;cmQJX7yhA|bv(d(haE?34uJd*8_Q?fp=-iQM8^3a>no{b)?Hvz?jhHrcR z5W{~z#{}fwE?azdW%2^Z4J>tYF`}c~!@`+K?jO_7k+QEn2x==oG!`VIJ6w%!DSguU zsw-%C!LRR84(~yk1DlV!d4XInF)+P3c;%E7$TiUTy;1TNG&~^JOU$sT)*LnVVbUj# zH0KWfpPJI?`G@coF2UU-TfUV4)g@2GL5Be!`oy)5;-3MEUxElQnznbG0F`W<&YBM! zhmlekX_aDilaUoldRS~w;JZGT zir!X^Ge*+2TVk|CEtqquhy99WPYABjINJ#3vL_-zEu@mFM{yQ*RwyY}xm2A}$YvMf zd+I~yTxwKYQ73Fy%(+yX_sl}-#!N^YI+s0*GTkiKHtsnD>$2ybvzu9>1Yo8;Nr~{{ zCqF1C_pst8rOgEN7QJNP7OfV#KWmvE^z9wdHYm4mx#DMJ$ zmp8;EH{>ajE9y}Et&TpbphY2GMgNL!kIWzJsn$j<>LQO0N+nLubhYP*aToBvr*r*P zbaPU@qKcF1f-bG&k*2wY+6k`|Cg|chn1@2c^6YM)tL@P~wf;I)S5I4hNLPRLSAY5b zwV|sOlT`Z1u_zx=k25^w{P2`%(+KacWVEZZ6HQQcbY=Y&ye&;?ug=KwLz?tA ze&*Hx`m=!`(BS~?_4;IzTNnnjSg~Jy^%&I}^=(w_j>D+`cle zw+MP1zXg#c6=YtKn$!i4PK}`^ErQ3U#!!>GAbP=wfQC1TW4DJEP3n^9hDLe=Iu{%- zK-AYKDPFHj9-TxZn$#takD{TSbIIeQXlUqM5~Y;$kD^h3)EH&x0*XVZ|Jqg+!dFZ} zaAnP>jcS6b^6vF=ENe5kSiUo6xu>N(AkiME(1PgL#`> zdg5Hj5Q}_0QcEb382f-VDTxMwcFlZy%5ZzI;z-i5TC=)8-I@tKIzhlmwrl1agkO=V zQ5+q=Lho0~cL>dfOsV18SIidHPm!d6{EC2-P?{MG8(y@}8xr~PD{*EBj*j!(9gJos zet9We`dJnr$mb#=77STziCs?1SFfzO37I#~Yj=o2b5@*TLu$L~AIxf>2 z+f=?YzbSiJ>Kq*(y~`u!|8zCy4iC@l@@V(I?&Z~q{*CpfT;SEN*K*f5gcR+g zPE!UEwG0ffiwxpQ$`v^wDEXRH{E!@3+5y#uNA!CzIDKD*dr!|>%(ngl{Kaese zoiGHdl&5S*d8WhpZqxC>K!Zm&?=LB@xM1v1VK(^M$8rdBaWt>?Jn?v!w8Fjn*h2Bg zgWz@(`-124^wN;MB&Ygl6Jl^W4X!6gmT&zFmWJN`F~90>eIRV3M%!(=aWc!u7Q?%t zeVIi>JO~|-6L0*PEt+>ya0sI6)Fubz{#Y^%X-m!!f*>jnTFCKanwExq#Yi^}5S&!$ z#x+uXTyYDF-yXV3Hy&d`$>~P?JlFG z9DEQB9l!ag-c?O`VsS>5-g(oFp&wmyUJh&3th4#KQJ&4_urAFA{yE+F^CR6jN4s|y z?V6%G(HhOs?s=l!!!P=nPaYk^iozI(J!w|Eh4hby(a>nu%_?cbRB)_-sO~>aKZ8~xiQ?q~zQ(*^T*{&%dBARMe9JJ^F?Fk8KzL?sggVRicuER(HaoI7(X900_|PwoPT;K6IBjC}%tXCB*IX-yyZ5}5l10&Jatz=?y zP9mka67*7@KO4xSP(6AYCDLarXk5JfdBvP-itHJfA6vnkYKr99W{-kny<$!VB95@! z8GsAzidCWs*NPAdX|Byu#yI42tdoI0;11b12?#4m;w${R4Ty)Z41+A{^bta%oD4if zI<7OYvMoXalIrf1bX@N?jw;d;T&OZj9Ym4lnLw|k!BU18+rM~%=u{BflmKXoOhiiK zLDCE_eiY!v_K=Ub)jgFuM?QBK`AoY-ty!8QpYudMf9U%*pZ47Wom32_n|8-CTMdo; z{(br?8V?sdtT3EO(Ce_=3iz|HU}k9Ai(nxkS%cG0-1QKoX?Hc?h!lb} z?JkJ&jm`_$18Ud`(zLr^3}HNAf^2hWnsygFfF@dTJPS-&6dxcCP1EjzD8*sMD=R|? z{M7RaK{4$viNJ?koP@dSP=ksGjHcZsw+k{!izN9-q?phV7QLXsrfGLc%%)NrMO3;g7rIviJ)28Gt zqDY0BTX{{ubBSMfA4RHWligVGvp1)XWU#mJR0m^f%rRnb#VX2l*_7?k;~si+itQNkc$XwR`x?52bd zqGRi0SN%&x2?N2>^lgnQOlpv#|H=mqj)I**x9eJy{@Y+km31jUtLUj!{ZMo9sa-j~ z8Tv%&;~kqF2f;>3Tst_EwvbM(#7Y45fR@g*1E{BVS-ptHJBYP+}UT=0O|Gs4QhLn2afBq2!48-jZ)(=1mqZZNah)AANU zOb^x-G5xJQG%c?SqThgS1d2%*sLQl!UQsNsOYU(w5`1mpy45E+YEQ+~q0d&#QP{pd z3*(SUZERR;?C#c#>d|V-_alME%)xkCKd=Pc$&&H~O_o%c8BVvP_6U!t={Y$$oh|3E zxcB1ao8R!(i|3ws@j7MB0k8L_#$VHJ(QHYl-=gKDTeMs-hFyNi=ltk@@ChTcZWDRp z-YRg$`e8AwQ~OktX|<*og5nz+SrD4FozTdOWg$pQ>MI7J5i+DSXPGQWQWv&jA~fnx zrlln4jS-?@klLCCIv zeRb)f_tk?-!0wB~F(m5Uix34*Vz?Q8l+wZY;3~mILM}e}9)%n6!PTw-nBXAJkI0Yt zc(D%8@>pn&oN#*DFEG21*k1Uy$h5T2rpDZQ(=WD2?@M-nyWaI^xs=qyCGq$O0vV() zm@i$wYSPhR&(#HHGjYrZj*cb~&2q;hr&NTtCgy->?ivMm>-b5M>4CohD-9_j@Ni;0 z6|?d2AU*Y@M&Z6@w@@4sSh@N~PY?VB8fcVs25Z78Zq`f>{Dncu`3ieOaXQcRz+a%5 zg)b4ZB!G4Gu07wQ?Q##lTGT?<8yGE#Q#-^3rhmxz8M&?CBBMZ7W@(%LArN$9%hi}}W(IqF{&>PZKNjC_i_LuFnKh8Jb|MlTwQ&A^vL@~w%%XaL)rumOeeZ0ITb6!3Q-NzOl z&eBJOP5jnn6H9G!!jg+^IGopOHusMntaAC^^!#(ry-cd|%V#Z8c+<0Qd*=6mxt#yE zjF7AddPFfMR~CHv#b+*Gdj93-U$}fANAjgFpQYDj$GK!M(mTd=yFQn9l{n_@&%E;; zaShmdkVa!af0@5~{xW~Z{rr*!b}L_1d+|gik6SL1TQ9$G`TQH@dgRi|C0(2GU0le8 z^aHW-LzMO7*Jg}W)LIer^Bj{a3l9CXT$*QX_kG0W^SPp0#><_Laq6E@;U~X^BNnk!9mBEFVSQ)FTnN~iE(0N5~3)2>_? zjaR~i%68aHiOSQa7+klR_fbt=;aMzJud-{`*|f?1u5-3=dMfMe*+$bMVdvy_wl;3g zHcr1qr(_#H_CNps{_+Q26WK=TLGrqtHBZ1Sp}&aHFlRis4OS8gcoQ}lPhd@8$iU>Q zXq0G&lIY$9fmUS30Qm~QRunN4f)Z=_iXagY`vgc{uVqVu3KO&mZ*ZLv^|a?G(U%{( zTjG0G+15V6EDkMUh94T*NWeNkw;&C}a-0iJvUqB{9$Y5Aab%mc&L(!m1cn0jurrmU zA^dztaEsFn`GFk1Qns(59&Z+x&4)66?xOl%_(2k{>JRBjA3Q#22T?GS|By(c!Hx9a z^}-NWcz*Va9xXs_lDjAaaM=aYTT=ooJ39DNKt;^^4d1GL)RbP{&r|1pGeNWLb9|=9 z_W~@-Ob>znVY%$+*~O!QD@xDr#U6v!_4!41sD3P`KSaodq=yJw?L#!2?P>0_JQk45 z4-q%hLxiQPhlty^{SY0=&oSMWPa%$C1ZTewLILTD57VmVgC$t>cNa zCh>v1SCxlCA`TeF$U_N*O3s7vtqQM?d9R#DV&bmKBSE0brsU#koOGX?#~Hq+^m@*AjE=FWYH@A>p!{if)XRmg*zQUgE;#0s%62HX+uB&7!Xs)3@F zA$L;LBwc zwFX4JxoS;*nB?&}cnO)~6)3FPn}dh+L#i%=t#`$aTEt|FJ}yYDjGyOfsjb9tWQ<$b zFKE<|tHC{KkZ!iPYWfQ%#Stl$8Mh71RfMUHPkBLpj&I_VE@Dipwvn?Rz@CV(e090A z%t!3s81{jh&rE=EMgoi{9#;ldeL@joWkfT6H zmTyfKtIwbM#sBiBef~-6R=IclJvBl$d!Yj&40YSW_im(aH~Y1!G9*8dl&=-IBxYQf zY`5THqt*dZwuRqHF5U!zP<7-lX$R^RB`4w+qfM!Bl98ir51rL*nZAeNgy4g%SFF_S z&XK>UlrLK-qUM_YEDQ1%V+woF&a7^sIe|-tvIn%%NU-DPH0pN23^ISBol$VR8HFz&Iwg%@r{AP#C%Cj+r1A3?|G4oT)~T`qTxDhaVt4 zwB}P?`qU*co(@b#rC=guQvpnJt-dH_OTYkvh{GLDmUwzMKeO!TlXsX4d zdrQ2apTveeBV%r~`u3GY_bzzoHk{@H)b#QoVUjMgWRx=n}buW=Tbkf?;P|J7bFxSF1cUup!hKl9Y-`!;U~%J{*+8MMa5-fVf{65MV zcRB3&TF`$${;%&Ki2i{(sW4o%EsYe0-uFl8UWJ+*-nVp8EYXVq_BnehPoYtIU4ykqYr}|gF6x^`#$jKX z@-Bj#Et685gNmWrc?7QEx*BFHtL&v zXew_PJlY0F1|8k(% zfUXBG?((CT81S*L6@3{`smc1~AAJ^r&<<2e_X__sJTTM6{BLO6p-+@bZGEnM{Vx-g z>DdNH#)_3Lz63hVC_jnP2w=bZ1^6U5NfkBwm0M`PAy_j#-4`fmuwjAk%Tih!sx{N& zeNnuf!(wfT)U;-LzAwNI%YjB*bJd&JA)R=Bo?EnmO2QY_KH;{`M;BOEku1YK;FD`5 zGLD{S!-7o%S!PLeFC1HtB+~uBDdPz;)Z)j)(Ios!k3FRGk>I9Vgsl z1nEv>1i|?t(OG^!GJ;f{9H989kI(HmzI0T?rZjeF-D$N(zXeTt*+j2K(v@h=5L)y? z!GX=v*a5c?OMz=7T9LUJ$VSpX96eZ7_ME#pW=0OlUy)0LIjfnGBk`mV6ZNy-`xP&L zTqK+{`{yx>VHO3 zf51fPyZN(!A6sZPDdrpT+A0%fRR*{&xp?(}y4csdtu&6E?#S|O9fK8r#4u#kmMtp@lVq>!i*d7c*VisCH;zK;`W&AN)0s z*SYuAbs9jAFa-Ef{o{k`ZR>=_`ZyWWEp0N`bU1g=vxlNnwU;hhN^Sdjqpnx%pD(&HLAGK612mCmkB)s<;vYRhS^# zaeSJ|*wP;-s>sj-+0&n@IXsT4R-j!>e~j$pOWQ z5pZ;-b_>jlg+j>O7QFi|xJAb&-bx5=&}PyIN_42r?~iR4BrBvK62Mv>3jPmjjJ)lMJJVp!h~h9U_%6^*n9fN8}O_m-f# zv#Zoe$?&fkf)Esi=Z#Am1i)4X-Z=Xpb(5 zrL`~>ORC{DNf>5?Bd7?O-6Of_>~FarFdv3 zgK7rQ#q?q@Hd4UDm1Mp@yB*4Ma-OR`!G0b?fHX9GEICgD|{%v6n*^|2hCetpiLR>G-I7RRNe5L(=|y`Q!Kv3#|S;9)TgVlQe)( zY=L&9WOg77n*S)gOpVX%F+bH^nXo+V=R-6AzvbDl``;#Hc0jTz2^;ig9nb7IPxS`n ze^G9chsl{8;`l|k0W>1inUP+3>PbE>w%cQ{yX9tpf1q1g_**5l5Hymr2*jF$05s1>gaA8hO&RKeyBVLJ^nthogFm zV<}NdET?&VckI~jb|dZSI?5IH_v%CAX3ZdYY<{ zpoD0Dp-KTqIdU_g{;S&qnsP0LE}1@P&a*O*I>;IZQb@?N=yV+_u}BS;o13aGv_Xdw=3reDV(l6X^kyRG_kHOTk-*X(T8AcCM=gH4C5nzvTtFxxZA|Uq-Q!vzbwLD8928Fs)7gjx z77UBVi3Knw0C-Dgx}X$Q6~;MI!}&QP$HbZ*kgB^Sim&y>P{S3$ZfgUpwg|@?L25V` z-0i6WxgyGmstV)KqTyT;DKX<}1GStg_9V&2)Fm<9n%qiQ6SaZ%;1#ie;l?P**^~A4 z$iwYx?lG}R>kX%q0EpJRGOFRCwe(;6QI6`!&Z3}O$xdYzUe2e{qElq_R42Fo&qTwg zrL$VxDV>ti0CpeADkQf~SEu$w-2wpt}y#iaBAcjeoWqyI^*b1IFm?)v+!Y42mS1qktxaU^ve4 z^sqDD$V}4Fdza-O;{WAWoS);H_$06SE6tZUVlaja*%t>2hTPzp5#hkR==>TPBcgbvD3+ALP0Pgg`Vd@rZ zIdo)Lb#$~}%oa32D!A;-ZpXz`Nn6kWkt-3$J{7vnzZ-YP5nknms z=KrlCf&fM8{pOO=@HGLuX6G8S&|7OC^ED^gZuOlTqzx^weqou7p-JH?(5u_3l{QqP zZCp=2uL5_{x#|%>`xggaFcmSuYWRnkQvD124gdpA$aRr#5Gl~ z3zp$};0%%CUp{n3<8b1JCVjvO(grx9dVNWh;1ul*Z7DV^FIk~+&?wB_V$|TUc>9yL za7g9n-GKYQCz(UL;7i$dy(_QakYH*t3YHxklIt5T2|~Z3QUN3r?SE7l704ced* zq3M28{MEJRJETKiX!FqJ+XIc}TeQJc6a<3gg9Ns_iPhMy{SYW_<1x)s<_YqWeDz?cTENov~T zeLSLo6TTAo%!(jR^mVQt^0ABRA^K|2sFU^wzO;%7AyDHxxgGn15RaZ=Ay@;H2hB98 z@dyId{<59+7d&a&|8N>XpOiOaIcaMu3Hc`;?-Dc*d~Nu3Q}wgi#J+s6XV}pUJJODb zIf6Y;1iOCvr~lg9#@wg`YF1*~Yyq>OMi2=!4cku!{H>gWMa&m&)Uh0Fa-;fL-E5^n zSv1v+qCX}c9P8e-ivZ$49lwxmG|J|ryr(_wTk~w9(btByW(tC@R-2KND?uIWCK@mR zr9;A0G~;tilDVq{B)-Pc3lMhCl}MTs9>kg)Ep)grsi?UgiD3Qc=EPBQDcFj98!I;| zHTQKA>sFH4&5iCpILW2C&ftar+S-DX_IjAGETFQK)>gd%i+8*E!;+Nl` zsr{%M1vzpvGxJWUMSa&RHwwqLW}?-?di3KSWzJ*N4|e!*K1GLt`BvP1igNlaSrw`&Hyp;E z+Vv>>qRb$lC<@S-yIJjDxLr;Aw6;ShFQ(V!()K&UvpA{WS%{01+XFYQBI4DK<AQ79@^S-k<6qj^DPAQ}1TCD=BQaUHElT zCl_`XFyA(t_-Ub7X1D8w+3lL$uD61^*M{Q`&cRwPrp&?8AxppchyUtly)g<0N>|{8 z11AqLnh{X8U_zld;1SL&jEkKmpvyF2Et}P%5%W2^$TXr zw9Z^OVDbs2rx=dV^Ll9J!wEPFhD4=+KD;ZF``E%%_2L8&D!ILZ)wlI-t5z^=`Jr0D zm;B88pZs4!+iHcxwQZ^I`50Ubq_$DrUP@7I>w+-`cc7ljyHEs`{0Y9_dey7G<}xjc z57(2MGludNbK%$6Gvgd{nbs@<`hSE1>iRNm8H2l7u#c5-QLIS6)(;(Ha8br)sFiEZ zb(t2En*{ZKK+T|S*O6L*uNh--0o`hQilkQHk}(DsWHUX&*!@+DE$t{0ZOj>W_VCT&+u03;{IMdj0M;YW)~Yr&8-qr#=MJ z$!a5-Fn8=MsufID>mXcYath~&;TEkX%4!|Fh>FtR0M?>KOTX83%VgpE!psUwjD=uU z>qmh!gNVw6Hf=~30m z2UVvzCC9IHpPY?L!b7MX-NAEmwsiu7{6i^fbGea%E-v>>hw~`V;n-_?TTb<~<%gW= zpS}7If9~tYnC6*RMABcnG_4EKY0oW%A(_V|I8lTb9)cL1tN_FilnkZ|D#`(Zo$}ab za(0PoToBwgQs-gOMP0^NQB1B0VkD;$6awKV!vph*)V{VGdg*PxBe?6W4?R{CvurDp z2~7(asJ*KS3PEb$vf_ej94Xcv7{64jg`j9(mqgnq>F^;5P1s(IXkV9vFKc73QMS_# z+O#H9#V!f#BhaH<6PTX*H@~r_OggS#z)+o5PePO(;{Q!iVzSKLv?sJ`F{ww4u>h%X z0aqYs276cv&?N#-ZXK9YKqr=V0I_|A=72!u2g-Ihem%A^lsVuSri?&?=8&pZ+8-H& zhhiJU`EJwsllS&G{Dt;AjxRkQ7Wci+&qL3Xjp-YfLqJG!(Q+r5q#$Qw^qgw}-BR zq@b!rBxE?6& zb6PLZgUl!_m8c{ON?31#n>{&eDY!*rVY8xy^(MIM_6vaq?$L;-C9t>{iOKxXE5s+n z`*A#t;{8HU!g>=t0Jf+rE*z2>f*RJBle9saVCfUGynHnp)|(`F{4h5Tmjmu8qb~O~ zM=dt(nA%bU99~%}6YR0^os!n6Tpgq9zh!f6|6-6pVhP+H%QsgTx_g~LbwU2UsZ8w0 zRgRnJd4Ak!tm5KPrZ`=aZxN?U)5{IwbbX9ZjngNXbq0>EZ$_4HV@&oDzUE#3<(tOG zDrnt>BqUlY&WL2{JBL^YTgkxK0RECL7;Gg2lUu0oTyVRkD^HLyR4#**d}!*sB3LGC zt}$$?5v0CzLCo$2!xL9rp#YN#Eh zQouvqD3t;pYDUQlc%T<$l5$BJp-H^RH$}7Xga6m)6aQ!b+kBmlV2lwIR88f*9vA(6 zAikpi?OQqijZ&%mqpLk;7N~P-L^R6Db(4aSyKZlOlMLc>(7SmoKU%*gv#&u#Gn6|?-|2M7_b+~iX|#1@X0d5-AAE48^?#3_ubp4pvw$N$ml_QJe&PVfyaGNwh0ULd90ZAb}(DB;@c0_et|( zB%$Jm-flP@7m>&aP6ApAG)_b+>~#=PzC4;x@#}+{k4mD!wmo)@lK}BQq8lPAx`-1g zz&K6auYZSwc0}Y zlwM_&NCZVuYr{B3I>eN`bJb-E2r|It_zHM16UwnDgn~&m|rQ8_@R|h z41!B=VKkSgw&GBGb0}@xk$;q(1hiiBPql3gO&%P zPQarFUO0t*q@(BCV}K6&6QFr+kDl+(afe!tdLDbB9;=R?Zx9&o$!~!*N#NP8neWhk zg{ML!foZ9cqz~<*h`W#m42sV}UqZj=WByVP1B4SQ(pxfeZ^ZwqsN>$lF&gHgh#W$E z@)iAWeAD-dQr+A`ZaS6Lq(E%ak`E&;8>$K*IM0Ges=ZDJ6efra@s!6XF;8$i0)7yD z0U?q0N3rHC<_nx}hKQ0fvPwUofvAG0%61%I5)82^0Mx=faTl9Vg6C%#?0vhb%;mJ@ zTU*M~(R)7RQ@-KpD3xoN?WJ-Hx-kdV2g0J{p+#d)!KfW@!NUHFaYlle%cYU3bc6zq zVCq3w+hIfG15sAw*j6p{>^t<1IdeHUm^x=J2j^1f(oxJ$?eJ+%R!8#A@+FlIs#&af zq8mLUPMi1K6@mY4zD^6@3Nh|Ll(bv`fmdP%grBR5GDhuOy!a?qRp@hQs;WC&idh+a z&Ic&o%uY>4u-!?~K%jcjWjpQPV%cSqbl$&F6b+Ugieg1*C?Oq-&7HTfDYT;45lxcM zzbCVqPKSlVP&Bw$x0%YE5LZ!q_-i?$$NCh_H~#3`Ej>hkwn!c)umoz(sgjED%}o&O4rJED7Oy(8QiFI`fjf5RHA;L zZ4+s4&tb8JZm&#_SOzajS^xU^PN6;NBg%A6Qa$tb=N8UOHB4W1apN5J z-LzZO+PgVPbv;R{zyHgB{C9rU7_sXhUu%X{9Eb21IXHPO5W60c9uj2mC_nxX18jwB z8>WEGxVB*m*oWUK5}!FpEi=qx-xnG znyjzsgh8Hv4vQ<9ShJr=R@ut!gFy9zWjnczb;Pfi$K5WF?ooglue|vAFRLT=!ZYuFW#JAMHtxk&FI~L-*)fXIYxmKn-J;n?o9;%R!vg!p z&wSzE9Zw)*wkWc=82f`>A|hIHUbylC$^g`!mV)%U1AFfb_}hJl2fp$FkQ=uKfG!3< zy8wd_{3?N5CZ+Zu@N)t=CZ(Paz*i%5*#P;J^u6W;vK|-Yd7k+wmeM}lB(2_@K%NuG z&-|$cGajqO=e_ft#p<$B^{0RSAAiZWk0y|Hf(DuLz&G7w9;s+N@puYe)4ee(MdFc) z#s$$W#kt7jwIkC|P1%qWl)>$A0%2Vvm=nk-t#c*^`iD?e(_WuAbWR{24`=|8@C8|M zExUzaP9URK3ZzexMb&v_Ift7Q$S9I2uhMDFAs+e1OiZHQ!@P&2eeR(Pv)ZhRTle}V zK}H5uKDnOpzs=Xx;vF3Sy)x0^!Mz?VKmYOhqREDi5J#m{YQ}UBp#Nn%R!X!l_6ku2 zP6b(m4zWc_v@d|rqz&YJC`{_Bu07UdKxQ|0f#kg+RSpMCV`(MRQ;ef;*ief#_xOLU zWO|Gj80$t_4HN`Wn(auY=Xi10q5z^#-AbO3%%&?fG(XQh7#{^F)0@0cPx7L0KAtd$ zt}d=jd+sjk=MJ0sxx;3D?yz}{RuQYU&mI5CgVes~?&9H}yJy?5u{?Ov?0|bw3XYnT z&*5WBo;5=`a@M|$hB1PKb-^GX>&!I4&%b>Ch38_b#oM0yeDNT#1bm3{@)SXjTQ8BDygEIUDXV8WdNDunrSJXuFN;nJ zRi%!%F~y2>rE#hE)uNOBk0IK9wdkb&pV(=m0G?(fLTkhS)RRg3<9m!BRqekOzbI?} z?8O{U2c1%iIo7Lo#iaYaRFAyRX@{Xy+2^SFejn8`_^5@V%TRoo0Vp8ZK624`mm0?ZmX0@ZGG_^U29R?7bwz(eyz^*<(Hnhc<23>?>~m~tft+f zHaNbmTg2naebM+_?pFW9)V)RAmxXtW?VsnJF8jW4|KgRf;MhtTW%wu1 znNR%qXa5gBA1x96rrAbxPDn5y(gvst4kjjWwmgQ}G!JGXD${(x|1lGRt_&H8o}>J1 zng>%B*)$)K5tZC15*FxBU|QB5L4>(gg5kK-BOnD^K}U&yT~#(^?sp1}+Bx8D zDObjfr_gX@w3U{ABQzsGt_lrhfJ#1o9U8Jr0tutY1)yp2oGu9gDnw|fP-PFXm}$Tp z9>JRo3-u@TF1E_I`zc5&+6z}Kq4g`H9^qKyepCTjf2c>>GI9CVbTT6QyC3)0zxJPg z*y~=0AT_j38<7>YPjcZ_vm|fr2>_Q(N$(DrhgC;AS?v#U24}SoptDZsWtLaF!Tna$ zz+?nsfaO9{orrX54xQD$$R{IA;m6C@fLt1M{ zDz#tYODOg3xU9GVr13a$_JJt#29P~bNtT=;0~pn1_cB``(!+@_5L-24U<5!(h2C?X zKwIy@?gdFOH^S8(RbK{Dhq{NKkb_Xl_8H?+;;0s*xr%hv=zp2EP8pb#w%%f>baQ93 zrCjVVL0X=wBjDj}{UcjSq^+0w5Kyu$fMiRFRQMX8edgAz99&uSWGVgVcnB~MqO)dt zs26xZY5SRGICiMDMcvt3gH$+(JmtYc(`x6pr^kAME=abu3S_0@!$~@xG zT(930!DYE5^7N<<$zy#4yLgtI_E;nNoXW!T)F9uSNieI&8sCjS)>zZ5ysbC-SVvae z_sEYm6W|xAFvRVvJk|qQ@eZ_H=mUls2E}tsr<%WHdSBb4wUM*tifOZU5B)>X(#dXrFRW|YM)^j(^itiR%$EZnGJn1^* zz4sx^G&nY0n43~;C@XK}w)ke{CY5A&0n3MbMPIBKg(Bzy_Ly8*up8lf-o{cmJPwlu zcRI(oY*)z%c>YH~`T{g7hpdrigE@oC0cr#31fHnyf5Z`Aym;~D7ccI=RgzMY?8n%UvvM!+WAxwrO{T(w4N*UHQxIyw>ju zef}T%@BWvM`?Aqgk5B0uow_(QOfmcXgEJDd&tG~B;Zk)#CrM{Ku~%+#nUUKW&zsyM z(tXcU7jI$eVsiX5LIL;$^^@$c0~F*RD-3*zRW35|L|QgGYVM}#+TL+!TW2_2JZSze z;)=dc7Hhb|YGaO>F83{TN~=L?9b`Y>_V6j%)^xtPsTW*4)|8G(FLpapq;drM^Ci^f z6Z0h$%{aU%*&bSriOW+oFHhC{6`%X}K6e+YS;5_&j`8M}-Daume8dxLKH9!Qpi6hn z1%b3;2M{=S!DUX^QwAPWHM?Ny`2y;y5#-Hs!64^FaM-R$)$D?0$ioig-!0~uchCg^ zFa&Z8PB_@n5t!EJ$aG8*RK6hyZjW0gc=C^7-0Ffr3xX?yE3U!Z(FjU9#w7vA;t&ij zxfB3dUQ+tRT@uv{G-yK-VZIEGtR$IRaLL^o=^#e9J8&n~N1qnqdVH(O(NfyyarjV0 zHL5G?x1O()hOP27RajdGwO0yhU3<2Fp*m4hEETb{8C;@_y2#q8Y+H3ZBrPRelmNs@pejLqUFWwSz@!BJo;+SN6a0P2VR<4dbF_ zo{jU$np1c-k{_I2ZYXwXmMx0C-khL!ui_S8nhlCv(B-M6NG#RI^A&e!$f5B(95Ag<%&e_}$dKV#Sy9^>SkGHp*h|sLl;##&%8PnwJx;ar19`qEFHXWz zis%0>vwdSSu^%Oeo2ux3+0{bXbqx>!fNr>jR(ACZY28;Y8KE(|Z-fUwuSgf52p$fKjNk2-d*+m~ zU{zsQ!XuUBJ@9lx6^7mJh*XYb`8hABUXd!y4~=xv9(s1!&UgU z!#QcPPW(dr(CPy>VwW=tt>MzfCv~T9rD)KWT8rAa3&*r(qXwIGWl069nJj6?R{~vk zW%|T7sCTGrT{BpKRf?*)STW^cI%PYVP9eAs*8$e?JW5%dZ*Hyz9P=y$zj*R-I(m8B zqOt}9g4*+&?55@IX&-alb8=aA+VXv6f%p92%OCoN=sP}0=Y+@Yx+P3+Z1cg;Gc*Zn z@L^wBS=9v(Xv7i5YE%U+?g~M=XfC)vf-aFtZ;!mQ;$lOPO3wuWv{jfft~s4Q1kWKz zrB?*^Yw%Yvz+XX6HiGodToAZ1B{dNmOvpdZ*I@Pthez6*UhQbGIRk!slhdZ%qSgw`8Sv}LfWQ2GZ~BzCjRTYl z;h}epn(b}?O2Gcm*#|RX52lBf)Lru+d|t0*Fj$TXs_hWu9@_rF)3=UiiZi-0VVZ>~$oq+l zxrAYtP$bzo$<z&f%69Vp-r&AgeiB#3R!B!3B{74Ze4PZ2ULTh9cD2}9OjafRikRP$k^!i%Cywlq7;pGL`8YDQM72Q z>K++z{G6#l)%^7I7WyCR@5VDV2^5sxVjNrYI1UP`3MDnzCa2^51)F1_V0M?TFK-j9 zTk)pw@LW6TyXl&dTQtW&H$(dVlmB4xv%huBC=Z&@grflXXTUP1*LgY$2xt%{GGWH{ z@Zc-sRHJ~9YlP*bAQ+BXd)I4}5dp1Q{75#+M%s)O0r_BP6Y!ByyI9Pcy6H^=Svctv zz#G|8mSw|QrnO$}!o^Jbeoky7-dc(c1mhG*KPjptW0)XNFox+{0uZXr*)}q#DTQKf zWtYz962%U7Jzw5$KHJ6)TO)1q<4hy?JHGr^zw&jXwh=Hu;qvQ?Lo3^;acF;dS=mNp z>10JfX*;mRDqsb3uSSh1^0k&M2ds!0bxy%ycQA%NkMMNRt%rig6+>hR8n;WW-GyLn z8v)}rL94X6;3V7V@gfbH$BM8$omS|uKkW8y-1$@Wy zr&GmD@8@~kP2sdSxVX9H=cbxY6P23BH;(hBpU%carU+E*>;1k8&7RWKz>w!s=A zLS5!7?!hFFbSDJYJ3-oIoCZyy3m!0UT~o4PZq?{74oy?&f=FeZ0AoSD3Ou7=2TcZRrhDt_ zBe`3b1U)V-JDdtOP*jqmc37>ZdtQS+)h|V@tYfAbz*|jd>xu1_Z@R^A)9KQ+%p5AW-726hZOQ zT(CfZKz3(dnhBn#5BN5VV&Dh0`&MJVriA(wbumSz&nNMBz4cA*+&wJvjP28+B4d^G zOL_|%J%P8bf}CoJzml)s+P2F;-oo_ObuhYoG}3F%;M-N_bbFLe5+$cxw#I9aWXStSB$Sa z1gkXUa!F7jR@~}5%Dkc&nGSAdzcUW*SW#}aAKEzrU@kjwn2ehtkG{bFuwy4xTH|I4 zNx%+FYR%8qm&y)~AkJ6<9zonpQH+Hq;G(C$`zE`)TlMB@4=zrtdqkIH-679m(jBdf z0%bFIIPH-{SZ{GT6Ea3zob(poGHzySSY~hYLnyI*g&H`vNGHsPRs^T zVIzst2-eCFONtwYkWZ6k{mKyVbmYibyg_EGML=X_h-4W-goH}0jle8ctd-#!zd|HA z5~(@KN*SI&oS~H?ELB^J$*!F`OOc4u?JI%^WswK3c5TR&U#k>nW%w3ivMZ(u3DHu# zD%;6(Y#dQ}O^fsQuim2|-v?6N(X9*Y&}{kb5XWOzsizRkd8oq*nJ5+XxHAN) z@O;G+lsA41hZ-Sc4yBVv)a5)B=C2%7aJ9kGwP2Np!XO#Ryq`E(Nz_;zS`?li8xvhv z*T%7do^F>Eh3Aq-1jSHz=q5lCti9yYq~el$w5I6MF-TB7Za?~{YNQ+2&44xkI<@sA zE!2mumam)tQSEsvz_I}qiQurx5J`PI`-^R?+4h6N;jCh5>HkbBMz%x6Kqa9~CFS7G z?FW^#QraK=py#MKbfr}6N5prX)3ze`fcQC@0CW{;G`ju>5?GySbem1=`%tX9w){=$ z(`n21>C>GRa9q&Aa!ye1D)ALMg2-rR0~d+qk7A4hIcYc=L} z`|D}9|DF%}{=fUau)Nxt?UvX3v|}C!=vL?~N1wJvGAtPX;6%I8SS>w-aQiVhNHWU?SFZxQqqI${c`pk+Z? zUKfmcAd<$fR}{?zSYDTmc_3&NZAk&DcKb9B1UzNc?-{kxY7vbLM>j09 z^SI6f>9N#vAanhJj6KGZ>CS=7!$vN)-iVRtWP)ZpetUL&+AW&x_|3G8{@!2wTOa$z zu;UtvyB)W{oGfu#g25Q|&X^Kra9uD`mx!RXykTFN9e2Spa`A{+(`^#3%1D+;iu;Yk zoEe^$Gm??xM9I8O;q_)DPsf!3o8ugNGm=O&TA3&W>x`sNhFR@8=)EcgI{d zw`!dD(m(jgU;J9_BTKf>6QBSFThN*5_)x%(Ct#d+`LYD*T?<+fA++0iB1+_Aa$6hH@~9h&S4mY zP#%T-HFpP7C%NGM#F{$=F-flOlC@42Ss&$oFTMkvX?Rdu1IY;LBwrC#e1bBu;u2nr zD25QETjYX4n~x&As+tg_PI5sbsAXd^dKa1%sgqm~*$wtYQ+chte@9Or=g1sG5ma(q z2r^&RtSC7KmprbPporv>KoYbkDYXKZMAej+9Fkjr`P((wdQ~Jr8YZYGU~oHQ0Hgxz zl0ol}$$*`s(`2}0@cVB||4{kqf^sR6h2Vd4>i+iX2BG4jwxI79Rd;A5?d|yOz^`d% zfE^AOUJyj(=w)aC+Oq4bG|6nJM>!6JRTXbUDY~)s=4)UYXbHlDOt$D;$`mstzBC5y zRz~LH;YaZ5FRgpF-K=zd+!mLm?RyCb*5TO~XnYOCs+d%SuQvg~WILb%YjE&Zqe;Lg zT(v1d039>YsUX{Ff0<+(#|OnPYJmBK#oI{xb35%Xz_GMHfM}J0X~($9cG{m4uG41C zF@~#!nQ0HvDW&h+O?k5R7L6?5=gGd~{eSHnembm%f^OYv7z7t^sSPKdP<5|C+)%rd z*^`B0H{ktlD>UTU@64Vo8Frx*LBas`;E_Tmm^LI96*7o^8^P?! z9?@_KCmMo#G&VJY*^}MD*;Y>$<5X+GQI({xf0|<=s)Q;)U*D?_F$vvtv9p|U(K+Qf z5B4wgskCx3u9Nsz{_)cPp+tgIxx(?kvmc9ot4hs`On?$+BGioH`W$b{j&?M3pRZ=7 zVdm#T?DWLs%-ws5QRz-xqQx0rTNAF9|RTTlZ3WpWhXF` z6q2ju9ToE>Ub=tr_Gh1Y>pS8QLT$;!&8L6-KltW%eMkwCG?Cve#2Q5j3$bcSyna}> zhMbOT!i3R70!G8ZLhK$@P#RDaVmlSvT!^)vWCD*57IwvzhkNOM;N;q}9-2Wb9;D5+U77h=)90a{@Z^mgc2u`0ycNy2?x zZY>j@B5aIg6$^Ncx->2lB)O!`vX<5z>)A_dRyPPyl=L7X0cVbjOP=RFs8w%rVeUofmzRb! z`t{ZmTD_ocm9hGCcn&@)z0{|(!*PRS(p_V;f8k*?Eh-w?q{yH(xf<(4D1f1k=k=5{ zp%8mYZZ#B=9-26RhC)>jHzrQkp%k~1Q&C4odU?XfK%j()%#tyd9_aLr0~waSy>XhC z83=ZJKrmB6msoY51kr|yGobr=0No$C`t(bQGUGDN_NLIY7*OnKcx0Cc9q$h&BnN;>?sb!D}->0(kc|LE>ozw)A$9+*!kmt&%&VURUvbE~9;I&N`90Jw(%XS=avt8hojQuyrPFD^p1nT{{o%Y`^@KUAyH;YY`tKT%a zdf86<<9rcC72?IsZn3Ex)J@}{%69C(ZX8s3yo!UWSF3Eo{olY}-5_)PGzWN`78?{V zeRG3v0a`{iZpm-Eo45{TsSRD-vRRd8-**4`=Pw(M#k@v&TZ*7(9o%)&0UAYa^6GTC z%3cGjXE~-c{^SpQ<$wRhDT6OPm7dbD_Pr;Lt1T%fNb5)r9eV!J`7BCVTQQMW0PU9u zLVvb`@-&%XY5h7JH$q6ASCrSz1fwzhw6T$_acFtIe8uAdHA21ss19j+S_sO6WP(S) z>Li2!Y5_VcH(!n@v<7(=3Qakz%yi4LPoK|Zv7d1!EcL->qBhoqi?JNj2lKB>a zqg)9|c;!34sJcfOZ7WwEXDJNd@!LmDyXH8oI-EVkzDBx8bUbKF!?MmrPNO7YH}u)e z_tjJMWX|Qx)x8d0P(HM-#KGs<(A^)R_1D)lQ9xfpswimk@SY9D8l-%@;qp6#h`@sp?S{M6V?dUEd5{z)Yg;RvAqa|viB*fitl~%{*)mJc*UFIi(TLdg@ttQP%59wyO5j-?T zTeqq#8>QW9LnI|M*%mX(n{%vG-f|0c4+Y_pw1Sn!TkkaJI&FM9x<4fr$C>pHDVJopmfx2HcH06LZh^^xKY zvr#fWE1HF)%zX$Ij>xpSKZV+4jT%e4X1+ljqAup^wBAady;?KhA%ha&Jh${Ao2yja zqUC;TCXrZyMlu_v!;ZF{#uIF+_4S-=l#&UtEPq6z4MnpWfozrRUmh~U-)AU_dE!}= zGkE}1?B&uhOSSaDPY7lyc9W$q@Ll{9cT2beGf#LBJt&>c(k+^$$IcFY&Nn=@{Dd(( zlw%&_Xb4qT*&%I{g&hJYwhcV8qMIBX6)_~kLf|G#V)SgYWEC8R-dyQS zp=!-+hkzCaS0L@`YSrNttL#vL1B0YrD#O*9`Su`(3F9Yg;v%%?*>8tdn10ks<{O0S zIm_n=xK=A^I`++&w^bNO(PSW~eh!9@qFcJr~zcYO07`s$C58l4tQJ)vgh z(-ibUTmC9#tQX)|2#0LE%4V*%gn1~Ec_ z<7xuvsOBoKSYw1RQC!Rrq9W6q@oU6p_`%V{p(9zLYCC6H(Z|{ta@Y(2v#4RKqvyMG z+%SVkOCYn*8%f%Xa&*{BND}$8vSt%M&xg|5;sS@7eK?h^inR~B6CQSaQfXtREr+IO zuQmWZ361atzH7}wi1dA;^zdL;VWJIHt*D-)s->us(@anSxPPU{K$4;=P1DisO`7Ja z%(7QS0bx`_Wt&j+IwwsgDcDfedU;$`vu1}RT0-%p_Zmf#^et;9)#e0EO6}`!z`2Tg z2KCIKp8GF6x8O7Mcn>}Q?mu?-zgRsM>`sOB9ksvKZYIS7>Sp772Nf0gk887;9fqKM z2e%cY(QJtsWV(3`#&>WT*M}Z8uWHvCoxB;RDK*4VN96+bs$r-ff_l6SmV zxPoCBh1EElQVFC3uL0AEspx43yqlFN(j08HL#}wh*i@l_bOyOS=f)!eIs><8kl=bz(?Zy!&~ z2;N_K=H0mw^O<)?m*gG!&hd=cNMB89#Ju)iSyRGs^1bku7JoALs$5U6${+dazxN|Q zn@G;29N>9y%iiNPT%)s-b;%xdCtq6^9ISL!#kn%}2V94BdCYhg4fQfu>CC0M!Ad7h zv!CN&r8Cz~Q474h(3xwe!*xz3D*f2QbFQHxLh(r5g+FZhVU4xs1RYP^CLIWCXFagz)ES>uIj zWLVN*2eQUXs!<1#=M^&=AwVGeE^Z-fJYYl|_^zB|dBv>pj!J4vY=_baWWh?~0iGh* zZ=glcVo}3Nvc@aD^uX=egh{*KrTfhFx5M7am)l;S3N3=fJDACQd(fw*kpCkFrgx5>?+?ncUcv>FXLXULYi3o*_a0Oo z|Mwnvf$$!5w2N5Zf7X)rRl%sEIT4|iD9S9x&o1g=Q`xTQgzi14vYgnwoKnco%a9&r zQ}^)o9THf!?RcTh8%6G5K>y1JDLw6r-HDEZ0H~p>s>=?^^tdnfJCe&$677tgo1UKc z1sW}kY843Kj%0e^7l#$%I9anyEQ$wSYm@qLE&w_LE{WB1#NqYh@zj%oCU?zTEiMu_`I-eNd)%)(@K+^uvo$fD7VjgEz9Q2{{jO_E zoku`O zO@$S7OSZ!&K}#We7NaJ>p2(_;WTXcXy?|&dO#7oJvg#tyX2HnS$aWlmi}S^ERcaFG zJls|_2?$i@FWa%dw28##cD>kUVApoL*aDq$06yhuT-iHs+KLg2)7kD9+uXysT`jg% z4<`ib<8wP55a)YH=fB-8wiS|WE3aHSAh%=x-Ey(b@Qm#NdM=+Z1ghi9cG@53oA3Yj zwAcYG6+d_Udwqt)>uzWiDcoi2_ua=JTm`sczkL3F4uo{Y0+${ z*)VdG)j>qL5M}+i+Kdm1!%+nNJjdk9f_;~kOY^KPKgSJln01bE*{;U1#(Sc9zSsO+ zVeIvl-}>7=^4G=+K`|l=VF>-A3@4ys^s>!qLoeeJcqW~D7lbN6BP~r-4?L5W#|4kz z4HY*Jt_~WG>O)hdx*+;l0SKl4a-?fk3+6%)0s4ZG+<|;U0r<8C99$|{Ul9YfHQNM6 zQtOcoLAp6Eh{4e7ad!3F{HL9Bef-!sOsjmG+4a3q~(4c6Q z`MT7yiGdcocDb1hBUztVzj-O%f|;md0;@Ca-?z96#sgaR9rPM5_YCHm(@W1>yz~Cc z_g}oYCzoGMzPS$SML?^U%RF+6)Ljs_!##)C_YvV|efDphe(Alhj|{97d0RSiBtKbm zg69sN2{pw*2PNT=?6!IW^Aw2LC%+Zqez9F4C@DS@L|Op04J$%$r#3qTCI0jk(L{_D zQGUj_Fy<^X!MOxlCWu}RwtXO+KqS+iqokz#&>OaFb44^Ix92FKmmeB2k7SQv%OrN|Hf(As1-6WB(lGbnl zpKNr;dS{HhE;;=nLTV^IMA*72KN|0!I!t(`(nEx$tK>AdEhjbQ0huDxd58vbTOIi8 z%#YUOmYP`}l6#L-&3fiXJ1;kh3zl_O-~5R?;4WDHD1=#WmH9 zIg$0!;`tX}dhV6yUW(jNxrk#4syUIR8Mn*hrlme`XQdaJ$oeyX=M!K0nV_8DAhG4s z4kFMkytb&;Ne?eR?3Ja#zJjwt7uE#}lLT5`t(+c$^Z{JZI1ScMU_`s|K7=4$SQk9O z0}(=n{SxgyaDR^e6%I{(?t;wX2u2!iv=!oFMY^y>5D;qdbwLnkY;Pe*o#lcM1Azpb zVlWCBPmv3bdLQaVwi9*qUvIj>r}!r~(ktt3YERZ?o()-*;#z|LhQ&a9_i#7VK`-VK zn`jm~KWAf4XoSjDn4R_PoU`#sEX54Z-Tda}GUKaN2&P;~umyugU(O2UZ z@wkt3&gS3wmT&tDZ}{MmoDH%eEDj*(-YkW1F9+RK&IW{`Du`-En-57r?-Tpw0el*g z1v(-zQit(X&gMYMTRHT}ibfvu*29ovdL0JW4CZWx<}l}+O@S!GLUyu1DJKC`3?M9$ z1wxBcC)Sq=x<>HGd4bJCCAT)!CVoxMNx;Zr0<-0+x_OS2lfWdrEz@7fK_RiG)gWJU zvR&5r-Ks4WMy4QS9JsczV1F}|2AUGQtMvyA#y+&1s1HA6vy&ERj5II_PEf}t*bD#x zG;O4*wwYr?RC0y5&^Rv;53(J04Vbc;5Cg0Z72@Ki2{CZK8F52~z6zqEu(LRS*^cv< z0$mqT42s1ABy^GrGQosD?h7z{GF~tdoDr$-1sJzb!FFU33y~%(RDESe^mU&?{iCn@ zZ+`V#qlHb!Bzrm0M~~xbvLeIcpHk&xtb`bMYF@swE?5Ye`$pZ6PX$D?zLE51_mIXRm$niS(Ygn2G&)_ zsNrV3ltIG8hLj6BcXy55sZ`K$aA4#^G(o^m29*oYCa9TY{+Q^rmx zdhTmpC?AdA{0&`~0Dox@dg~vHV!EcY5%Dg6X z8nI?7@O+9~*6%f(l)sVRphlv;pKuyDNcFHcU*CpmE7M>!ODY(UIPDe1$2Z&-i=Qj3 z3tz=}9#FEkl4Wu*w`4$XPGy0rC25~c6Hg4KgG}a?PlP-trBnH8B5ik-L_lE*h+};@ zb+RHoL+U)V7~?btDX0c8wI+SorsQSvYVKIT8uo|{-P?N=g{aLmH~FUy#C4AD@>=tg znj`d)Mh9H%yO-jz5b!KNN^+J%c~nei6lcfklE)Y0SM-Q5eQjdRuVp zgLp}4NNpL^_~KT#;h4+to^#0`3`-`)Mv{vXD4yzO9V-eIP=861{kfk7^e|B!i}`K0 zfvkyH<`7I`dh*tSJh%p4fP8eIe0TO;_F#Vfut-BN3|pTgx^~YmG2#N0-1s`in(=)))3ep{FKQi@sfjBzUjdTkB{vL!a>Ps6}M(kR^h6bjsPzB{mpl7oNL?Q^TEw-VAftO-bUO2oZH-h)Z0?o@j?U<+ zgDie#8c7m=l-ND0w6Q&(V(EE%^4Zxak9L-xAbk7N3+cyAA4KnO$Jtq_p{wLWRPoUk z=~av%8VId!rkxhlq59!~V#Ico#YKu|SqPSnD4}#YZbX21W6rLcaTtVJW z&qj^=oB7{e7kE-*=|lE7{4%_-Kceulv(gQ<;(A8rFs(7o;@Gj~3dgW{7ga}WipRQa z&c&eLS}h~f$c~-AGD}TufZ`6C#=72r`SrDV)?@Hu@@^{!v0|o=(PbCl0iJ%gW8X7t zdOqD!v!d9SJ`Xc?iJ?x)fNs}@RS3&PhGDYW7f2%dl+JnL2oC5`1z$X0L%Rc&FplVw z=LCqZ8*&%NS83GcNxi+~*G$67CwLe4@%brKh~!c?Sw%K_BeQO+Y5Z4n-`_j0!U;0V z)y7(I1LL~W1_qqL`KawMX{o{jw4i3$J}lf9Fs(dgq{ueVE~xEKjYMShmQFGdzl5y( zH@({tH|~u0boiVp!c~Fppr;r^G zee^#&S{*percrKuBBOKl{dk9=L%&~Uw>$9eHEYABB|A5z7c(+3CyHhzJI(&ZVzRiE zJ|+eQn*h;yv=meNe2C}v-k6Pb@+dh}Z+0gnV#*hTq{GL#f_GL-(W;ZrBpVoJDdqmQ zwk_hSVVm%5)-g_jj*<)AbWQ2-$CXjH!CQIgq2%Fsxk8FapO z%oI(EP21q%{tKfT{}d=WW}s!#>Q>kN{7hS^hK(=NX&d=qzNqv4`U+=wD*jQFjYBoazclLtMU2MrZ>nI%RhACP0QS|aL% z8n1tpW*l}OeuZVUz~aB$Rm1>}>O~%eAXpq-I1W$jxG?=oB7z?TSC#P(6LN)m5KHZa z;8&<%;_rNrUK>)(9%4hh#QfT?z*@hHpVRG_9jzf=R(mfo|3gF+3>`)NC$Y{ttvsZib242W22GLy@%dVb&9lIKV)bw5^m!lZ z|B5hJi7(Ja2W{8acysP30;)4Z?g1C$rRgi`)H|oBLthtyA;3*c&ZL-#za*3~ysiQB zYfY&@Pk^K@Gw);*m7=B$OAyNcu>SI_wiuSJXW`LUiw1%cA`HV0@qN=qFHEiL-=DC{E8i{H? zHWrExL4<=$faTAF-CZw9<8<<4=`teHgclO6;lmmcNMUchh!0g2`7iCO$89V#n2->w zP^-rs1AsN|gJud@PpCfl#SFj_M~qa}V`Nb>sL2?o_O@s2uHoQ!89#VqjR{O?+w5#4E(NZ-J{ykLI_h$b2E=?lpu_F+_rk81mA|=GnCb{=~(IxYNX3I+ew-`kzWlWdolE!wCB34&Fip)YEyh1W*tK*5Dv; z@#4*trC)$nRTA6;{(nfX=6+MZmRJptAS5o{l9mRE;}C^NMm9`S5C~b`tK`B2-09-e zM->}lpa*HQeFL91AjBDRe+WX}UT1E`;ND(F)+;nR=d z{8Rm`_}#pcuu!LTYXA*Q4&)@sM1GJW1tAH809*5?;u`JNXUu0_;)lVzG$jmAQa3vu z1+LEza^BJaAZ)_9Sj&5*_dUSxV`M`1oYCYT#X?R968Acb$2Y3}YwzAaxPX;!45%PO zr{RN9GrA`U29V-F$T(2&f=%fj*0{>mx_w0MDvZ3H^ov@c6b~Dp0_ICPp7%DNillI= zN)f8n%_#p&P!c*LD!2E>LIEEP$u}m`Mv`F2)RD4mlKjk6Tq@#|3ms~skuG>MaAHZt zpT<9p^a;lAbn+YXevW(9h~4;{L&;bRSsVhr?~wdfG*4_R<9M8A$&BNqwD*z>_!WD~ zJ?zM2{^)%t)4$X5bF9f5^*se$6)_37n|Bvwg5nXmD4lV@+7w0C@6uDWC zY`qbKAS%x82Ng%cRk#>}M3E5QEU)yXsy#u1D<2-SgvAfF<0L~SN&ip~u-dMkk4QT2 zcvFWokQ0wfbA4U|w19DD7g2Zs z$D$mF2oqFK3&B$wG?`{V(2P-AU3|muVwIrEn1%v5LWgve2&@`NoWyb!LD<7`*zcJE z;y}f8<3vz`K%4(13|!nyzX{v&l2vAj|e zKz3?_$HQSw!?;0-xZ>tRc|4%ASj={8HL1WrgCi<4z3 zF~s#vff3b-{kgPafJ$OiCqTw@rcIPmO(U=Cv~oRCK-gZ;KrHc?E|qz~WpAL7&Ws$0 z+%4)@j%gwaHBMi0iDRTr%kAmu5tP%4Z+uXp z8>Eb80)TF1Gylj?H`Lw8x)3c(dy;nuHlL({CuAwgEA8xUO|@PE#SQQ^L(>Lt99>+| zUkE&f{0i#j8g6-v#`q|2;)_RQRg_`t3M9wGJ7)9W3L{(-oJG}h3dU8 zJ&eUdP8+tRv_oIPqHNYjg6frPgddaB&Cu8C)5MM45XWO`3X=kA3Dnw^5@>8i{j4$P z)ca=)xn);SF-asD!*Pf|GwM8r3KO3s z`h_`~lrznFMF#SO;UrF4VJOTxB#5PwO0Vr(EG08dMyMN?4Q8*}%3Q3A>AxmkFbs>&`8c0V{-6ER z(0LDmT!F{|lR+@(Q_{@qW*P&%4yYwg{@6F*E!VRkTpDG3;!+6q>A=E4Z3GU`h|u^6hK=k$iYn>&o_;E=*3m5}#YVcnC8Q8(Ah$nd z#fnn)7YzkfwQfR3Rn6%EO*z#x$$-UJB`k^jI5JD0SOFki#VA0cKAWkGQq6?KkT33F zR*}i9K){xUvOZ-inZg5w&p>~6YWmqiZH67Zm7Y?=paA@tU_~rlKZ+JIx(>MTftU;F z11u1dvY9M1_sCksukutlU6-~);BiIMC~9YNB2rhSc$CoycvWR`Jv7_uPfZZDcOqg5 z>BqftLGnQo-F8|URK{!ZqB>sZF=pj6y`^qqLL|&e^jBK3(yW3aU~w{v-ou*p?%|pU zb^n_~3h(p@RuE>4hnM;Ef0Ed8Cv6vAhn1VpgP+GRKh{c3O+)9hVW?RDG6`aw(>FKp z3(MxZX-bEI^o9^IkSATCc(pmurPJ!3csh{M!q74hZUPSLmE@7gW{+MXOU*+(0Yb1= zb;KevJ(=H6Z=6nrQv>nxXbOM5OY5qQy9xd5WfF zDZdUA?7C28drI{#C8II)6@HB|82nY6zV1G$kOOS5;#W{{rD|-i4)J9XNq1oCs6wSC zT|_NhmQ-Wt%W|#8Hi0`m)cycY()($W4(t<3Dy8k^XtL#o*E^)k$))L9pED=?Q`GmK zj*W3eBU~{e`q1|TxX-}MZO&m9c$l07c5Z~XrQr$4=`#Li%DV_EVP({E=B74J)@EcL#tDleHVK1Ga zz{(P?(DiFga?4aTVHsgP{#0E^VRH5tIl^T+@sgnMMs zYQM1%?)-9&)4-T-|`U#@qQDpvA~i}}!hq2e>8=L?PgJ_4Yqrz^{q z0hOrygc>Kz$mNt3%0t+%|PP!B=>Lwf_w4#?m)iur+N5v^3dBW>#=5<{EAXX z>$T-&IqK}N@;h_;dVTR*2}5hedm`V0Ww-%ywQmtWf^mX%OGo+p`oX!zalINmZC|`! z%1l)Q2MSMZWpO&2RD``77OP;t`3rF-f<5{xN)Zl)X_Uw_RSO4dB9a}QBW&0P7w$-r ze$3?7Md?VvF|Mc=5*S99_+F`O5MR=Jt%6ZlF&6|F=5b!7Fi(~5Bl0A0sqzB8Cch;C z7IQtfUK7Mm&b57WXxp<;I@4<=yxb#A6eTxLqA7y#eyQh4<;Pn(Z5N0 z0=Drn<*-~!^%J*jg)-@z4nAnb2$QC+QlhTwC^qRwb>X}JPp{KxnPX+PWsTRiMEE6C zyH+fzhb(G?6i8+dMFe9aI%)sth7D&}PiaXNAm?nU<7RL!X~;ONPSoON@c}fp43`0f z3WoeT96#g(0QEDTLh~-;)Q2azw2uR zzKppEC~-3b#h@3;Hpo4Q%`L-npb-RAXGA{PV1E3b*#?180tvbTi2CIhihc@VfRU(T z5#8woEElZ|)*&jXs!ZOwuq@HFgEPrkLx)3w44@CG zv=r_Kird3k(V`9cq`!^_{+@>z$CMsjR3YJLrIBFP0co=W>t9qc4&CV;ODMs76G^azx8|IS%4-3JuO`)|eav*j&}ePR7WYgQ-KONAx#-g$>?0L`BFPxQm0 z&FBzc4C$wlNKT?i4680tKU1GrP9iP?v@jC&7xBFOU#&!VIBWreF&|Q8wFaZO2!L$Y z?r6G&(FoNqr-wUyWr@Tnahe5Mf3>J*aDcP3o6{W?F-IVR*z(!`j5||R&g!@eIbrji z;&hM7brSHx;v!+w(+aoZS6}2ON9wq_FS7AaZL1KmB}K(nlW`L-IC{4^FuoLl{XokV zSgv5Vu+fpSnE8e$$`a1e)+_bb&~Q1DWsUP~OtPklY8^apDP%4N!KLnd(zey|6Q`Ao z@9bNdc!bTKxZWCL4eU@Gjux|G`&X?;9>4l>*lMF9vWVUGYn_okVo4@ zE{xcP*$90l9U6|QPBE%um*4>_<^L98|0`6}q4C(YM0@&!CD>?@QxtIr`{;f%-QiD!24Op z2v!iLau*QKjEDL$t|u3=6l zUlZ7dR2`FM9_HgUjb5qGOx2i>UUCT^JXe|O!;n{+7L*h$AxcZ#53_}V^Xe34dXe#} zUS!_VQ#%r1z?x`w%Yg3?2cXlvVUK}f7jqYO_s;y&){YU2U+_fyBq=# z9!t4dPnp1MRu!S%4*k*HC&p2`Do7d?m=I!s;}J)YWIu6_h})&5bvV5wCI}IjCR&H> z6mD#aQ@~P_F9);mWKydhWFP)I6cl(hbrwo{hoIngkOL=8>!)M;2jT?f3K2UE^utav z015zfCDz!2R3cu~}Cx@(jv_@OBRp5F8J z&BtY4U+?E#>f`x%eO&CT0st_GxR|#{B9L=)4dLu_4GHDDX}~fZL=&!vM*quRGy^}Zv71T8-Vb>^DZPun{V~Qkz4X^6CPX@;E`U<93<+#;EO@4w>LB;CNr;G!5ZW&Ng zqi!WSpeOB_Nzg1&#rc764pw+J9;kkf$`r|NwU}e9`bx5r{PYfT3>K4~12N_8OuWoW z7tznV0`DefATK0gq%Dr^QP7{Z{DIgGcaPrRK8`F}HE&Y5ocdOMr(T2{($S-o$M1 ziwXBB&RW`pyNayplD~9%BHR0d7xpu*+rjyq8NjEk(e=IC|JE81a~32cDu66E3wl<@ zP^@PlG#K0Wo0yeTUhcaolJk4a(R;kA`_y&^j1+dG?^isl1AQ+NY$Wmo{5E0`#@bZ? zk>;*Px4bpe)0opm1YuDrti%Q97(_S;1@r`@VOs5Ig z^_PZ=2bH}CT6UK&=d9W_*7Hwg|0(OrgPw$u#>=~}{KVP$4e5%YLnRN@tOK|E!uRLS z#)9A0lkKc_iAc{`bJ6oWT<=acSQs#8&3^sDq9QoSk@oIPc|ObIJYU*;tyoGE zS=%w@zKVOOf!GK1DAr8OmVz@%K>}#o zFqYLq_eV5MHAirRIGuL_WV=?iI6Q~y%jtmkT7<}WImN1;clKu~Y*;7lsg5-EXUR!F z3b0A5Ra_(uWw}b5c3pH@rgZ*8cVC(`yBNNyFjxWo*Zj|!+o^I>c~|yAM;Scb_Q(Q% zWtX*1JYkbys=A;P`sZ*Po>& z`v!as+o2Ypp@2zp=&+5up-N4WtB1dw=bGAe;k;_D(14Xy#!%K~%& z0p&}JFd zjufDZNrKh)&AFy>qah;a5I@UdoHKpl#*5Vg4LZh03sac4q0?s*xcr%3pwK3q8QJHq zM2^uwcz~8r532HYur&YNHKMnaO4-W`PbkB8q0aB}=dL&Xu2yPiiP02DAghljf(h4Z z2hZAg_TQpDzLTpd_-1+&^AuHt5DvhX74}mjGxW-kGfX^gS<#FJ{0TjG)2k*R90n0- zCcV*oBlFZRsbCinP999n1VZ9y8Vm{O68%Xswvu_pa1~9qh1eqH8@{%nQ%c5lvE;oo zk60BsPvw0@0_JFcjOX-=XHbN(T{3Q=l8l#(1}`o_`L!kQjd159w49_$$U0}RNbVn4 z9cQ;t4A;=jxUIMXMnFQdNpD5Akhq@?_J~% zTj(ACt-}lYmqh>BiN3?|&&!d+-!d7icB%PxIZ0zkR0mE`R4acH3y#;5E9ABv@Tb|> zL6}kn%Ql>pz^Yv^(Z7063b7`RIDgSzS6KK%JdEgfn4;i`5rjd_9=cvQ9|#s)heA2s zaZ_j0tI#M@qCJ1ubG=*zlt))^rhUA*+;qm<&A)Nv2EnQ!5pVL(gZG!FN`|)r?Ca}e zuKVetaza+p_}@65CpW)qUdH~Stha`a+2|SLbmr!0ZxKI{b@bOo^?9wkY3Y5Kzvjws zv)E+kb*0lTz0VwDx&9n)l|@4hgHGAZyePb8y3047JI^+L2Segwc1B_ch{>G8X^HMn zjs)k3S3gJy2IGOt06>Qo)8cU0pamRZY!2T~NDeYRL_GlLGDU5StrdvH=(~XxFCcQ7 z#1i!Vpfx4dnG7AdmoHTUQU2SlHa{c6uH;WD$?0IQVr*X-bG#l z5vbXQIthm?FZt@V;UGIu3FVp$FL?$jrN6`NP{5zXtY@IfMMkH^uh0~CdE5Y+GoL-+ zsDxL%c|c#gc>A>IlHuAPe5At%hz!RQT&j=a|{;QR-9 z*#oElMBC_5&7y7|&eL!S;UpkIjIMFpOP~6>eI6aJl;{Dv^ut&UEGdTf2&aN}OWMy9 z!S2C;5#Pg5SK@8;JO|OiR1UbC&gB>+<6eQR@wylOAb00R3QAa&nt21tV|>lr{{=kPg6ZcSj<{bdaFN zwa+Qg3=SpefyXzL6#*BP(hx|LG}pDMbWCOT-&xqL3xn|;#9E}Y0wb0Lw@cKF2mh^Fao?<*w_i+3vw>duM=k%<-85w}&y^MOe zEU}Bdg9W#j<-|i;ge6fyRSP&6LDWF)=KjNkSul#i3en7M9L`Z1_b@~A5p;p~!gezU zP8C3$>2QQSjWM_NjgzMfcuc5pGj~-kWE&jCkL%a9c3E}s&@wl6;(7@mc--9q$m)l8 z-a0Z@QU1*iqp{?;`DB;{_>whbsKuBsn7>VkZ{MY3{b22XW!Ye&@3sHYs`1YQ`M~Lk>UE6P~hJ@$+ zh{h=%QT~b(9=9CyiADud3jW}n1J)^-4um73(G}BZrlZ=&sT9x;I$E~|G?FWfY0$>PQOy1@)SU~7j7jx08gs5l zJYs`tqw@RyntWPWaJ72J)h7q6qww4+AMDOX!L7MVwBTjEmT1N=x<|C(fAi+@{t08) zMVKv>wrkqb-s~IJH1$-`Y?!RVaJYNf3i~{h1(f$uh2BF>DQn1S=N5W+oTq=q{YdxS z{+nmy+S+o7j^PUX3Uf3-LFI7#fT}BbO;i#c941bNL~s;9$ajaG7E;J2Lw8o9hACyX zc87>`I|~F)hJKtkhefJE4ZJ7GATabj`Vtl$>enWu_)dhxV?btk5)}T6fFi%8 z9$Y*uPl0Y^G1^W@L06VXyio#@3>AK=*@YmakW0QPq6`?;u+X7fo8T}hEeG8kpEuv; zm(j1Bn+Z`PDqG~3n{j(v38D_4kKzbFHU~6g7MI#h9(Ooeu z$7W2-@*S%9lk91{=HJ_$q$-wctct#;afKQ$kfm=>5X0x>+D&CCp0a(3iV=`kkxz;_ z>aBXHME7;!ahU})#@i#pCub~n%ZWsddiz!UM)-8gvWhh3mDlL=ZakF}`S0DIN7;jB zZpGoP>|_LjO7e>hR$Ph;T+KFc8^IVE9ps1#$Z6xb zs`4+Lh`cL7o5oy4cwO%Snn1m-_ibMOAHRSImyXluAk7JQhj>NS>7~q)B#r5J(K%q; zdZ2bJaQn+9@6!jlG{crHl8=L;NxGlcYWdm%^fGeLOT08ARSx`ipygIqlVPkwtSpG} zmtnIM*s*Kd2EHqBUQeq$tt`J7*g=4C(L4ng%qAxK`z1EJ2|7_%tLmALhobz8+ft*$ z=s}*6O_Kpvts}ymK@O*2rHUoB+Q?Y~OT`6ybJliAkEL3sBa5vU&3gT38znB>b-=qp zaA3vFL^5;PSI%OQ#}?jcaCA@^r|H4klfb@YGe$0QEh9r^Q|_%$kR?Oqwo21d&2kfB`-cBh0A8fOc_83;KJ}FfE>tVteFhWqX)e>rFeY}0QE=1 zK^j0Gj@8O>9U|1z z%__G72yLFLXpz>q$XR{Q3NpAr0cyOJp2u$%4S2MF$-_JwB83#WnGU#dgOCKF z1tCyL;~q85_)#PfZp76gKW^TYXN(k%HiZ_?<#VBv0KQz6>eucvUrS(2t^gCSKVIa0DPe{>OhbqgS2 zUYh_?%vxM~phnhB7q3ek{WLI-JQFb?bC`6npL!+x?DXw9yV(o{LTh;$!f{+(r~c;X zG>jK+@EOlt+RNPBkH z$L>$QvVdHauXc73`PC3d=UsdMw+9ZMsM*tgo`c#jzgM282?hO000sbSGWk$5S1O;> z0ze|4P~e{NmzPipkX1vl8n|BPHcmk-Q>bYc&Kkz1j$nnUo$_+xgw6&1d(rz*!QdJ3ZVu9pSoa#uQ4MeJLooRJ z8v?c)b&^2xy_L@S9ogJ;zwCGEegl8+{oBm-c+22-{{i~>(cp6VywQ*?f9deOx2rKb z-&{#+VDIg9Lg!VV=;NZP1fz4<9^$M#S+@>olg~|V7t(0GFWQyQ$5EbtW1=Fv+5$X5 z7De?%pQL16!~G|J6e`t2+7a;UdfcING{f}*o*j7q%Xg-&Eudf@i@f8^H!gg{_oxH= zK3U53c`l59y%o~sD?!InQnlWK(0`%!T#H_XL|!sh`Di9Ogzj^eNKGB6YmT2p4gKt5 z<8TI)x&+%?_`jAoC+yq+PcC(uYbfEA>uv0A>Max7AuXyq7)4^3oA0NcuiTxUNJ*5h zRl3_F-W+YGu_&(0dST#rZCJGC(8!88a_dik7`X^DRfLaX%tMJtJ-~_lSh!=^V zECbsLCs8C|pEzM$dc(IJyGJqf`l$pY19U)(cI5kH{;9tov;gAT_^e^ArZQ~;yrnj< zi!}K^c*TkhWMHNMx8qy7pSMkprdVEJ&i=l^pV(wFr*7(*+XuE0Kd^Bmd~a^w?7b24 z-#Ncv*LOa}$}F5IM#|%D!VnE_l$M8e$%Jg@c8;pn5O`gw6zxEPl|H)7y%Yk$UI#czqyqeHYrRf*N3udHvsf_;e(=_x~;y!HOcDlExsNN3X2rDXdx zL&+~v{cdeuAEg8w=^Z(8gJyP;<*%n!bUCeFde+wOsGGhI+=VPB4a@3zdRo{uW5RY- z1t~U3@SS)<(``bc$r8=Ocg|U`K}w(?4Z4OkqMI7&j5jA{WZX!rN#kp%U&+smww({kB{3WO@y2f||KCoBxNOW4#; z;V@6toRvymIc+gI?mLn?x~tAIxrTKCpBp7ZrBIIVJbe(n-``o)e5Xk}xi=sE;?M6x z{R-M4d_Q;$;3_ z3w~l6LFhIyFrZ;LuH#rnhuG-4OHiJ$08xE<(s_ty9hYf3!r%g%c1f-MAZ@?S+tl># zuud`K8fq4?b0LnOo10=c-~ULHO|C<$haAA7;N<)-m5YH<&pm=tNU7gH`EcvSJ4y^# z;0c#Xcf3?tCMRM6A>KDG(sc_fZuzq=5|GOP|6c~u*1ddjYZLrZHWn(F^B~`_u1~D; zC-$5<1DACWD-kA@FQ$Qr45hgcYNb8Wf<}rn8nE7tf~Xr)Hod6Xc&FNghdyTIsZuL3 z4sar1$5J!Db|@7WYPm2UpWbtGpGcC0pGcYNpxyvHBHVAUZ6Bms#zLG7Z7te4J|ZsE zN?u=?TMA~qJNMJEir$zS_us$2I(T9MKkna+8ECXL{@0!FS34-|B7c}R#@LF%EV)7t z{eJ?8B{>DLevOTZfF;qRP!aws3lyxv3P?7w<%_;+@GR}yaL%Cs62uJ+8<=Mf+W4}~ z>!3iO@Tbn8Sl~mn8_beYbR(p80JiKfF-eCJJL6LY4)r*Ns4|XWlr&JR+BK^|_T0{F zR&HogNd#{NmA5;-pi_vYyHskzsvdfqil;ZP#>YfKC(mg?Cy_lAW#|dau)t;N-3X3C!}7sq5r7g(r#8f^zkKF{-YB zzS>iXNZ?Jhso{53cKTOrtVi32Xi74Ixn_#TBcCm}c_}tJWG1PWQDIyC1F2{}MO%?A zi5{JB>13Wk9}qoR7eOBFvm8IM4-R6^$g#Dbv>L9p{-k+sxoslay5 z3=b3mEm#VXYnxH0;U(7>zi3WX&j^qJE8rrmB!|mE21X+$AP&-K-?&B!R6Q44!Bb|Z z!8q#fSC(I`z_zdy&xZ2#m%A^vtw@`|Pc{yNVYR^NlG?U6Cx}Lei*-eF5lS*n=>$yi zH%WJ0C3OY{C7t3yl;di013G#B^&4!hqKK~pT6SzILq40m>OLwb?l$ochL#7jFICC zm>M62R)~ze%?M1VgUu=G(;IH~K!&Tm5JGF@urrNY)oWPk{~N3~SnUl8f~^|n)NBX5 z-D^IPWJ8m~845bJ_Ry5x^N_fh}!c zPE2}greIqsC#Gwb=rldlTWRGqu=!cUWl*6$<~Sa?X3{X@m2TwAI|^`lOL20yKb=-c z+}`)YQoZVJ{t=x7+@9^QkW)s0L|@)dJPjI@pBOJo*m8xPv+V-GEY1Ta>2ypZutwJP zcl?&=$xEU_-^R(X>fr%2P89Jht|Ya)mO7?ujW?yQIQM)AZF$#D?dxg$WEt8l{V?ln zz9^9X7?l5d-}!MedgU_q19%~gBX|6!9gq1JV$>5Gp5`wbx|_TF0uy#g9d)IRfTBlT zO;NN_?3)M5+*@mpcL@NnDnX-G?6yZ;dKaoQtULM_@V$+F_#YzA_Y>!0*DdNm->3#i z@N)oQDdO){f#Y+OK0k24OPcliA$7nX7^H{|fc9Gn#n&&aZWl3RU+=ZXF?E|kt1(vB zX?TGfM7pUjtS{p;78`awgY;8<-pj}orYtkuieB5uHD~B9cr(?#>czZ~UH!(wwK+f7 z_rQl;v)XKOCeYmf->y-cN{*A|ga5&U)YX1C*$2IaP1}#aehIry{M2o2N%ZBQktCesYod!wZ63>wK#ueuu(4+aN?F zOF4M|Lz4^TraVkq&?~wyz`ubh6MD9c!WpUX6%w>HVMkRm-?15{P=y#fP^L+KgOXAj zc?V%ycEnlU_HB+^RBGCJ(eRXTIvJl|U})Ki>3lT2%5OqaLIbWM@`Gw)zhEY53H(HO zliZPE)GBqKU9g`EER%J~7;C-j<~eIMvR|aOM+NwqCxZakWW<|AveSiDuLQiA;-;7> z;|eDW`mmgTC07HFW*RjR&J490w=s%3G{3&Ga>#z7qnA)X%h3xPD?u>VSul5f8yTzr z!wj=f1{Oz|c*W*;j-v56E8&7YAC`Wm>5Z|X*#S594m=FT=gnKh&#QDVd30T%AlEcH zfqUX9#`hNd%Ue=RT@Vu+bSB=5eX4c0%31wGvaUH5z?h)04@W6^Z5 zhskHuLP>`mXev+`UzQX9zX7V%dEsOSiC=qyp zEWLCPM)GoevqOV8FURw!R3>d;jv^!h#tW9FG#W)p&(BW&x*8U#Jd$eVC=wKlCcxzR zT<_%>Y8U3_$taRhF-!DzcqvLSKfpmZ83wfg9_%KiC%UZCF|K(a+{V;9AA@Bc|VO{#=t>sdV~H6ZvKD=8EJI#bAhEIBiZ0b zmB8Y^IDXFT-VFP9Y`&96>KlAwE?D&{*K@! zd%%r!wxbmW0O(^C&@>5e_~0ce{V56$P7bCE2rMUPUv=EoJF09k@eF5(%fBGV%fGn( zN5Fj0m_v5y1H#xT<*=pG&LpEEJ6M7M&k3q*K7+`J;rq+ka-%mzZXFq8t0L)QO`lz{ z%S5Z~iF)E}HRzwy$87j~@e})ZRvbC``YRx*OthM|(4b_o8}Gd-{u8~oc_6995S(Nc z6_t#9hQU8pJ#8{M9E`(nupxr&r<-5gb!Ry8Ig=DQS_f>QgDyJ612la#`eN6ia%U6 z+Dv5Xj|`yFv9qL0r;)CS#Qn-|Xn-Vbsd~+N^xPHZ6H9NIt1|;$#oIV{{V`YxY-`Za zh@{G}Jv7H&_LOhRmm7?9wqBogwQF&O<{{55XtI}xGwWW@|wXLZ7126Fc=WCVGcj*ch4Cj>PSU$9RrR~7P2>Q7h=2BF7^ zA~g6FWO&le(Yi|MBNL?EpzY2V(P`sh24=a5Qn&9ZFV<7>1Nei;@(F~X%Ay$#P3h+dWatF&XHseY|p zps0FD>cv#|sL*b!cj4wp1IB2@WR3%aIW7W|Hsy6p?M(~-3F}?mM0q%Rh&^}a^ToX) zFx#~f%6owlX^mOQyz#ssO5WYtj&347v4Sl9)2nW_dWhuG_94i=byIJ>ibqh=xL`}UsP`i`T`4x=5XA@Y{~H{|^A(Kq0@x<*D#P zhJpsf0!ZWbLTY&FYc7$tqb){l6!0KgZC~@DZLu27ZnC(TyKDm+gZFTzI4@X^(yTm1 zCU&9p4BXAYVPsx!9JrehL6U{ghg8U}0qgag@PslUEv-Muvr(QQKm=;P{Nly^w<29G z)0a5x=T6Og(H*%&XTYWc=|?Epl0>Pp)BXEOE}oB~W$4SkFWkR)CD2yb%4vCi=Mby< zt0^e0bBywre$&7C%dZp%mDXCEba)ls>71~sF&Y2nzm-%AEyjU?){!_d8IWo5@ zWC`H5wwY3Kjx>cX2$<$rve#LUeoZ3d0Sa2%9O1(`Xs0Dh&4t4wp5< zoH{K#6^0wR2{Lm5YYxm%=ji$V#8^pOq|VXv4T`anXa#N=Jt_=$jFm*nLNQHtSy-gc zwX_dg}q{cA%wndt()F zr^I>yjCc4?(*fVQ8oG-j>mdL1YK?kwkI4Tb{oKse)k#i6jzT-C37*9{{F6W*U1?Mk z0oyqt0|5D1fNg@Vw<)0mL=&)_=?4pBJ0(bDJ2D!$U^!o+epJAvHvHP(kGt4P$^CW{ z`-++pM9XS=#>18$vC*B1{Dj&9LvTO)k>%THoh?Yeu=rbF{>HILvk)ZQJ}>eh-EodI z0owu>AZ`wMf~j#c;3r3#rK9n5+#5H8M`k+TqlAT^Fc6KmTW*YjTdKq|w?D3+sH|=yaTK)_AbQXE7d& ze-jE&FOO@yyVyie%Nz^Nv7l#LZYi@)1N1moF#pk)zyHJjSr}984)9pe+a0VC6kRKa zwZvJui6Qqz7|a)4E6Z)CGa<43;|a-k4y+vb-zexaGtu7Ekw`t_abHCYX9m zmPR=cD@p~^1drOa0;{{+fI^Jgz`!;AXpZ@dr*3H4a|sf?~MCW6`TR?H0A+-`uNuJ-w>$`MrPYBfsbM zg~dZF=Penbb=dS{gvG8H1lBkP`=P%HhKL%i&8Z|KU`zB@&%_bYX06>H}>=d-{Q@Jo?-2KmUA#2jlRjUw@>Jbdz0*0yWxEzfRlQc=#Ru6`h9KR~ygNa*hRB@#)KtiDS>Wrz5?5!PavrOYdjJav`s`XT=dl1!RBjJ2=NUU&oMX;ofeTyRD@^v4xxzW& zmGf8!43C%o19&kV!77h+1Qni2qWg{hOpTwle$a@vEclzB$@YZ6FQ z=h=IMkZ^E`1c&&=V` ze^-Yu1t;cJ>c0m6L6{>A(HGe$vIxVEWYx?Dd6fS$!e&-rw{+|BOI#B|q5 zOh?!UG~W4DJA3cLfL5(y*~nHSndki7EjC-IJ{q}2^fz9OTa;WRcaSbQ)2@S+d?ft{ z%jX*7&Lh%y_!s{7C;z}tjmjnqCMtNwaKGq0Mn`uRK{ZA{?%Uue#|ezrFql7htm#GP`B8*eG#y}zX<$zjqiB+!KtnCEv%CMU)6VW%0P3 zj*s)r061nf&&fi{OxmPiECXpvDEgE!U!(5g^Jucr1|dP!EQE_I(c6X?^*k|_da{? z_4%x4U46Y`JG2(*KhNvk*E?_E+|L^|Zq2k6!`8}yxfpn(QEMh`XwaHDdvasu6~SRz z2CiCRyHKojQmvZG3)M6LLxbPq5`Ky&219rKE(AjtT`ta2s;CY7J%ED>TXL(7wU`!1 zOJMJYa6Fj#JaJ}PUhv4qit z3+~S~mPoMdlIJ^17)`k3lXRBc9P@e#`@zEmM@0bIV&O+EpZ$OhcOJeXaoy=Z{|2G3 zN2l#iPF^d>iP+D+C%LWf;{S$FeDd%7-}q+i6Qw4CUwVl4^DaPptR1QcWOe!{TEgTe ziAYr*=_6uV25b4*moT|Wu2FH}69`rg0C76W^iVHOpmE0ggl83#ofv+WHR=5Mnn*d3 zOCOT^EeNkW$@Ew+5L%!eSR^%1Rn|<;^#WA;3JW^{?8QK}NTvsS(XiXVkx3_QmXt8L zo#z2PzYJ;N^2+FjBAFiSMe92~p_93Cl1zrQyvcI&+Xs235KG#FjkqyA*x0fM8xsCt z^P>~oGxlKPU{CYGJ{QbN`%kimuu&zp5sQ}XsYJVJ2N>iMy#eD= zf+^XKU}g{uUpnyTNrPdWZ-K-h7%mRP1SCfqM&oh@2ptJ-lLLidwfr1_rXT`~-;cq0 zaiAd3?~sGRK`@Mn?M{PXfe^*{GZ-$(1Vp#x{AIiRVEJe zL;(3GP(XryfR0M;6_!F+9qttu+wSup&K|6|OD&3Qc##Mr>1fRfH^=4Lg2VcVqt~-+u6?zUrrAMlZOhK~>~H zcim#nO{U}k=)PPU?UsFItYj{DP*5=hH(=frw;}|o16*)_VzU}FHXt?9V+Pcp9GYPV zRjmuc=^!B*%35fhQ~Y`e(o1r|9U&r$J;qFq?$ESlO>oP3NCHyU3PCpSP;vk|&7 zXG%X>vgC??N~w^3z6Bc7izlQ5qsk81^dWVD)Ja%U=3Yu!K;cPge?B{5Nqa~QQZpCz zg(EXk`r_e}UzAFbNGDE5e;zm8_pu4;jIhSVyq-yR*mE2fb~GD7J)E-<6+Sw?yd*p3 zEkBm*_`CnY*MIFR(a$@cEe>uc1ZRD9j*gM%O|4-^rk7hkucwVFw^L9TjSQ-#!t)h_ zxd z1%tH+&B^`GQ;-3{S_IU=fVC)3xQ6dU zvqmzF{?L*l@{18*I|NZbF*?aOG>xwxxDBo`2xj5w8QX014(87NJ`zoc3iYj8Jsx-eiuYn z?J)sbhrbf|x_}!Q96c$a1)6ejA$)Z(h`R5f(M+YSX+ueH zMQGpYUmXEgwJUN6C8Nq-yC{^$@1~AU;p+&No9=bg6uuuFl^)cvaGqPlG(~d$ONIhR zddaYrUNUU83e1f!8HD^L<3}U5;l~?ZGJG|B$@s^c<%cgB z2P)bxClmVK}6Ah>Gwm0qWl~v8x%Mn;ee&&B}Iqy zgUfcDKQMHtGsO85&R6iCg22oBQ#q#nj|APN{ZXbU*T3y5K(d|o7x-e@9~GdIH`G@mfGd6r2ANGm&pb1 zpFLPf5w#S4OU+*^Fz?aU+g2-F3$?g_x;c}f8ZCQRY|E4yaHoH2{7+#jvr%TMI)HZtRi+oiB*^kWTylK zQ8%EIkp(3ta>308Jr^Rlk|{1(P+}q%)Fxf52po!nU!1<-`L~I`Xo5R*R7g7qyU2S% zaTiUnu^fT;27Y5bM^Tz4c-XRq7giDYn^nJ}Xh&ahxuOG#3JeI%weA$>s4Uqm|KJzM*E8u_SrC8@4G#y}r$;Ld7F?u- zFz#oe^{9ciI%sQz2l6RrJzFZYlkvl}ROYZd1Hq7|Gx_m}yEFPkW=m)y7Dx{@@Is!! z-uW<}bSu(Roj8>?n{EGSDF{|?+TyV0Qhc`X6fzFV&%d-O*&!B((~i9Vklb$ukE<4k ze9Z$8S|TZ|Tiu%J$xb{?2$Kg7YbbxiB$b5K zzZGA?H=k~_F?)4Z44V0BL65tb5%Xj@dVjzCNB{5N@^`1O_p@g;py!p?dn9>4#iwS) z(7Bcow=E`_ZwBep`*XpwAbs8!7i|67V@P@4F&8|Gl&6h!LCo1Tj50j69-~YX>4Nm+ z6!r{m8uh>ID<%~g_%=z*kr2aoTEklv6PYCG{kbGs{?+?K4Z`3=E;-Mf!8uD!N$%XG zd>K5bgj2>=h2h-boejV@0!i+KWi$D6?*6quwTv@OS?ug*iDa4Y;{S%w*e6O&ybHbwn>R*a786__naF;||f|icJhc$Tq3-W%y-?D7QHHR;Ncz(X70b7t~ z1Y3kgRQA=qd5<+5qW-alLzEtCY^BE?Zrb&x(+TG+&LGfhbHOq z@X#d6cBkvUwz~V~Y%ggXOF#6I#^qWv^oqGhl6T=hTTKmLGrrG!#`k;v+gI0rWs+RJ zyi`j|>FoD*<5bgfZ zIpZ6-RPZp=45>m;Emeesl&4a+Tk8y*okgM(T0GxD{Y1WF#iG6KYY;GbeY^3S!Af)C~qH+f=hyAg<74BuCKK=WWu>ZFkx|&HNa_%Uq|2 z44b$37^zq5hwUFDI@QV- z*aVcLGA+rl)OZe(gBBzlp=Njpq*vkuxI0w-{C2pB{IUD3_pglduc0OKnRb|JOHj+KwzD2jtwu|zd&yIBZNahNf?3;Z>*!KD zlgW?I)b$ts%;$c`Uk%+`AP9P5Ey$IC{B1igG35eR-^s7dmOB zNZd-qj+Yqm(A39jNL1iZ#hLFNeidt@f6Cw=`L5N8v(p~|M0`?dz`N~3UsFD~XLrb; zirAlLb}qqwoe6|o*ORzx>e0Gm00yx1-owX$L+mqc;8d_hH`6PY{MUHbDl=N%ZV`pT z+ii+<_;{;BjxOK#Hc^%TrqBC*zwr-F4hPA7Ilbb|$|4Q99gb$rs{CvNPf-=y(@{Y7 zV+}l6l>>Kka|2Jhr37`k&PPW}rzEo~KZefV3^ZR>rrH|iAXs^n7=G)VhKKLJ?(>p_w?@vzZyXK^KMaZNv~bPx6>v3 z-p}~n-~N{;>m!Im6u-X1fKnKzoHiD3#EKLk+R<1HGj*lmF$<>jGHMS?mOaqua$4<` zLC9+l%1Pu!3JeQD1V&ISoQOVOUg{&5fF+1{05}FcpoxGF2KO zk~_aWwj59}(e?U6qCz081*n>#s8A~eh8eTFjoA}hV`9R%-u|(u)e(Jw02D`C!`bQx z=i}nx#cezc8yiY>#K20BJnfAKDjrG=yzPp>D}z1`;kDWTH&>sb0(}ShCw*TMTb;T( z%KV22<%skUVXJ?LX4OX2ofG^|``A51SlS;Ve!P>1=!+Z3GTG zn&?JGsPZ@Y!Vb}V7E_m2qyc3`YV4sCw55U&}y&eHxKQ8Rr zJ$U;U4FsmY?(2AyNCLs?ou};7v{>2!StA(L7(JzplqqXe$rT1!vrEU7JZaVpB&L~T zlv%UF^)Z2OkrZsoddZ8MvR>(pN16*@7;ofau>%>mJY4E^U+nnU&-&cwzcm;@@lql` z;0^b>B^gqV5)@}D^fQ% zLFI;`;L^gKU7<$0geptg@u1+ZuN(pvDf3UGH-fO)s*S_M!#qgNh&$rryf(1fqNi0v2N z@y-af@ZD;8PsJRSHzLI_ogviU|Ds>^jX(9K;0l#Ar<>`PipQiO<;7VNc2tv|xe5R? zq&*E7B`idFH&p>(`Ixgu5*IbbKHV#?zNO;vHHR(H1a8Ic&nxCCz;OwrvS73BjFD6$3_RBRY_mVzVG*+xQ+x|5x)jp^hxjBZ2RD0VcTQJ>ygscyZoN7Az zmQ6+t-J+u_kIBT}cn;^GQOgAY!L4EpPhwTD>6*h2-@iAI;P)>TZq2$E`I4Z6` zNaq7gpJENgL!|xruq6A^mGog?E*i~9uztqU6U<+D<)q0tf%j!Q9lwS?`s;+bL%knF z@NKf}>}bHM6wMDA#U@>g-t%?oX_hX9)Qnq18-_{b>=R8i7NfW5YVPLWqD#!(zw&n; zeC%tHF;t#%M^m@K3u^8-Zek}rU3oYJ&7BK^f{8TE5Ih`YW?&X%RO*5af(NMZV2T9I zoeOddjSyMyQEt~PmwknRm>R4JuGh*Cy~kKZMWTfu&7BLvE+Sb+ON&gKCIUl{=FSB{ z{h+XGOp~xYX$UeZbwLEyWT2B75|#%HL5WIT@`%c(5P{&KmlA0HeMIHCr1AUmEI|bf zqg*7(YT=T*6N1f;%9bUZH=`nJuB&fUL4VDu20or2@kctbkcfjJ1$N;cquf&u&bz; zc9JQCMkhO&_4%aYWf?rF87YPt8fajr@V2PwF|oBnclQ7^h7tjn2wG8o@CNTS%{_9neaBN#%=p@yv2h z^io0JOyI?Vpn=Dij(_Q#W9ej1`vag;DvyJ)05$yX8s+;&ac%rg$%Vnt02yQ)$LCmr zl8xBNn-)K~Y)3YL{nMG{o>K=3%RK}tBPX|G|DM*LERp5fWr-lw*dj!*W@;=P>fVWx}J6nhi&Y-#i`kIOX(%UBOHcM&iPesKIx8=8k$pqqBR zqNfafaOSSitsLIHvv-BNTszCV&hmfuOaJ%3^}fi3C_|y=LX58v6NHSw{)GIx+Ipul z)C#=|o>m7A-G$cJtc(*p1nG)5!4q;DBDh`4{L4(u$XtjE?l9+9XjZ|YC|IW~NPX&p z0By58L4U-F%j3{=#a)n;`BKV10?MQn`Vgc>bwLXkkUCgyMG(dbuFUf7OZb6wTU>B| z0G*EHLICj69770-EAEouZsO!kVWc#wo+Oz`QBOzAFXoyY)~SwIl1vPrpx8Jf^x&Ex znpKA}Nz%3SqaRjilF;)6FDKlqd_m$`x+J)vFkDKGP7oIzv9xBsKdA9AVZ7uWLw25mk|5xBYS1zF9Fv$)^ucIt+`KBD*xx<(`M+SmrH1mScJU*MHNetOI(b3-yW}YMN8pps!aP zQ&8XYtU93fnLviewmNpNx#n2xM3DqvbKU`~Vwn8atymNX2=7F(gXhD>(%TxAOF*o_&D=tt2+qYqLC08{7J)(N|j2nzcZ&UvgS0 zjOSr@6t2={VdJ6{$0^GJsbqDeD&-`=XUwE(1;LBCY$k^#1A@jX4%Kxd`q|@ZT|+_?tNt z9t4dz6uo^Hd!7A*E-Ol!l;VMWbQ7f3ph)<%9;?N~icWr`?%i&jWAD+0=8m=GPdxm) zU;c8$IZ7wuagLFT;DvR@!-KZ==Uz0azBy--f_b1XSDy2+HN2K=g3;Bt+YjiXL{*z$ zYcatOIB2aCRqcnyaBI=P1hF#C$>%7l+62*|r|o~x?E_9Lc^OO)jLbUw1!X^p?(&MF zs{I_XBorI#gPQKif~u-r5>0;sO*$ekr4XI?eNP& zBC4B#v#Q2gP0y9#%`>kZMI#!?l%Q9Y2Nh>j=|XeGC!S^gFTNT3M9GAn@fXVfg;y^j zQwpIZLdqIXKn*3LsUZ+xw|5!>^%@r;P$?WqWi5qpU8N1RpaSDXhu}n|l0j06nfL~$ zP5F7e9>gXb&}cmdj8-4y36(U+>|BGSy9<&`^-SENYmoGGV6;E`^MB}P-W--!BUZP( z7QaU62)u@HiEqv@o?1l+mRC_Ck(A4&qgD}u6D~Bzxi&$|+Ya3dJ^PK}PGZGeI@)c3 zAQSV4fzN%lqhuHrK!&0W+DB@!LxZGtXs-yNCS!qI9J*#0y&wc^Y@ggLrK2baZNPn0 ze#l9Cs@8L?q22AO7%JVcKw`!)F^9(Jelx(S*+}y9P3AGnjmgB{rj4nzpd@1|Et%Pv ziu;$0Y2Q-<^-*dPPr0XL&_6FMjOm1@`sZ=JC7%jZ%_YWIqUdBy<>v`wDj=fEjj28T z6Po&JOy_IrTklDkcZ;sZbp9>6q$lOAANc-H`^Qtp)Y4xp*J<>XO&?#>us<>i09CmC z#(=3|e~K|x6fq({n7-aT7h?*h0Li=|R6Jjp6Yucb=H(bwD^NDaZG4~nUiro5R=HM)tHX3~Vq9)Wkw zv9Ve39$|eB=Q_k=H;k+In8X=9Hon!P;*q>yUpJIIVX~70JKY!h;0avPtU1UlUK-_! z=?qVVQ7#^uoiGyMD=NZ6#w`Dqlo=>0A26BMd+V%l+71CS#rM4X;X4f zZrA~qNN+m|jreub4DoIgKP`~HS1;h@hYutTsvyq>+gxFI%V`%q1f|#MaVtk^4d~lOGXYxq7+x(u)uCEqXE2*2#D67L~8& z+@edofbaaRKlFPh`I6ccO5}G}YP^g-137By4Cn5#tTzw>7&ECBDFmq;T+pUSfLmp= zK5Fb8g47ExcswBtl`7#5V)qpTw4vtRS z?z+Vba7k2IalNdg0<~0`l^)Z!t8IRq)VJ8*982@FdI5J;=+mQBz9h5dXqZ+yu=WyO z`I6{kT;dZ*c{a#?`F4&1Lz$95Vq^TL_LZ6GCfEohj?0dCK;c0y z*!T}=S`@z@EArKM!In!$ZCXIMnMi?V+66H)3P2VBjzBaqU~!9k$?X1k5=y$Qf>4lV9&J=W|U5BdHniERGw;xmY0Crnf)X>uuc5YZNCKP zx~}^sYH5njSsKZ^M7?Ry^$fT|NlWS2fwDu+ve%fsuzrSq932(P-RgUXNlk~P+KLqLt*X!R(mv`D9ozSKK z0RnY@ZpZPvCUWhV^0eN49eClJZ+*))S${NAOCGPa6JQe!=xq~AZ8KDmi)~?18zCL( zt8r?+dUvqWjLdyU6L;?hcI_qv_J|{u?OOM>Z0h015Z8JRx7f<%??(UcmA(24Z#5f(3JVp#KY{mI z&Owf{wQ|94*d^Us^qYjtBbpPfT((}QxDsB6^6dIg? zH$+Kg-Yug2x#nt|Xth1;Bdpbzaa(`gFMaqO|Jn4sZ9z9+JPdoVqFNk1gTEUq=y)T6cyuP%0%i0J4dywEf8~N8`4Z3Iw9!$R`5eV5_CtG^478%O zDP=+0alaTKFf_3OD=n3hEJ!=Q>;Vcb3QVq9DusL<^E>ad+sIUwS4$XL3L}ml_RY znR;s{fvEpJhb0KADGA7;1bF#sirzP{1U!Glf;2isG9&zVsC=kC-6Q(%CG*|Eun7jD zIL{T5?!9EbJ$p>}&?`dgeO+_5j#ee79N7VOF_c00^spZ{|o`%Q~TM{8}sqd%(@FnLPzfod^uL7GLiuMpIgvT}nCBJJ9j zO=)I)#g?~5ySY$a=8`QHg$r5~ghK3wEHm`a;?VR0T(FII->(lgTRsGHSs9GbL|9=( z3|Q)G|qUK~{MS1})XhfgrYXDVQzhWsXqc@89Moy_(V(of0rK}7^ z$x$A}Ee?^8wXBSv5I2XV>fv;*jDf@HNf6H~-*)&aB$@N=+3SpFo+l7%{dwm5W5_m2 zuF&R?uV~U=pvhHh9lkI7gmMubdBa%`@B^AchY`_nm+9TmC7HbVCs z>|Z!_Euy>9i(M8GildPH2EM@o0b&k4V}|DX4fbkJ7^OkfcJ&+X&Tp7^i>`jd)5#kD zq0ji+Kl3%yS>raR0m)1lhaAe5__QSjwtF$PSulsEE!Jm>w-2~;_TYfw0|MYWE{}uB z4>^0VZ@k|pPLQnUIG#ONuMF)SdCu|d!HVZVlNf^I*#m&g`sB)Z_TXfsefjqp&mJIk zVa*$H@RBu-?7<1-f!&3dtZ`%yz<1F9MB+EtA>dee_5c&@YVKmv8&G3@3mM*UD{^wT zItF?$u!?%vZ(e{yz6;-|%lNX#y(LhH7|^~0oU}wWyR|`>4TKmO)oYQ zyOLaR=-XTu!Jhd`U4$9SKVf3`xBY7$_|gxBYF0aWb4Z77u)Zf`Jfyq5ZZO1C5={bz z+oN!ENQVJB3f@7qXsl&s^bvOEkPcBPo4g2n9?l)kA>G;jvK-RE6tFtU@M{BBm>kw1 zR3deYoe4r>=5s}I*xOb@IxDGR%^kDG-I`47`kE%2fZbvOJO3Yb^0~OLpluT z?4#M-)`Y$%3}x0J^)P@_=e8!$N^^jxgwnu5^+(Tl22JN?Et<-$&z=aVnE-g8gM!y3;QlW+WQi{&bVzyL5^urH8g{9uW|>zg_0 zX#{LrvtQi(g9cE&;y=SUmiE1vd3i$#>_2I1vi{nriQi+IO;RpY(Q#88frPIlLG5@z) zbZ}70jmgB{C~+R?a3!I>45=Y*LduKL7f5-r$0ecGm*{CJJbM==mLF4i@Ay@p@ozl} zr&pbGcX~ZMMV~YrPPU_tjDyVi2!mroa01147Mzyz5gD8&{&tSwOFjZ4SHzzL#NFmt z+v%Ir>lbraktslG`kOU#^m)ackJzmU-JpjGK$-g6v0~0gVB9t5Mgk>(->09IR`L-s zH&P~&)nnIugwKrx?qkS-n9&SJfY-$B1ek6xS+nLN8eidtGw1WsCzU7_jkGlU6rpBm|xW$}nU3C(Ji|@Sl9ekNtPyYpQM;s9ua?g~JDpiU6?BHr}9m zCsM(C@RrgkWh%JWe?|4C46jkTh5k$T3Ac00aF&WvQBpZ^n=(ev9TC4v33-Qtsz%+h zVphG!&5o%KV7WlKQ#Dl`*$ZwA==Zr%u8j26}OYhBJoDr zr15PU3}Dx1XtLweS6Zvr{<$CgzA2?Ozw1HU_on^D*mX$aPr6>v&ki(^q0Y_e)>!j>RXnET6t@H+xk9%I|OVSKl_(|3CLK$8(2|TO5!kj&?1DMtX?8eSztvJMrS&6kC zuhUxR;bpa$l^DqWm|%nwLj!m{$4S*hA4Qc(BOQB0(y^qF8sC`7p*A7kx)a2IJN1;m z8u_{`ZX@A*@!anWZa(+J{2cN1;NUkMAx?@C=RC2h>lZt8)!`P=F9*P~dpdG%U}0=U zNCIP&!N7i`+`s}oX4<)NfE^h~riXaZIOH&3Rtin|zGtk$V!uBkZNeMBVWVBnBH)VB zW4y5GsnUx*h+U*0X3yXPSWTVsdRimQ>DNpT^1@n7_}CqV=P%B4{$mHGIZp@I$1Z5@ zD(d zeHKRz)iD(4ujMT(;I^Z*Tc`Wj!Wdu& znQ#-ob=$;JVEv2!mit%?w14RjXAf3fjYe75NNa+2iJ2t#H}5sU32wV4*A^UON8-|r zTS+%C4WjMq$GB%C~X5O zbXVS@*Zo~#b^ITG%@2Le`+`eR`6t|OHZ}_Mb{Yp0>cv*Mu&=D?#Rbm}a~6e2XZ7t+ zEXVB@p*pMM&+Lq5J4g6B7}25N)wpWWj&?DqUz39gZk~Zc3l9-hU;BM-V@5MvGRar@ zPl;v|cTmpx-}}PnhGEyKBo2Fvkw`1>(8F>8C??H~ zC!f`1hTR1*lM(6yMh2xzLs-~X5Ts#uL4b6@)PPV3bQ!|zn^$Be!3B{oLsJG<-0du5 z9xE~-=7Q)&SxYGrgPXgtO`6qr!NU=dG9LPX0;1;PVns$jE(kaYhe~r^6(&bYY9a(_ z*j*4KI`BOOK|R?E3JSy}!Bj|phF1f+>9XXcX;rgkS$$@h)S6Y^!1#MLu3;VJ6UFj> zx|E}LZ7VbKkCFs-i;k{5CZp@uky8F%TvPh@k(7A`=Reyc9184eNuM=KI`0ks=g&TO=0&5U)iRwWPjctB+&C zVONb&0J#fBecYy5MgIKxx0TXTtB<2})R!YtMn3A}C!A}ayQd}fLq~la1*3jNTGA%i z>f@OFIH+2r>>v%O)yENZU+d!!qfC`R;cis@UqFDa_3_tnef*AM9!*8lamD{WS~>qs zB~AD{S7Z8g$PylY|G)mx!Z*T&K3twF~5?+r}o91>zgwAfo% z8iJBTAvpU^V=UW&+*5g>I}C{634kv)68{*Ks%2)$raOOOff5tKog^G(HE2U{M(A*U7=Z71xa%MShla_NZSXserrj`>gOlO+{&uDic_z9 z<~EGuew<4o=Y#oqEOQ%wqr~}0bGgV!DGfXm@JQW?C?^7GxXMT=Ak_*`N|1;N=p@?# zG(v$8!`K4og!ac(0ZIw#Fz?TYCGFqw!UN6-q+C8o#JAgt3wyEG`Y6&ZlOW-=6r%M^+eIzo1*En1ghDPL>}RL!IV=r{fV^ zMyORDz(AyNW(el;C&=*l^I*kBiV}jQ{CNU`T$&aRVCWqbN~!YN!GVO=Lg{{QLveh~ z$Br|@I69-gSjrhdZqHbYu)H%2J57PV zClkx}R)a{+&;IaVd&{>^(SGdLdk}p??V#6UP}wPEs&h^1)OcRmiF(!uUukL`0e#$= zCiPsVI?Gp@%T$@KbkNOCjn~c*`rVq;0c3%)&E4#|Ots&Few3;aBcX()H4BQy^Ch9? zXc6Gaf)GkIo_z(=kz0E(V4H!DNP?bRG<}jxtv%=fI3Sm>@x2?Sf!A)`@q3CIl`lt7_bv%J{4lf>`@Qt@hUBClOW#nHVW%nig{Z#r!Cgt+B{MGBUwmG}n5yh_ zYErCsq9R=-j-da#w2v^4I;7FxA@B;HKJI9AYXIKmo?-Y+qR-$pw-0K(qi;%~=e6 zPnpzBtg8zYHq59HUI4J3*z{OYUVRrt!U=+Cphaw_l!hTFbrbJW+n@qNi-lpsX8c1? z01Lk28fruYms?oF+E7MQ?H6-`HPuAY>0~3WVnqQg*oyEr&+?NEU z1<(hKPuHm0bjQ}pg0HEFLR=2W4fDJr;_bdG0n_Y!5)^0tGgW(gyuXtD(y}9-| z!VLC*)$-1DIArJdthpU-gp|9|ro6e~20Z3)NPGXTZa}Kd-`qPtr&kNz@hax!{a0Rm z_$6Qb!aLsipdCVc`{!l`=iQ>K8}M}MNx%3l|I@$vMNv=E`m5KI9C1K=9_$>9_C}3% zfaof25t9rNEh8sLf1pS3iJdX1-(kD7zOvKW0QPn;i`e7PoL$0N4a!OVisA-1co6eJ z6zs4&2yIk8M^Pp&xLobDOHOQZMnzQk1dOImenyxr!#rZe%WNTyg%}ckQ2X-a@CjX~ z3^9^F5k6rb&T|yIdGvqB%w+tHQpwB7Fw))Wy#d^}z)fG$G z8VF`-K|UbW*bCOOH6Y%q3s;!0)GaB|hF=aSK-ee^^@@piiY1HdmT1Ezaovd5L=s~- z`ZXDCxFje8t*08;^m?9?dXs7n{}t^#L-NmYdQbd)aTI6e=0CEJzA}Z zpQ*B3iQ8|Vxc%}JqCL+O+HO!~xuy{BE`>Pn7WD?{nnJvt6ygW|-cSDZADuGlW?^Pf zaV$A6ok0eI4ejZ%+l!EZv4h)}17KUoHDblX0mB2U!OTYew1tfpK`f}TL#&vM`dQ8n z#twey#w3ewA{o7!t$#M^7%7PA8Lj1ZDMh?2Sd98P&W>W#&y#j!EE=309rAKmqba-l zfr?Q_b2DSM2+cf{E@#quBgtZDls4GvRq% z&HB?x34Zz?|C~Snu8(gWRf-1k%AjF`F5m^(a^^bfco^^tb}E_}Tf@w{uXsY#h){H# zK!j%91;IqZx$mfE8QLeWNVD#OXPHK5)?KhM$?ukka&e9s9!ay_1W`#t;sFIepxo7? zu&;12H0v&i0cX5w3hsvU)L9vHNn<%7OZXNL*82NYz@;ZM;8L9jTmmVtT>Ic6Gy1bQ z%>uYIR1(hf2#(MG==8eUdFtFx0E5P+yyg1?CU?b70q13zf^_tJg8=I?2Cv2rEZmKUNF!vwq@6&xF73p! zT=#XiNy*g1Hj;$xn!*$tl(0N~R&oITL7nX)@Q)0ctl*#01-F*0f22WWJIZ`ICoSy{ zQqR%_2Z0Jc;&$3!z)op@z=aM4ydSzq!yL*_QlwNTV zkfv|<_h#f!Y1{pMny_uxtipWTcIy>M(^eC==<0sVzeOMwpHVbkEmheQtd6jS@j6UfOEm?(F za!;5Q;YI*j^^(jgxa8>sR#CcLa8xAsk$=eoOaf(%;*yU`R2BL)GcXBN2fA{E8)>&m ztU1#Gc<`tIj@pbAno2d>4^S|$Ph*{PF64$EGj-q|h~f3U%<#9(m&P&?v(33LW8kB< zsjJ%NLkA$WZ6h}gkHDOP8_Ni2*GbR!0?9H~vynC1l2^UH2+}ZPH+r!BenHyY=ep790d&B0|w<_zBb@X<{V`2tTi z%Y}G!(MX_PyIlfa8wEY<>wt+tYnA~axn2&AE<+Lh+V@)+f1W46hpYaI6bS8Wf^bP+ z+SST>uhP-eL%ukkR)jEM&F$V``bje1BXA7EvFCYihRpnemuly^K~IE8Zr9zfH1Ea1 zgrEH4VAH7Y+lzy#K3;Jfoh>9!CWQRO;m7>qV9EUAaPt;k94wPx9B!r;2j@^;9B$wA z;+&Z}v=+2k@+!sCOK$X9p4jjzG0J6wP^pdCf!E4FHZb&qF+0?(;dgE@B?r$(+aF_l z@D$|z<$+2Es5{5va?$7PC|9-K}WE|Vg zVq3zHZ5M{fcG@53oA3X2x7e02WGl)r?w@SO{@oBGeY{#2qQ91JV(L)Rm-p^ts|6{T zJdI8K)-iSHxY(W&5yyQjwnMYgP6F-~M^(#ezB<3hFXfvhs%e9M8by6@cQ9b_)c0`q z%#>VPa4aEOQlh$HBYFy>^G&;6rw&=CeY<^*+>E=zSY~+l&fXR7a-BMqWLErdR=3Tm^jJ&- zoSc#6QW55)NG!XP?o8^Mo`AKj$w3e*=W~^8%l6{o^MBo`#Q8j|crMqU!>p`kVZ%Go zq-*kSGz1Cn07+7`P{t0(+OCT`^R%<7a48Py_y|4VV&oGRpCO$vx5J%bW;DCA^rwse zCyCVLj>>l0zjBM|&*Lr$$4$W54iBdID7C(tEu6nPVd#qckVOl(*o@_A3ui9hXANmJ zUi1zUY;w*++w&M{Z!Xf<50@f+_} zTOohSf?_pXGRjk+lj={h7Tj8S3OJg9B&|lQY4+uGI_=dG*;lxpQgAzBDMwP7Ux0M33@4XW;P8WG)vvic*VQPQrPm&@CVpKns4?`co7flc z_vMP+-k7JQ*yk-jrr7`I|KxAIlm53X)K#bB$rby)n{+=fcZi+Yl}5!jApl-UO{%NxgBox z(`m7`_u<*ozW>`F`4ivqoq=Ohx)CoVJKs?&B%`uAU`C?$ZG!NEMX92j%Sa*YD<~}b zZ7z5~F;~iGJ9z9`LJC3N5Erz9D(I~+#6s(AAxQ1(f^e#dW0!&e5<*mgAxQ1(g17{D z{7}=o&315()V?kVjt+3HQg8)xD{4Acr1o_|pxBVxfL6oLBYB{pgMwqrFs(`M;QFd0 zYG~b(;#Rw)x$0aK;P+mV+SesdKm_76Nk+w#nRo}t`C!ntyVWjxU}K5=R1UFN6YPy#4h=YCUt*v zq#xd__f;u}r>@(Tpk4o#^}hXO2wBrFT;q#cwm;n@>p7Jq3;K51$qRSwIvy2DjnMq@ ziK40N*mb}E3mX6ya~SVd_(5&}yyICV3JXihRCH6L>2sD!n@ow$I+RKvbSP6Hxzfg5 z&LujSsgQj>VWfIWrAQp+e0)AW>G(L`Tqz@f?vm6@*M_WR^5m|kmtPTXNI6Q^FWq&d zb@C>vdU#h`C22;Ygw-2=yDv2u*S@;D>#H+mIdO~nkmuT0cRPJ`pZ#N>_0_LM*r+#S z=(tFvMRLsDz}6SX1*Qh35rT)qiQYptc-e8m@MuO_a0+(7jdz0CFz$h;5q|_>rnKMZ z6|-G5OOLMlE%>92EZGHTqxM8f@>aeoM}!F+{RQ#nV4 z$2dw<82*EO$b0$9{%?2)W1lE>jU0>DAj4sjn*=ZD60hayM2_>U41I>jD#*-RzBghl z$b9J6{kb3hWubs?AVpdN-bHl@QY7H}7?lNu5npkqFlyZN2ULHx*b#zMz#+KephT>Q zz8Ot*g&-AhCkWW=lC<~r&{V)p&}j#ukboDgf>gjRh_bknBC+zTDZ@B46|f6p9tNpb z(AW%mLd{l&AQi9+8h<`(K?eZ==buLuuuB5$XuZ;a8uV8q3fLw0@PkEF16ti3d{PCf z;+f8zsrFHh5m7F#bNFwkZFJ2`%hGwlkwpgwy-6lch7#w)r0a~0%leumwHr~FBB?!0 zx{pl+jT#q8Ur>6B5hkT?=l2EKGd|&RKejTQxBQqg{GM>bxygv*$Vjm7%X_;CmDYmcxonEn;Ad zuV9*vEG3J^j$@bVG%L+ehDcrI z0ns0SBNkhfN&hiw&Z59nhZ^`?H*P36>zBP(Dt`?S`k;!Td(HGtpSo%uf`hJAjHlp> zCe@r?Y$-S+`{oI)xd|(K1uj+1*M!yGC9LM%qTak+6IQpAu=>Pb^KXCY=LHdz`Wg;< zZq7SKPf5*bY^HsQmzD=I4KlDA&a_XS7BXL0k~Rn#FexPE5F^J+$L*4)5*=*-5hy&% z&dOW_KgWY}$?r#|`sR2Ev<}2#APm|7Al(I4IbJeW3kqt^D4%1FmoS%Wr7I$|QNthS zSmLDv>^*)TED6h7dt$Dish1G^06QCfl|CsKFFDHjx$>R6Wx=kxZUVc@JLDq924EankM z%7+-@(vmg+9oLpL>F7-nki4N00ZAF{^4gC*(pOX3$kOk<^sMo*KNiXF)s)_jDgB23 z`p19ni>6KK7Bvl8NX*9VGiJqcz_7+llB=0rER|T2O}qDMo7Av%nvt?~_v%NeO?RqwXKx}N-}iUpAUGiRmHz9t z?@KQ}d<`qV@QRpAY~@?T?|j|*)tt#Rmyitod!O@JpYpdqp^*%YLo9aNB|(J*MEKO? zz!2~)wXNsO)&XLHB0lYLF89;Sy5Ir82}x>`8NUZK(#*P`C3lJL7>@SL5Q|1z1k#-W z@R4TLS47{dVH9`TOq|b=X0{3H=u?6=(A?WyOo3P^VI$!XoPb@gTFk63Y3whM+$^P3 znvY!|7JHDTXht2uZT|yFNaAar+U#rKax1oE(sXN(S{YCtkpacNc-TH=XoP?1)Wi=0 zQIC&LNKgDA=Ceux@rjWUmW4*Zr_=!cZ-=T~potGX(0BnbwGJ2=x@PwC zQ!+i+i{|Z}ws<0Y$@F9|jBA@1WvtadHR;h_z!N3@7DwN#ZT?O=dV02TbmVR1Mcsgi zs9!TZ+~6Tvu@r(Q5onwK;TCM99by5zGsur9iQe-5ofg<+la#J*>A5gAu5|B7vy7AzXuR8 zlJ3YKJ$PvE0lsv9mLH$&J-`Z%4dTb{Jpi4LzX$yI#P7j53ZNe6E4ba1FB%Y2BEM3Q z$fyEe((^o^5CV@&$=5t>3Lya^N4{tS3L#YY5`~e(i-DvDM^Mgpb>sXtU_i=@I$*fj z6rA%$V!&8VIfByhL7{{7XI!C2(rKI=@ew zrApQ~WSR>Xee-npnk8w1?$6yIH+yxul5R1I^yt63_raiKQMKvt1yiD6X%=on zxLYHwIN8$!0!d+|fEo-MXOck#GHZv{OPY`MVSp7)_7HV}9ogYT&^Yw$tgJTS=NKko zq!lMwLwKuaI&qRQgk_U75=_US5ogkL&=5$@pnXvHDA|b{5*{kI^00nRC3o`A~8T{IEC^xErU%IG(rm%O;F?0&>h$I5euB3>D-2? ztkBn^Oe@sX1=xE>fC(P=jhx%~@Sl0p-~8EBLJ-1Iw|GV%dckD(D}k~`Rl(V+B?RSx zMbP*9CQ#0?-;e{gD_>-Lsxe|V-^t*QVWPv(#;3d>KgT!mNgamF`dT?(E92{pe6ODL z7=hqj2Qyv9FD7)+$*`C30h})q>FE1`3I=}N6r2Dyfmr2ov+vBuEe061DFb};9oZBf z_oIGn)4W@BHTzFzZo=}E-p;BEbdO6?j!NbgXWJdR1iG6&VGs0^+gA-2H zL<8=iuU7DBej87RElM-EAVlsq)xs}me&nupbq?}ATj{XN@<|t&YwlHgY!qX+Y+Cz? z4ZmBA{$GFsuZI5?4L=E)&{cZ5X}3prB3!(h_W5?>)<+`EyG2*iKK~Y7f>!v(uYB`^ z&yRj&y%|H(PBI4LdFU-tHtkl~Lhl`q)K%`^hpyj#S!jk zfbPzbDzyo2kJOYRh|r~83{|QN0Ph5= zEyr0TcDO#GG#Nz|Hd1yUAF^ze_jtH$+)Z-Fh6j6^O z6K|?|hXBQ@NHZTMsN-lX;zP?m5tT}0glaV@Pkh(JymZ* z2At+lwGXOGrj(wkL1*_Ia|*{4)f{CuM`ldnd5UMG#&c!BxqSwlH;}@+_lJMv3#MnV ztVy>8^>kVuC3_yEC#vwAN9DAZ%rx+u>!Y=32agIBo(l#Y&K9hSqIE)$3a<(7k0fFy zZw?~bPLK-E1sfd>2OcYCJ65E^bHSj)Ie~+}TagOS1y7g^ERGiD&RB~T$@_+$;lFYZ~xBDH?@t?V1|*^UNO7J|rkGW0hf}KC7Q|;g z+38x98%xZq;`B(}wv5JK_ZmyktDMt2+tvl-|8aUe>GLqV>kO9b43-BD`jbH4-nt1# zdCZgjj?ey<_pKw)zae+JT%!G#xzjy5NJJw<7BOe%qJBEr>D8tH>}_yJtVqRSTYw(h zuoiZD)&32oFLS}*?4RiL0{Opkli*?`Ymp0%RP4T@Rb-iDg=X)rI+sNgnXX%axR{bV z<$Y4>v=Or5nmgr;0`cJ2pwsORUdp07umf9mc_Yb#xSmPXN?oJ6D)>%x+grR6U|GMI za(kPXoRzQcdZ|tgofx0;s{9<^jD4cSd0l!9^lvfH2eygsfj|7DdR!q8bb@?j1@5u{ zIyVhqr~4Sn^Sge>|M}e?3QWmV8UO=p`=EV14S;!reLy^#1^{=2@w|GJThjpKh}3pI z4r+oDxhV~Ryd%f%AysiW*rcmiF{c4q(;>oZ^Gri9rvb>)Bz<=WkW2N6%8~|HlUjo5 zR7|C!g3sqz(g4U4s0Vuh5xctXacohZwu#D}=W=lpeX>H7XOnDc4Nz3}zmQ-`nxr;7 zqJYlq=;kf}`}V4fU-vpNw{ zgcbLg3oSuttjM&fuLz%zfJz9COtulLuQaB}ZJwEIBUax8Q7uDKf-7ROL4Rnm`X+eT zZVZ}rS{v9a&QYws3!-GFl+Gw~^((5?H_1K5LYO3GG<27(R^KF%A|NFbvI!%@ge3BB zzYsuans)$mgyOyYG-uj!5(BA8eb7dZk|irycoGA-Nc;4tF=TbXsm^B4iMwravI4ny z`;#LNgcT^(_VdBqQQyV?4WY45lscD81?QDGOL{97N11*He-b%yah8HS)Y!4?_g>%$ zWL8|>6RXMkt$<{DtQTlh()sD2YZGjCSu;J?3w!s7fZ52T^&*)bY#iOE)1qjt$)a@h z^kgr9^47`Zc(yF3l_b-ny|95MI69L--2c#PZnz`2enCJ@Ch+cG=YD_{6a0v4O0*GOYabR=siOZ!Qtgr%l}nTuYune<*qF`p75(2anfM!} zQa_Lb-`ksYAD-I^4`3S<53dX3F%o`U0NzQ5VctdojKjCaJdeDCyzl`y#}1jm4_sJf zGCdLYmyl=aB>Qy2GWb0me`0KfW_;Vy zMIJNbZw2ZRywdo_y$^nLSK>kI!2>J0dnNqX1Rf2VQXV{Np2!1^s1Fyy&YGTz`yBpn z2*oG=&i{>X#y(MMBDIvB`o&(;plApJtVyvr={}{$et{k%Fp1;dAJ92j?-No>>A7FP zab-S`YeGYGPqU<|m|s3WHX$s_vOd+%D@4wqDJbgsF_|N1o(Iv-^2N2(Bdt_R^0 z%KmkBCKg8|bX5{(p~;=Nc7fmd(X9fCHIawzTOEXi%q0OvB|!n6d%&RvlIgi$Ag#KU zE&@Q=PJ=7T_sFWMI69)6{#{y^dxQ(pqhoq{e>d0p9$B6oZ!+c*DeXaA`zGn8#SztP zdy|)jDoc_{Hz7@l5xw%uBV?$*=e#!=S*H9ZV{=yaOI`zw2jWe}&i*FzVyito=zBPkU~8VfwI4Br~PrhIn)4C`?iD{ z;AXG;b369O50*EPJ=#L|wBHYO<`YcnlD0`ZfO@_3CTr3sem~ic%ja{jWN_Fpe{xi@)xTI|a8@4D-k?X*A6H{bu=3TnL^UsBp}{=2~smVZBeygXF9 zue|eZ6W{JUR6~9Y-|ig2%>39cDZ_jBvDJi;5aXr`b5qiOZRMR5GJNxOo&o!q&v?%_ zzCYSVRJT69r=9u%W$;I~e%Y0SExFkx&z@Z5)KG!ZzUI*X=^mc<-IG0o*L(G}U!R_~ z7pS~iYppFly(Q0X38UPGwlsqr=C~PScdDBq9+=@U!Xqa5X&T@@#g|fj-Q-=Ro=EDv zr>#dOta3|W)=Saf6R9#drQnhX`@z&*G5F%OCW9~Aq5m;{18pN|f6V+VqlR@pN9cdq zPW#Jf;Iu#5P>TPs=6jxx&+XX1qw3I~$MyJqY$8Oyvt(Ye8{=aDm|gN9eIi%bC!$FG z^Vx6xA3x`pg=*KzaSv?)^pi0VOZMQIk;o=W%wmqU77bpp%?U{q2%;vgt;``P2GRv} z+6DyC9Nibf#dJ2oBgf7msDe7`4^7o>>$6eA=!pPG(YPWGEw|iOj6vyOHSGl%sk9Xl zbx2+1fV7Oph_RwLk+$M#k6Mm|h|3LnscQwkKwAt`zzY0vfaodE7P5#)=!I}fK(bNQ zpBJdKO8w1>P~(vs>Kt9*t_guL?5VEe3eUDK=!N#2f&bebxZAYcfh#yud~hjscpGR; zueFWK6!zKL#(k7Jpw6|JL6=yyw?6nNb);|SS2pY|n$T8*%Enw}IB)qeW%$GY{+oaA z*M>5@ML+j;crPySbI%ZD6h9YpFhz9%zGa=+;W?+Y4f_c&b`W}a2X@PzCL(2R&{McV zn*d{cjOhlIK{AMK`-78q#j2F%~bRNuJ{|7Vw2jo+mOc_vb9>aK1si zn@NXJMap-mIsS-yfP<=!n*@^WJP)Y!(0roQi@v)~vfLx^1_;a24rLncjMdu9lS1r7beLy@qK=K)u`mya^8YA$ z7J{Xob4pW4+9TyQXqI8f{Tit>}YAS%8P#Gzr&n3u^bN?yhU=QkQ}F+7f{ zhmFRn_~K&Z*|rt;ipIdh1E&4{Vk9r)f|_K)iYWRr)059p^D-v6J8Vw48cH5*giIV; z^D-{!5Ci0I2JO&d?)*0g?;ispy`I6*C2MAD+QfE-*;}E4iSwLPx~e8?Q5vw2zS$T+ zkOnbvUz z^A}w5u!4G)HQ_F35S<>g^o*nA3KSZnXrjdXXIyjAc65+xGUbZL41=N=Z|9?nzu-sb z@MTR?uGdDc7DrbAt*wbyTlqDiEX<)ylA`DR+E-(P zt(or;(ziOG3K$)U%*z!eCA5G7U}TPK2SIZEJ?FhRz)g&!Irvz~lLzEP?qZS$&!6io z)cg|xUx}FQ-(DPoG~yADM>sy^h50$YiBG<&zc^U+5Uct*`-_9q$G5R}eB%0ean3+D z;31&$5*8a49}icu_=4-n78@o#LnjcD!a$@QVDqbs!0qB9@cC#i3Oo?p+q}PM&2Vu# ztb}4q(*6R)$otE~llJF!IzD``>>>dj04Pe{UtasPKeuClsVv3j=1Am9oDPs6=aDEt zh5%|3I{?{o5p-mL6#0-XwL? zu8jqOyOIat-dXyC7azWcqrG7BwO@Sr;I)^(>|F}umi_#29cu!2YhRVUc%niR6|27d z@a1>C{P4wh$i>N}aS*j!(v5^tOQmy=3z&UZ=wG)2(cl-0_Oo%hcEV#nEqAf;+5BPk z7R|dWlomn?y|+qK@w&e&tSSH0umAIZ?N5GUi(PIgqv#|^gpkXV@J{FKe@@h&HY+xS z!H}R<(U%G6H?)lY5<)E~3tCV4oHEK1z2aJMnJl9SyDkB>o*j*Ymof^9 zJt#V}IC27`6r9R@a|$qjcU&?Ag(O%Vdgzs;>e>~pK_#J*C?=IP=Q(SLK|Hb4y3Zxl z5vK-Km%V+b@xaG-M7F7Y%$^n(&;Nzz6`%6= z{2bqmeWJufsFR-Z3D`U>x!oDS7|F}e7YCWoLCG#X=MwO+y3sTyVDke=wgfQk z=(C~t2)UK}_ypdt`UD|0nql6D73Ma{Yqs<>Mm*_~@bsfw6DgkGjYj_YNv}}+<#DI) z#AYwamLi!H5q>37Ht?Om+dcr;=X(TvBi}PPx#)IPyi7XJe2-A3B^L(YGf|A-8ws7<{5{lnX1E2K!4KVf{3LftH-9|P&s2-6`x&8@I zJwWh?pjbE$$M6Q z*;R%T@Q$ExCPC~g_-yo4o1l_L>nvGK8HOMYgbTJTI}%-kf-UWX3+~XEC^iSv3kJnN znujKcDmIemoL-<5MEM+P9b6FO1DLl*fe-TxHC}wTufE!(s6apPOJD!RuLL)azLmWKMMBpG$^dPn zY5LF*S%Jnt8Q}PO!K^@Is>=?82g_TpJ@~F3a6s)^hwc_yo~%f+0)?JoP5^olXulrH zkrk)~OTU$s*}VJ2c$ zTtVt>AYl6n89h;CE*aFSoU__pjf9Ub*{D@_%Y#M3X-($enxwO&pTOnTU%1!|mjr7b zyQEo{S|MSWZ{uUy?q*2UqR-9LY3%51O3c=|<<)gS)DUJiKIxZZjIFw$ho-WJ{b|^u z5bKS7>Wq5dONVUTb)L>;bP~Or!I!q-y3j+H-gflR;nz(Z*WD&u3g96x_X6w%xFPho zF^-)PCNnbeH*sQlismqx$-D1*&u{zcpZ>Up)6u@^p8H`B`T+sUj8QsJ`&zs8bNeM3 zZc!#-F9N;&U@Un4ZPdOlc$R>H_rnE`7$&N~>XpV1c}2OAM!*2{zQS+NJGE9dZEHXU zQv3Rf)-nbDh3&xx(}y6nZxh4^DZCuEd*iDPL26$Ygo0#E14K|8jCAO(THQ!H$(WIP za)QxVQnatHnMST1jhNCUXK6}RJ|oFH{bFtPhNrAAU8(xNH|ab7p8t-?#NQ}!HstAJ z7}b_J2$AP71tC{n>k>rC&l6#fusd9?@a$oo&`3<6WsP)iuELwQ{FuV~7vJ*}|I7as zjKR84ukiS~!cT!7!;s)!j}?U%95x$s4*fN)3UBXFM^L&P6ipX`S>f$5l2D4781*du zAvYfG(h6Xz@rWXgxQ39t-RVrC@j7Ur|Lqduo15C7d@V;O;ZS#j zPU>C57JS3!mj)gEX+e1EWqi!r?w=IR>6j$wl`utt&pnu+`=?ZpoObsXn8*zS&srIL z{(;R)5ApB6xI57Nt>wr=-^n=HBsXloM(EZ7o1rx4Nv=njt|XGs%Ck7O;EfF zHWj-J-aBogGRfU~&7-5;YPqyo8}X=W6O~J1Dg_(^*!$JW=xdW?dZ-tt(-vHWeEe6S zLMfiXq!m{~-SDn6aCo){nw6RzJ!4pXeTTLJrQ)!(elBh#od+VcgfzYMvpWx9&^HSjv2CfZ6x_N)G<#EB-A^So?92=*jyjRUNLaP~0zHQ=L z;1Ly6$2m)Y2Rb#Z%vnmo3R;!WX63>htR!7m4UnfjT5aV@SX*4A*2&U3R3et7bJa{j z6ZEp*lw4bIn323TB6-J>6mW;t()MGwA2EJy`lNI2%D7xxaO|#dmun+ZY7b+A>tWX-kHv}0uxnLVH z4qixed_$0mqY1(-frA1^3hueO2rf9!MHmGys`-v6Ujpbe&OrXEIS&za4|abN89le7 z2Krnm0ddTwg;{eh$HOA`AG4sD^tVJWp}w`i83qpZztVfG8I~fS)%_rK01aBqh5E{A z|7tDPcCkK*k~424w?6IrP} zRun&P`LQT|{qncJcapN!I%^QkY?cGxzee$Bn31q&in3Ozk;e0zDd+)$P*$8lSqn}C zjko~r?qi-5@(|Qb_9$o`6r}oqD@XC*iuEDOTWhL}=%hyRppB5=1f`z-&^3xzz&IQh z&dVub3_{Hm@R0CvtMNXLsnbII;Ip-v>W4^O>b5ubnNGVT$QcD=>#8lhxtoaH)!I1b9RE92imJXIS%mvS~!BQEz;8`+QDnl1M%LPki z=z@sj2&)EIdbu)ux_#AG&<}v8iNnSL+6tyilBoj~Yaf~YfeQhuA9d}LBp+`-I{1Z{ z&mwdH(}_gEy5ywVY_6DSw9NV>UYq`PZk;FXVl{Ey|CxttUprWCzk|geK~j8UbZSda zbenK{k)^uaxwPe@**ZJHyVt*!zZtQ#>& zigRg_(7R|EWCj%eDot3Nr<(m#>S72H50?Myg6!FmcI?O1 z&W6xe-}0I0WwEFFQhj$L4^ku_Zzfd=N~I~7DYL~N^}Q_fZc%SDuDvV|e%Hg_`Cz1x zh!519cB9pjsoJ0W`49g$pBixtGAPK(v{bEZfu`WJhq=`fwUudAkOrD|*S3(WK%zth zm&l!nPaT4is`V9*$k9q>WefVuPEb;{CJ1~l#t%uV7S-fVkapJ(jU$u2k_F-;D#a`s z#-V9W##-^i*2br7!}&SBiBEd8n(6LRK=5zm=~`a9@V}5_;!3_<$zvno-PN-NkdjhB z;v=_|H^Y+0p#!%E_EIxl%CgnidY+ti!(Ww&<$DuD_4vs@`e}dVw}g6BTQv+zq+0>e z#_D*M4*UT2VT)go)Q0K_XWEc!%|G`b0^}Z=?+E`qhb0G0dPm}jLB!E|0z)u|B_R9K zf%A+usvmDqT@NBKe9fYb-GF~Nhb0#1;Ne**awuO+4ofUKju4`a@`!URVaZ{|N+7NV z8E?_yc}dNA`&sVyq~&5*LVm9LOLlbNPKdVI4jG+G(5RE_@LPhWu>M3(s7OUSQDLca zdP-4F)1|H^?GN4|`ScnkQt5C|j}xSCDjyCCY9}@f)(Tv~`zT;66q0O*2BHE(QZFia znAcDWkjk(f`^$FPzXv!WZn;DuGBK0!I!KvM0Ho*##qsfjl}&kRL!rueip%pn_JU1l zyvK(E>uWf%{Fp-h!{7VP|M1U5?}H|cT%lU}2oxVn3>e_sZRv^Jtd;ZTX;Sg9MXlt` zfr!*J#tIA_;`G_U;KA|^v_os>ne?(*{W1i3Z(I;kK;Sl>5xo>e0J&_M6$-vM{(rBIZLa!GAthh)o3Xr1eMJSr!N>q4l9ACE6@tFlo zoAUF7UXp3Wsn*A@{jt7J9T;49GrTk^BHw*NsXVsMva_35eoSZm)z5r+^RtngR*D4I zSw@)f+-{2^7IhXr*kG36QlQRq!L!5=)LAZ=Mxd=Eu*>{J)LAarlGwWu1_|mc7mR^r zn65@Gm{;WE=z=k@Y-Dg1b(Rapys|Z59Nmi4SxvBwD_i5bIze#)T{4DyEq&speZ{IE zpGue9x8YuE!rklTh!fZ(W4M>kxJhf~yA#8`;9;s0=#r18TUEyelA@_i{lbcbjk&c9 zLhrPxt4;s6`?@My7c%Yp6rDhirv2Y*x9k_*suO74vi=zM-Lj9{9&77O=)-}!y{5)* z%)4TU!j9jOtbHT(E*Kqz&Y$0ggbe;-at>el18x5%#r4}ej?2F*~|eyp+8_CQ~q zh*@)*<`5Cyx)U+)7WHxOwZ48k_4PmgAN`tN{1uTqP+-105eCyo=fajFSfy!V&;m{~ z)daOf0l^i5V=-tB^{>+WKn&^{*1dCMtG+GR0ZkM>WA9@(Sl z{$J?mWo=bLr&BR#S|E#rUe7QOi-~M?Bmk9Lpvaeu9}G}#geIb`7@$EVhmT7#K+^vd z29OEW(n!`@Y}rox<9u`HIhiUiNq~xXnU*m~i zrakpn+xYC-#(B5sY8!O|8kn1&!gIzaDaq)q;LYJiP0q<||h8Q$6iTiU(HiMu& zCqQgAo3wFD@ga`>gbswprd=zy+WpsefZZ2H-OGS?aSgEFKmqm)AB9%UU*riNr8j^? z#{Id!{42ioeJQXJM2Htf4SoVtnqX~F3q~-|1KuRCF+5l6>|w)BkLRLxXe(}66lACb zZLcNF0Fy~zV=IC#jRUuEXy}`MMG0(75b6-U-2$OoO1?FN&Lpt$6%nQ>)^52Gg1Rgy zfsF|w9jo)UP7@6~hwV~(g-&aw)XFQ0^7hNducza~NEb&7QzXTAwS!wRnAjO52`iFP z#rM?d;adpOu)81qYO4Fs0@WwyWemzxTLneX;FHv71kdX%`Z#NWI?bTsgpz=o|X;d>>o*H5*X@ zvdWLu0%Yq=DE%uOX?`m1)+>qgZc!gBUhVSj*yS&H&#(T8_eZu=og}x*9w!Fz#d<9^ zFGqhRq>EOx4M=!uKzT#JeKL|BkNvcB!Vet*HzMjj)-M6K5?-@s4GG5X&?Vq*#1|`= z`c5zh+>Q9+xWuUNPLOul&k>1S&?`x-zsG#qdetT1KI|~LRwdco+ezkt8x12{SreQj z27!(rsI;Orz!rudiJy^gj&N)Fd19zGdhgf@vKl5Yv~K6&ertq-`!ERNb3z1d#5;kD zp%cc&-KO&_H%OssAku>?R@c1T(-0G_=09lUbbD5~e+TY{o{fe6^f&Aej+yq*KQ86r zAL$LN2XJdLhhe zl+PiJsnsZADmCu0uTZuU)o!?ov(qf(zB>Q|(;uXX7T8tHA!nT@Rut9YD+0(Ny>5rY zQnHU(P&9`LT0b5%2Ve&MIf`ocLvPrH%yQ5cMYitHqT2n?cqo+zVYdYfa6ZRbx=7W> zG6gys^u)XTXhaRkxAFf}AB>T+>GB@)*wzKpv2&C&JJLB*#JNI5&g#{!cUUZbXgvkc zI7agRB@mGr^%jOM{(fDfoGT`T8Rd7qtgQC)DWe!&y^$xAzW?(-_#56Erci+fZVDZC zaL@rWC<3DETL)^|S7r)b5Tj~r681=U22G(09>8v=SbY+wikXQOX$qU*xi$`(LKke# z{#bVgO`!{(?arVnbiuRT83hx9Ijh?CFw&hNI?tCxf(hAe&~~Ac zyAEq%laJb(8oPj<5{>cw&5@ZsE>IaE;j!%-==0J{dEsg*3k2hc#~2?;?vwd#3j4wpk43Sjssv)jp>j-AIv8 z+9@Jsrri^{T|#m!kupR3L+y`%^0>?X2o&{7gCKK+mk_)lCy2UTx?p70Nzr)U?jTExMZ0r(;UL>Sxwp`DLHbSP7KH!^?oi z>4Cz{3VB+9UqP-bjr^JDhcBuNegw&gET4@U7hZYouCTL05KmL;=V-++Fe{dTI$)Y~dZtnoQ>0 zLRMVPGvA@}luh{-ou_On_vjpDlW>*!d7hzcdj4%<#9Z=-#F08>>!riaR>_P8B>2^$t(d{QqP^eSpRS9u|>`zwGB;&ICPkP9`7dyuZ z9aLznL>eyE*e4$3EH-OUsgw{rxm^RA1Ys%&w}qw2(pI__?>hW6*$zJi^L*hCr~MC$ zO>vtbP~0BbPW!JHoGTKg)zfA3fice)rPSD;^NI`KCaEkvKl%roCG#@NUVh6|o`lCoLPPLiOav$4)r{it&#krTlvwce-5M*X;3aX=>o zmwODitS&{i>Bh%I!4r^BRWO@$4BgefC>&Y{tMWM(w+mwwI8PHxu2>AZ)srW(XA1EZfC2SD4o`K?QD)?$xwEyBU>vx9DoxpN?t& zeeeB>kNZ$et5=k}n|8yzlarmnFcPF2a5%ssWpZ|^1s9BlC)CvY0i-nTF1ULfN_uH| z&WEOHZ!4}z2M?=>VTH!LWMAEzWw%t_kLUc8O^ayNjS>ItOicc2sY?;`P ztDOxukBdC30X|2uFH&xa$Pw-X7?#4Gzoh?TC*@ z+P^O_TuQ)%^DX8OV1WX{m9C|H{&fH1`1J8&OMaUcXNsl1Jj}Gm@lsnf16@bu=r6*= z^1U7l{{ zsT52Z(#ouT#SCcH(8#)?KZRGm*4CGD^n807!OU*C6(|!1)okG2Mpm^QeSIKl%?9dV zW0PrJa#HoG|2@W#px;EnRkug*%Bs0%ftOTtJU5t?F+Z#9Q^^Qg0k(w;DQxJQFGbg6 zwc987dbj_|^qPt8Bww0{?$WyrX1nw)iSEkg47DquW_!{n)b1d8IAi%I)apO@`wt)Z zu{TZB%2Lv1Z(U{{?boEu>9i;I1KFMJVM)&}r!S~BY&UO?XNqNodP5b=NgJ?uf~kyY zjul7$RKc9IX?<%uR7Ls}OHSIH_GsA>J%ox-O`J^XA-?hAN~xOZIH#L8);_ql2={C7 zRa>%?(IbqFeQYYnKIGhkAJG5p7VS1Ebr$h)T9mnCc-G+WB=4@P1Hgt9K1$GAmgIog znCwl}y!{{>B0bvqc#_Fa-Y2)i|I+M9@+L2xCxr9O&Me_8rEVfUSNZ&h{$Dy9`ElGG zeCu1JvBF=Q``E&787<++qu}k>2^GR>e{aT%FM4HOSUk`xSE`n~qiUIVi+Ydy+7f;{ zE#aH5`Ltj8pT{sg1?G6Ogu!$$Ob>G;1ltJClD;QT5}FPhY~<40m9&HhY_KDj)-ng% zFFYa$B%)|BTk*I=Y(Y;OcoZ8v5rVXDevZ~{Eg(p=U1!9iO((S#F&IyM_aL#16~!;~ zLvI;S@zA@y03zaIZo?3&0UPneM~sl0EB@PkEt}7TF_ctDvM!SP$kwR?1NO-cX#CP( zEa;SMO>Q~2aV3{tf%kRww0v%RsV0{1^*S>tU;fSi@pEqqe3~va&`GVAdA}16Io{6E zUS_D85#_~4$~8!9dPdgOSfN5OWStZUZZu|T%TowuorFA#c1J_Y86i~&(&zR=Tj>>8 z+7;aIPI+aWwA-#&_5J_Zdl#T<)~q}zToZ1QKvlv;$UzAq^|Ntby7y&BiU~6)>QK>t zjyf1-KF`cKXE-%8-}#wy92qIbSf~*Q5=dfcNg!ybNhAbGnIck>7}QWD6bUAl##>23 zh=~RbNd>5A^m*3hUF&_jzrDNv|NFl4&zXig^X>lk>bJXhcdyH{)_N8NmG~lGwcu-} zyvXom%=mr7j1MeBTrZb|c6Ui5h4Pb}q~=ujT%pk0J|Sc2|CZRH9$giV#w$--`VOU7 z>54OFsN{SB$0M*eghbb6U3il|ye*l~wOlcU0**EKUjjs;-thm;?mhKKZ>HzQ+h zep~a^$w*5HV=}s=-N+^WOlZ%VL4=lqT&u=ny()unfyQGiL>=K(kXEEhxIm!FpvZPa znXJ{TXiSdtYW1Y}GcDJY?(MourFZ)kp4vEn*6LL)9y>7@5wpv7Iv~zB=bLt@&Z}bX zotTHTe;spo>(vh6bSY@q9ade1Q9z*j{bW0i-{E}o{okEdUDe_NfqH*#$NoF&y4cjm z%Y*)hTBuky@ofh?je)VpcK}Mnw;gyue6z#1xRoeLUh~`GCeEWQ)rscx%?d7f{-qaR zl-YvUFFf~tiK3o=;l0m&aD^WN|DXJ!BKYEYxyh^3*(ny|%<5T=4$)`#-}dJ}Hd0Hf zyjzC|Dqkm_A9gxDIJ*YPj-bYB$C7Y5LP0+ezGB`e%ol$2FWk$0C%V4*|%ngC|ci7o+k^zWI-MnMvDgs znYXs>u5yU*uGk2}9xeaPNoFhmz-r7SLPR@Zw#XN)l6pAiG!^H`TqoEh{obw74b+<9 zpQJGGNvHBPi^}E{hbs0nXUQLRGe^(_rLL<1LKWFeW@r%mwHX}*mz5d0kccLnSV6Ub zE-N!4=wq^bvYqr+n;@E77bZ|`s9~DXst{mccWb>V$Z(vF{yeVT9%2(lE+uHvTa1iM z`gS^U>KO6a7x(}i@t2Jq=d`(0UsPe^mo)8}la`$}7T@n!w z$wlyE?!Zl09sQyzrn(Y!o78a8disUwVGmrhI#huY1C%hK^G2C5eH^+nKB>l^+g6iL zg`Z=+u}_pp)lL3#`(N-3wiQEyl4Z>-W;*;$XtKJ87y(1&U_zjB5M?`585AEXY(q0b z@y^nWgYKWXJcTG}%9eo<(VPHBg56Xrw}A&?*P_nW)S#pOxY{ z6ne2TUj#Q6hy>!1QJG*Q8cD`yROW0xOWZxh>(LZ&CZCm2nV>ELhi{t#$fyiFBX;RA zy}z|Nk#R87wQ9-tPPJq%cL7wxs0 z=^=iTYjW+x`s6|dtQIe^kxRD8q zH$gfiomD~LFKv%S1?luBUIerrDymTFTmO(%>jc?X6@J-u#y}UpWCiJ<#sKP@YvMFo z&`ZEe|1A%jqYml9uitc-L67I#bL{pkOkg|4PNJ!N>5|3vHTTF<=+V(wS0DXu^tdSb zjJz~hiz?{3Z10s?w~ahM(z__+tLyy?j`%pe%K%V|v@g9A;wyz6LkhYI#`s<*wJv^8 zsC8+Fm|wVTk9Nc*RZMdu{Js<}-(TVKv|H37V@u)k?G!GbKKsLme=B?jokQe4gAYNn zTnr{SBQ2nW9Wk&-qA#C;grQEbiJUSGhA-H}JXcAgFB3eX=UT%w_zVj1#fsvx`HJfu zQsG>&hcD2qC>q5DeW=oD2bz>dP@*qCw9mnWOCYmW@;QpuF+sQ^Q`U=Tu=N;IM3bMXl(rG7yS?#W;N_}_k8?&lY>$R!P8B3ORffTeLVN zcf$em#;o43e`E%??RS;RIVn>*8c{hgv^042TI;`rW)@c1XaMz#<#g-gUe7(Agm= zQ#e6ZF&NibIV7c>89t7+Gsh2EIV5QeCr8lL*R61{y97Rl^GG+Mm8{%Fzzk9u35W?8 zMYCqUJ=WQQOGigWdwVK}1oew($D#8e%zn+9`3A)pPWXXm9TICpKy4TxuKL<%IV5V_ ztthc7m~WgCT66zTc8cP7_f)P?7~>-TS4G_&5jVm9$%|;l%3{Xc2|d#>mRVi!$p>*R z?g$^v?0-FhJAz5z>HP<=jq0D&_T6rxnEi3Oz^56;L5a))rraNxGVK;EzRTVCE)Txq zhra)_!go<*%fXa_vLg)EEC>=XHOj8Oiwg#K6^st;QwHv799^*R*CDTRHUX3KD%MD8 zYapdV)95Qk@$DWQPR*g|ySQL!eCyZbxTi{s6{*l%@NhgT!3qeRBwLaNsk>Yd^eglU zkOBcQd|iQx#0HBXT@ntM_GX@BW>Ee6R0)_KwXC}4VMrHNCt$!R1K0&e-}jbrkL#%t zFs0WN=^vYXm?ZP<*<-dJ;0z{;lv^h5<3;a5?eJ_yD&5Upg$tmD3M0#6A6oiZ_!IKY zHArv6S8$)h|He1*$-ncz@y*yLN~NM&Pnnl;q@}3#wJWNfnj5!QV1YNDUU*~LEm~ZJ zyKxcz>CgGfKe`GRK}(+9MeqV>1L`?6NEsA!r8EQRBB*H;(%cUj(`bWS@Z|6YY8GS= zU0#v!h6|$NTB`+6Gyt%H2Hn0g7r_NF8ju{5B!)j2vJ!%H5sKiB5xsiU)v4Zv0h>PHoKmd^0f{_ME zO|RHW99&!kmt@(85KQhj+wEY@e0TQh>G3DhwwwH0N{xoExko{~tVve6!J7I0*f3+( z#^1^~95KXd_-#xt&2akH>_n&>fQQC>o8!<grl1xjEy5(x;W5%8_985P!_(_GOuI#k z-*7j6!|u0#*{A+WOuf+|%FbP2Bb8BCc|e69uy3@jifKCe$a)xWS|%3+!2oHWk;K?` z$YwBfwy&(V(*+TWBfr8GVRW^~F$8IsToCn&8#UgAX&?ltL5d*kmaYg+I$HUBXzCUh z1idQU1s)oEC;{${@7MnteP6yF%#^@kAE8js5{MVn#c=|Ec!&2 z*Q4z=3I|QbLSQtHrbALtDf*@}7;J68lu)9%Sl-uRkGkoa3(nDEdGE&Ze(>*o)ffIZ zmn`r8Kt?OIlLLcMS>6uqeK*<39Nz7ZdlDaGjC5(;8@IftHNkpf?0t{wx7w;@%L~k& zq=gc$N>O5%Dy4UKo7NXj*)?(y&5D)fMc!Y++CAGG8^PN0qQ+2c`39`ZjbLSYQNqU( zOITh&+yfh3Szfpgl3GW&E@NBroa;gz- z*k?2P?+e^m@@Bjd-a z+U>3$p}C)X*tgf7Q7+q0()-^=vmWIFJ)zrUzxnELb}#|!8H=P-qjEw)dv~-K!wCON z)g=-IR`3}&T-+XC^N3-b@Xp{$VQi@aC`pn|sY}|V3pSh{E{sKhsHIVMUA6Ah!d~!O#M{ENTDU%qa&rU(`pJ^XHU zf(qN_&EM*1hH_ktPDp1}6{eP10EKuk7(VFe78P9tU+a+szwMiU_1FADBEORsIxh#b zW)5dL!jp;dEp_`@-R%e-Hm1ylr$Ky>+({^pp0((uCPw7-p_sFv_#iF_NCTlb2_1AU zKo*pj(VPCFiBTsiWkGrBe8tklxIq_Vb7*x z_z#(S7?FZ#B?&APNw021f2qJ9`QU0{{WMDtBc}8WjxH9~Buft?5C&oPuGY-ACwdq+ zxVZK_^Zkh)MzlB(eW}*0Zjgdb>|sPZVc>r@VSe*|)P01{B>O-m9$3h2aHHOwlbsLRbfO6U?dnBOyb!E+lxUtP2Dx>mu8Y zIZ2z99!Cdbdil}8h+o&u2`mKZ%5t2P}i`5KMH$A&ei85qZ`+ZbPEJj>`Kni^A<$zo1ca*BKhL^+=;OwwQmvZG1Wi?*PHfYsC`{9hW2bRkyzAPtVr$af-$rQp7Yrj zN*m6IlSI>m;s&u~)k2vr=V015Ns_YCkB$}*)v4%oFw>YM^W8zHpp6)KT#Sg)B`@k! zDw?F#sx;ID)FL!iT4anRp%F~dNF%8J-3O<_&CJ)WFImEL##BeQkRm9 zgmr*?vO?kkrqoykx06T97dmZ<(^Md66tFy2NrpYTccoINEkCAGzxj83?PtC*>f1CY z=xBsx2%)8RXdS0li6XN$KPfJgWshyxAp}7*DG5EO^j1Timw zt`$qrH&$8~f^u(6FeaveQ%{5P5EO^j1g(dhalZDs-;@eR!8j?6DL5QKFAlA(xCbkr zR+yoAOfiNyv^unYY*h6jG5{0{QsesfnWWTI7evRFD1zdxB_vh_FZqf|VU1Odhnk5` zJXroOzKKuydwz~@#y(NvyjO_PuQ@jd*Bf(FGTbVUVC2^Dl5TP`4Yq1i!JUILG(Je_ zop116z%TbZX9ee5mC*qLtwx5+O!bsZY-X%Wypt|Rex6Wa!tVPRUZFn2b5+>F0e6oa zaFcFPYX%lV{o5hb|5x7pw?6nqpIC-li=kbZSU0;+jayZnl*jTtSjHRae9V#`G#=U>Tf-3L3eX zn@B?epP~JS*j(33QBhEAHl(3xz&*!XGH2{pb5RwlJ~5EKgf!zHTu+&ja{vb!RM9iK z)RUu0x;)gMeaQHbpMw7l1vmDIQYio%Ns=xB*wYYz+0%MgdTiSAV|wgweE-`&`@1h; zNX9@6Xb5eE=P@LoGXvT!Hgjx-RmQ#cE-OGg4AQSMaN6K zBtWWjY*)+}QkmlmVg##^i502Ge8r4P*#O88%oq|XVr`D^o*CTwsw)gB=Jv=NmCi_PB%lMVO)SuL9o+Cg7CYa zeQORkFqRqx_W+4NzcZNBT!kCTl81BL><5#YbGT8u<@$|h_~RUNxPf#b8!iF8pysEI zbZDl_RbyC^R73Tk0B!}vOuHl$7_l*O|HBSf;RXT|n&~2W+WPQi6lJxN%$~dC0W3^X zBzi*St-40`6-!jBy93rn6rSROP-wt4bNnRw*Vo*4n8T@;u2aD)$$WnhRgyXqSA=w+ zIv(lh`3CLROu>mHCuKiIsCD(o9f_;!@(Jw+YVfZxLCHROHz2KaatW>;m5Nn-v>*u` zslc3kiTKy+kmYP8UwKeEb@j-3jUQcI535=EkJFqBcWL}@I2&W18V6($pW)W0hkj*l zF>{O?Bg9I#DLwToV643Lc#pQb;Uk(J`xX305`ke&3>v9#=(BGXOvetfLa_#(4M+k) zZlY{RrpJEOA9q__6SaziHPd6iI(0{~Vj{)|%cXH~p1Xd^}6=RWU%KGv!;=R)5n zS}M_rZTp%u|!};b$;cmC;s@ZH1sE^O>IDU`w zg+CJqJU|2H^M^pSzig-dx2rzaHgu;|UtNFST)%9m{c*ne{`VW`>gxFXfzt2S4}P$G zIr?~&P)vU<-+c3%`lLahd5A4&#nQ@)P5jnn6HE1(yyhVm>-UMx=Hc0cRp@(Z+8y*_ z;n#gCyL>jAUtDoO*ew+o}j}Mxm((R zBQm_L1xiK@Je_N$*W_fX)|0GUIh_hZH+Clp#O%E%lg3Ug?cIWJYWQ9ls$|gsjqQlW z(TzM>Yg-5=gNDq<$-PJh2DLe@eC@&kdO}XPHIkLDecZw;QX_eQwak9;MT1sbreec3 zsW@K?^~H&E-;RI9qYOJ$EZWQrs5+)&XxB|{V?K#7nfNzKrX&DV2LA6IP~P%)y%2W3 z1#a%FrrooIoydHq7aUEmphHc%6cHMVR_9}2_99&XSRT7?YTEKGe#2Df*S+nF{@zzd zs#9~Ot`9AP`rvHI3x6&bJX^^^eOLs`#GWnaoaA-4uMjX(AG%-+>;dC(TSP;BSOm+w zo{@46>O&Vy<9ga^j`^BK-cx=3TQ~X4E1tjN=Xl%W)6F_XmDYHD#gvuf7?g%?ah+m+ z;n=utIjyn}%5u%hhtl*%IBj^dHrY!?xn||_$eWjZp7u>_SqhQfteivzq|@>Eq=e5k z=otn6U-{e;j_2d^xk&rte6!ETa@ES`+%!I?Y{&kzk+G#ePWWiTJ>URZ6zs`E+48ra0JyWpC$LAWByE)_|L6~(Z- zpi{mdK)==Ye2HN0XJZi2^d&Eg~NbZZYShTQ}YPPm4?vq1rn7whd+X*;4sN+y(HP2e~ze9zTcbxqN}Qwn=js~afe zN55#U)l_=Ez!QKM`gq5TcUWKfG$n2+X4*cqExGqoa=FwL%`@{;YKk-r>YoAll3rzi zFH&(-f~0!00;0zW!HB1L#oq;UF@Rnci++z5UA5hXTeMj8yCGfwp5OY$cmKvux=? z4d+P6C!+0CUE_zt*OLwS6eAxeMd<`i4kG5dSnGV%iugZv2Ozm-r>9oJ43bjmSw_Yd zbr>p1w5WbWbFtP6m3G$cGSX-xu%#azL1EB2MVV^f}Zx5 zs;2}E$#(4D&?dAT@TgC~+nbk@kTOnZK-vz{_ljo%0)Q{89jf!k`R3vilD1cg0OdbV z`_pK|`74)t{C=qQK`~A`VF*;mm+iE_kkqIBPxFd*8V-DYygU=}P)c(OhdgNEQ%N6q z-~q!S$`+4|Jn*OkVs+sLlziC4QmEsJJOvl)azd06xU?G%R{887dBr2!|L~vuJ#~M!(=nlt-0h7j?4M#fne$BLD1c13z*8D6EJbTF3xJVlS90MgjoW5r50haLqz`XDk&mFh{4 zVr)nIlUKSq^yo&Xi^NZPQID25fge0nVn? zW}2BLg?u|HVJ?U^O2np!Jka)P ztT`b_Q|*G2M|Z>KBZ}aP7e;rZ?Tl6Z$hmHqoo1*Pho-6aLu1|m`pzhb=3GtVh9FI~ z3!*2Lh$B~2y8OH%!zdR-QyCVb;Lgb=Vns34E(ty#P9EUZ%pRVtMl;dtxM>YDn{m9l zJ}V$Q`P4=Wx|BD0*_NTndfYtn(FZ;@i;k{5CZp?5o<6b=>&z<#6GtwEA_B;HDDn`2 zH3F;omed~M3u<~0Y%G@a{w(RVTePHZ?^E^?eO0Iae` zmP(*G`|9Q@YuJoNke1X}OtUIMZQ2M*1&7rogQ>!1RicwzSsCKcg29_$DV;)=8DLsn zw=Kn3zG9hGiBXm9p=n9|9Luaqbcqj!T1xuDR!p-hnQyBPEtb@mEcxXl$S5?;7FSbM zS)++h6LLp@p@TIAA-AKKp>AN-z(lg<=%PkVvdpT)k2)wDmt>@$XViGhAdP0te1BqA zC5qb>I89en-JqCNxoHNTP7lu2tY4@W8<=!8-5J-ke;Kxd>lf}*$V&E4&K@zfNl$hg zB`VbgG5;al4Ev{CT~2Q&Wv@rj&W6ie!OR36w&mLcv~rnM8F?n4o$2KV+KFHHwVPGB zI3V}ufSA6z;3F;$$lW*~U%&mwGAH#`+& z)D$BRb993Pa(-BL^n>j;1Cw3ZH^k*y6tvlIG|?G{t{f1smRo%rs>m9Hopca@Zw^vI z+c9|B+jx~vT{IBx~OnX%asML#No=hoLL4FivQ1f92 zd#W#(lmYB8HODz^`PO1F$NBaD+n0Xjvk~qoitXCglXx~1kF9wd@#!Otrh_b85bSnF z6gWaPl5?E+d9D5gpk!M#k#n4-NEijX10p)!IX^UFGBOIHu;>J;Gbplrj#Z8`rB{U~ zAPZ94`iiBb_jIHUsaLG(PkitK0v;RfYHP4oB-furP49+GeD#u5{YljHVn7GAZ9dB? z$B8wKj0IINiWsM(=M3}#k+m2kKHM4@CdqtzK-&UVMjD!}_B>Zd&-VvF0B3fgMgeut z^^Y`JgR6RsN|mIEtq3_yyOJ+6@EfW!?N?PC=X&Ep0j3A_8cx~rk*Kzjt3U5RlY6;? z|3$yEOlC^%(FRIYFbB5* zIZqTF!_2m^N`+Rg$pOqm_a_kE))7?><_fHdvA~-)^s0k7#55Wvtp@>B4(1S;!U)60 z!lxPlx;dDG{pX4h1U}uKV-?J?^;acNC?RaFrV8c?fhZHx?Jp_rh2I=xjg4jw8SKHD z`R)L2W(yPo#F3;xg(hQQGTDVC=tPNc{i}<6?nm!?_*Nnb;*x=6zCpn)0#3StWOawY zEGu=zNTDN@kdHpuWl65aNYJSc#O)S_HEvEi0mPr?u|)gw&C<6vf7tyN{|o=6p%2j} zm4rVJif0?X50vN5sWnhh}b7Ktt{%l)}5ru-I{2UagW_kQt8 zuyGY%z5n{%?|jdyu*JUrC2T+UuJ?olzT2#yQ88a)MCwII5fS;4Z~2%{NLAm;SLQB@ zv6lg4*zBdz2BRs3Ry)scq)~K1LI>j8fPmdN^~f^=Dm zAZo9KzXJu+mU|CDT0|E_@w1Yz_84HGFl-3YAiCfIgQ~Th3m2t8bqG>pxgel+RA#e) z4vp5WAeB`S^x4;(KB^`*Ruq@TC0XmO$KGPxLUY;TvJ^=a?FlB)gJV%0k+dcg;4TR{ zbsGA8%LIARzz25R;1T>ntZMbafe10cRHG{kpn?cuh)-h$v#CCAG2@5vFjB??!ECCJ zJtt*T5GVvQ4f(1oQw^dn6C~q9Em)cAL$}fFGmb5UBzei&RCkym!-Myj8LsF;{E~t$ z*e2VL72D|dKn<#+Uo_QfSW_i=ZJzaOV=Dn`iJNNv9h&PDPmlGr-W*SlMc=yo9gv5O z)O;SpVIiW(=SV|d1a}N}*}jFjzKo=^pcrzOG#&~lHZ*)`#XuZe40(}6MgeC@$=-@8 zk|bkpm%NRZFg2SIckA}8HC<;1fS<=~`fbVRsgL)DEk;~Mpj2#9pt(RcDA8OV6S5^G7q(6^IZ^qL|OxgD4Ee@ z7L;VM3o2t2&k=QNjiBU;O)wa}(KD{DM4Thdbh#MB*}(Z>eNm3rt|)mtTk#mh-d@lc zD{401CAHuhOQOcET~f38E~%qCDY=(PXK~$=qv%VC1B)@@JNkoiX`V+~rxIs8;oz2Dg3VCKWG1v5S$=(ZPNuHl^;+f7@(H5$wX{=|pB zdi6^5qG&Cd1B_N#hS`M+J0DbNCWxiND?iV|&WC)&3(sFY{{R|6<-Xjj&sn98#W+4C zIn9?;)%kj4=X0&=e^D>Au=CBUS31ki$MW&V>P?weAv*|rrfT15%a3W_-}p11_r=de zdPf&(wQp(=L7juRa&|<3J&YP{i;kHVGGbo4)J^1`2D+Orh~c(6u>FXB3H3{3MY@|V zc-(bbyMUaHjC;u{knW}nf{cD6SsRRdJG6}#bT?hF$AB5}B2VmVY%YfGW)Vc`hmiV! zrW8xp?IBtFT2c#64d~_v9VfXr1zbJ^!zj6zF2wkKE;^BPw8ZTyA6$=Rw^2Vke|$@o z7jBz;(gntHF}ln>bBwyyYX3ruEyO;zNbG|Q3}Hok&XIw^ubarCN&E9S9;U4~*TY;& zDekY7V%jZg?ZnckaXXC~ANmj8{mXytQ_92^4VhYK2Q$dP*iFO+JhCiP2%MpTb}%0v zxd#BZZkP?USN31&Xh&4$4%7rxYc%nkP)RD?QIEP$wdz#T_B3gU1TK z@IW#>)T=|81H9cxrE`8mwPV4K-X9NWcw(|}v)Q#+sm$Lfzx=RSPA2N?m$ck|g@-sVC+}@KopzSX?w)74U}8!hGO)uem+Jau z{7Slh*^b|@!};dyYq#M9mUO`ofU1ZKmhIRdKUl`J_3`qyrH7bogPqGJzQr^b@51J{ zomW(`DZ5LW4x?fAAAijSt@1v*V4?XuXg)QsC_2Lg@%2QOt&SX* zaE>sA$3Qr{P5_1C8~Meo*9z+)l4wY&bhd^6JjmqgiYHvX!g{fy`unydT9$w$;@B9L zCxvGDYBZJWlBk>p3J1xxl5kg&;=Z~hs<9Cn==Eq7dOCW(JI6f(97rBeV_i#5bGIM{c@@QZ%03Xok=PxFuQ6qcp%d=z5)=-dpFoxP4F0Pdnm(C=?;H#5gQ4Aq*O0{Ns$XELf#)Zkz zF$k+YPYgYTr{UKQ3R{W$@s=AHAHTf1D1LNs?90mt4!r^AgN{KoNgEh|R}5jD_B;BT z(_S2jQ{s7)5Jfi2J0Wq2JcIc1rWXf7{^IcCBYon1FUQCkrNkp1k8pgN{Ni9Ke{uLR zR<&bZ`-_9q!MAaQ_+&56xwxebLu5o`azoqhd4vIV+yF;?R@?x;mmFrO{&>e~_sm-u zH&lMFjxh|sSGJSiiN?Yqn21b%h8wo>W_KD@A--3 zO9~#;V#mffGY$!hB3Bp*z2(xjK92MztPMlHIZ=!j6yuLu3`Y#SR3k&5ClT?a&y^P4AR~>iETq7cEG!5dz%i ztxXKuHuq)Bbc8OJPcCV|R$8wV<|VW??{eJ_Y0;a-Ws^(h|HfqE-za(Bvyyj2f5?@w zCEWnQB0wv!E@t{oy0e>TOW?Htj!`)JRH7)BY&RtgNgI@l5ERY=>Eth$1#&xBw2va&VfE_DjDX zc!?@617It>ve*G$%Pe$u0LRMY>U2PXAJh3aaO7Z8x1gPQe+jPQ{>kL-xL{1yuDnc6 z>aM&D2-Fu`dl_QsV>x}iis9E^t8C(1i~%p`D84Ojg`lHJvB3v{zv{w-L$R5B5bCw7iA&X)yqoO>qB29q{Vmu+)rV${nhldt))Fmy&Oi30y z(7wcyf{wc632AM?Ji#9+%o~+tDjWl;Alc*_KMG%T*wu3J|Ii*LB+3-7?+% z&QsPNs9L`(JnzzWvlM>ce&M$}5vZU{FE)?|f!Xxi{S-a~+G&3~0&SQ!l>Nq}U>Lod zGmMd6tf$3~C-p>{nK{eumB*_()A4=fY;<2q@0ESeCS0E~$_mp`7j6;3ny-81m5{op zTg2m9)>S`3<(HrN!Y$$smUh*TdEc{n@B5>P{8xY1zx@T@5e1WaGg=n`Ng$Nc3+PNH zsBAIX=7clTE3ysh0aa)^W=GP-dd2J_Aa4S!3kDDd-H0c{tYsGg-M3&?7D3QZVa06u zlO};BMOsNrDj1<_^QQ?^TOTQz9$6h)RI)oZ=a^jtBch<`1(Q}ISh)xQ1$i}Mq?_iA zi>8-Ag@703gId*L!K3{<$f8`LSl+8gFt=raKt){8lQ2k?rX-9n=*&7Sl&i}?YW1lE>9#zW@)e0b#J|#%q4F{4WhiX+)*q|%1ZtZxU1Ol_oRln`o*9`~^ zO)BjpD%nz(JUSCMpR9rFm7Zo)Ap+x-XYX)A*<}6LOSaV4>`_Rp?i^C?gJ&u|&npz` z@-c;rSjK^;D@s1^3bSYE9OLO*p9T)Rbm;og!B3!^t0(}*fSY`tkNcbgmviUv3Q2a} zV0o;7v)OYB34c!c@#g0g-}-aPkHfT{Kc~d-?&Uc>1KhPZoZ@N;$B{;ngaZwLGayw} zdQNk@0sP2zAT{bJCEyCcSq1!vUzHEYrz!0(`OCDwr2Fy-H%OUaL9suPwVYl9kOUwq z?GJ$(c;a^KFSulEwm6*PYI!-yy2Q^h0L}osRluPDW$F4`;H=3<5;&{a&=sLd2jn{z zC#-l@{C>dMy6XBNP+h-lr~L&eO#7oOvI1_AudMgycI@9)iAo(pLFzO&?3+jrCglh$ z4j?UZ69VlMnga%!x|Z;yB5|6sfmjTg)ASGeEc@yY>w`^B;8khA0?G{&c;j*lc(;@` zo{fL#T$hKWCSiY?z`O7I{Qu#f`H@d7rHx9y=$^R~kDldar($%$6J~9~pV)vtwi5=J z%z8@C+yx6ThKXqd7IpeEE_jwjot}9S+;wy&;KBpEQ_tMK0vt%&2SkrxoIxQo;gfcvIOJ zi8_*vgJ)YY#9#->_-29X3S?WzzA4el`!<3wx6pI6Hb}9`_ zP$d$OL8ugpQ*|p{Ip=*TVR&3j#+FVPCnA-@7=B_SutQK3nQc;mbuhDnEeTtBzU+*a zpwMi~f`3YG2WdAc81gky7sgs>A_n_G-Yugg1Rs!fqLBw@oz@tq^USwr-*ILvCD9qs zrs%|u_|bbj5}JD3g5R#WKN&3nwVw1k;5@f&#_^)(peEn@Fuk>SV+P;;0=p=#L)iX; zR}ihxbvpMH{9jn-td4?z<*BU#ft13vv5KR+tT*zM*3i9x{e*!IgOBQ;^8{~*{7_yL zJHVjNVq`;UdyN`^N)@*=QK|A7N*;~W!iP;q^-tAINtmUI`Y)6V5j8E)LyTKt+N%!V*@)O-iT-jfT~jgCNN-6r@qv`iCoXRYyM-N8$5 z`?T%%rb=4rL-5eWS`S!R$izBkRzmNquJo=1V`0$tg$I`W$J2FNU0MCH>j0So=|JO# zMkH})DtAst4=8k5ffpvZa8vxeY}WzaJd!ZQ9zxL`B;Or)-p?hOL z>V+n*^q600;!4kX-NZF`F4BX(!obt5I9F)*lI&UBZ9GBgNnc^mJ-I>fT!3w&eJZQg zEK6Wea%--GKS9sFRqI*xSzF;b$772%(bKrApEXDdBx;f%yw!9d+Nf*J<^Gr`VQZkqaBUiL#5qEylarAtTPTMUD>*<1`w5gTM_Xt@iPN>JVBX`=W zA~jBSMb;;YHId`0*PQmAA*qrpe6ZQ}Ng}b*rf~A3to}~h?N$EOU8LcA#&ph$qi=!j zJb2Hrhreeyso{IZe|*gM>|9c($&qMFSMpW{IgX}hi%F3RfKjMC01(*@_l8M`C+gWk zHE=E;XBMS$Z!S~=Bj-_7Lo>-z0WcSmB2A8{&55BeVURX- z)%9zeRop+>j{DaMw?(*@Xz@a)ReE3`0L`8D=XTn^&XMWkTu>cW`s&^^6Kvgk=&3&Vcfabpes-ylWh?30_yaupE{TBGqC)n3HEYUQJ~&g&YM!cJP>&g|3VWca zwuO6gK?j(hY*;*Mg~WnZP)Y?Mb$bkyp(+P?c8gxs5Cz^R16|--!_rr4W)P|G$oNLk z1wG({I^q;aq7e`k9XvW-b$rNh(=JNLdhW&l4O5W@f@Ut3m&!O0sQBLVm zF@~-PKH6upDmU%A7rsiqG@86)uY>M&qZch-P#mtbs|*7`Qf;pS9-*)m-$)93&_2}w z7!2y~6~Jg3oCsi47D^z9WMO1GEDS6(0Go8d07NPaB_=%YUt1_~)YEcOq~Ip$A%3l5 zgV+%yR9N<6KJ!rBr!YxLcq#SO{LND8>)keq%jtHjvFRJUp3^bE?|Z-d_>;Gnj!~A- z@hnTm`_Cp%vMoKFta$jiMo)ZWA#*y$I47a4P{!CA-E2wErbMzKJ)04so^v1Kd_sh7 zw@aQ+UQj!_^4eb@E^sGH=TKV@A@g*ScOmJ7Y;2JZ$>|BWmLJXC-1_qr?*f}h z)~NqL??PM~e>TZkQodCRleAeym}iPTY_$k7tKN?YbMHOZ@|}P7$G>GB1K!zO3;Hl6 zDZ^Y#jU7Jw)&y~awFJ?Wq)_HC;I)TN04H)WQyK7L^w>!zJY-}!LC~Pm=#`rowQs_M zk_82CQHX@2Ml~OF0u4%wtyZ}f=wBUNjtq(<#PgC>uEh%OSTk_M;xz_b01`;p6lcVePL8Sn;= zZhFX9=n{d|#=`@GxeE+LwCSKa&9Vbsr5qh4Cj-g! zkgtxX4J%3D-Sy~`ZeE<40S}addPS%!J)34BJD~RRbmXAPFXOZqXJSf3or#-Y9AqQ> z#o@;x10J7JU*tAk9GrK4ak!aY9GpY-;&A(>7w23yL|StbbRgN01Q=l7M5{RjEi@pYG#)hC<_OgInHl(_5VKjaLI{+| z8Tc!PfVJI|6+!@34;UK??hsnnsC-5U;1|<^KyW<-8L(-|Qs zyvzNjGd|-anGphvq);Odw{PFJEY}D@#OQ92)0+A26xO&CYCPL3ud*SieO2Bz+;9}F z=HKX|@eF$wg_Xq}Q$y$O=1x5}_XT6mVlk&xQZ{~{Y^qym$%V3VR1SD@A%q{hYQP=J zk)VH}3z^r+D*3ZWDQJ&KQS+=Y-RGb`z1J;W$o;a1Ou!U~HM^p`fGJQk*KZ23(=#9Q zgTE3ZC3T#+EVMTKK~F`}r8r0afAoNpAEjwL1NcS)3;kuv~fm^cYF zc}bE`Zjs!bs8lKGGsu!;zB@Mhvd51Oyt}z_QPjRBPELUY%)mt1YW|HbDr(h`6RY4= z*c7&IxNe?W37^$GKaXkc+mewpygr6~Nts_)*Zy}I=ld3x&d(tnn4+emoM?h_WC@VJ zC>NCDpt${>Xn}a#k9y`N(>oV#QER`JQJ1$f>hd#x@oj(pZ+`rx{&3|(MB6uPf`Vz$ zdrd3jz1IK*QG1PG4c5q@rr&4Es~B0!7oBN{B<#2XH}{R_(6a1ITO-O&`Do59pW>R1$|4 zXCWV2d;pi+gGpWKrH+_6(p-)B04@pFNKr``Zc8TESI=Cu!X-2*BQ6s@FDA0s%4{6f zz~wk80+~XUlZkT1tgYH{#7Op`rPV=;=s}FuoIPy97SdmTwIQ1D_B5*uU{F{JIEy zl#|{AAD@4)N6!cH0%-3-(m_4uAg`y=D}w0GkW>RkePZ4z9p(^}Us6f#k?mZwNrZvV z#sHWQq|);hF}RfDkT57=zp>Jk5R`1Etr%qZnDe9XO9)cw`HG+^LpLv1gg()bA_OJd zX)7MOo=qp5(a;0a$`><9?CVFaX!w_84Cy;PJ{BU4n33)??04G{RGSl&h6Dum)X&vPOF;0#_48Nnf9d+&?|klE?}@>iQtJ53`|;23Svj@V zh}3ZW<#>^d==Ev0sMYIBKyW(&!CyW7AD{7ZvW-&Q(so^=8ytWY@=7%AoDNIHq^qPqgj-n38HmVIWIVNs4W>1 z+h~GljMlDe;F7}2kt=7!Hku&FDbUBk+6P2A?Kx7<*%@=Rq?HtG*eab11uT10B+Ec? zIQ&W=AD6AR!_RWRC+#LHfjS}Ph_jq-n2RY@Q)x+)sta?Z*Ey;U9G_#Cvs+#ND@)$M zJ#n+CZfyEw;~)F+_`_%bmZOwOF44f#5DnOKy;$B4y!_r*pL_QUE1R9{W)rM|VOPzh zmJY}fo607G+qS%_YcAZP#q#RiQ?KXzEy9583C_`G&8YOh{Ewdh!mp3I3B^S{D)sD) zu|&h5uGhvkWnbNxjzd|S7B7S#g9=}9f1<&qiQe|c5gme6Iu5kt(kF-np718*?0)p5;|vJ|{4BOVw@S2ev&6wUZWfO);?}lv6M-^9)3k$h zDkccA?Obe`tfVnA@6SAL-k;kM89ynhIfkJMuqV9FUG7Jk9W|D!) zg4De(n5*ET27UzI=4d)is5Wt)(TzX#+Lgz6_wMoH0<@My}bu&GvbZ0R3;oW@De4c+KN9 z{|iX9O~x#3ZGvkgTy~FpJ3Eq{g+6wzjta_-7vcfp3rOw!Y@AT0h${oE68} zj^RpgoFj0}Y903ZW&+o&)(hd^7=bHY7guig+N^w0weejS9Z-D`byOO}K4J>MLYbKg zb9d8Km;Z$dgFAwI5@{iUppy5et$XoCYlb@QfMi8gJtfvAxr?%0sen3xJ(n8&NfX#O z-<;NBFYO$6S&V+V9C40Ag^4pgKLKqo#RY%xu@lhKmT%z_x|_fHkALF-`Bgy}rO=q` zCC7%XPXUajU@|xdvL`fNN4EzwT!ZYX-PUI)1lpWIc5tb(mb*%jc(hps^O9kcC<#t&e73HAMlMDBrM zIiAncVUSypC(k9ppGe@IAp1yTt~``>;V}UWts3e?#`RRxrbxYmn3hK;<74v^X}Z_f zL>ZAd&?x^M5I(8`Fi9h4>;&i_+^L6wky?SECQjL@nE zXz78+YJ@_?#wV3V|DkVz2@!p$)Bsrm%RNw=ItllqShQPdHdVR+yBzXe*fEl z??nV_>X;1NN|1ol5Mug8+xnP89pv{n0^y^i?QGZO*(fWAI%lJ-9O{5w@u0))uo`MRv}c9EL(C(qEUL5pok_i zRH027!PLS=HFxN$xu)HJ}rY+x^H%5E^=zsF3fA^0@NtMw;EN#b%71QMiX7-+dPZ9M631_5sqmqRnz!0;4?_OjG@ODPYcmRLw3} z;z#tPOY%7j@(#LSkLG^u+Ca{-ZSSCJE`rW52Nf+{;dw=>W*0QR05rsdOIOvXeMRNS zL2{3d5RJ^znpDj$*`Gj~B$5X2$7x)$PPrf8oRb*V}*VCtYZi z{75k3Ky+Dj1%(8ip~?5KdX*7J4U_o~n4Bk(O9*Boe%39>Mw}H)h(=&=cg|55D^^Av zLxYu*9tn7%2MU7=bI&23lRk)#;>uuKJboEkeyaOY)@OcHmt~&yHXF5l@AziQ!0y>;9u zOna|>^NXreby<_s`s5e7H%BNYX)O2cF-f};LISWL)K(sH6%*GOS zI_Wg62^(I0|8v)`y!7fzFJE8D7f8S?Ty`r5rDoco1){wji1t%o{H8DdccKJFOVB*e z>Ls2aO48Xh2jukHLR3Kvtj4lrMGwcFG|)zk<&9Of$S8{O@#?o{-zu?%tr%6cD4SJ# z7J?F6nBZY|1oJA)^AR0YXRB(rfGAXOJs7kjv4vmE1|_8;xb6qF#I)n(=K(3Yl&c&! zdjnqM9L0{iWT~nF209!~BS?)O{J6%j4g_DA{RIYcdPHf>TvcoF94{PYK&@oHJH}@V z6dQ2mp#D}uKR{H8g;0wi=ZziAaNc(<;D< zplv{LI!lR)rn>6_7*&T)&~nhK+$OARHYvRnz*LEtkDdaUspyows~2?hnDYGO-~1h) z|NWPhXGe%giUNgsBm+A1n_SgJ^&l9CTNo>&Q^o!5_n4=1MtK5pQN^@JE0Xf8g<{Ie z6Eg~c3=wp~Jh&Fd%E}Yu37p*~f->YjUrbh>Wpe#~=T2Z8x>BB+dyvsrMaQXu_`?1m`$K0hECRo#Z=#eEw0g-`tMfv9gb@6+^wFV zk7cj@yGO5e+F_w5m{(SCwq5KY8^RvP*|itpfsLDB-?f|@W3QeV3ui231%Llq&tYYbxc6%rZL*De^t!jOd3 zoT&@mlFm{Ebj#ULT@=jr?m)^vT;BnN@7=5ZccC133l&LKZFHf^Ta0;B3yHEA@K{GlV+GV2^c8glYzd-o61L1$}pZx64dS4h% zg`C`Y8UXbmLHM9)5YOAb^70^qevRX7oI#_)hyi-TFpK~}@KNuc^ReZJKHqu`*Ef7ZKFc`6D6%^&$%$~p^YwTA^<*-bOyO*j*Dm?PbC>lGkf37|4QZcTLi!X0y^4q)^S(sNMC0>&OX6 z9@c2K$=7|+?y7OT%>Jy_RPFD;PKK?MPnE&7jmxy6ZgBl?v*_TUlpB+Ye>21D;cxpF z;qYR3pNgRm`W(+Syi>C(_G({PzBL-G`Tg6U_ND*%9}R`4QJ)7I76YP9QQ&xZz2+Zh zV5>sujz}8_oY!IawY;Xb1|pJCl#V>kHL#^A>2hdfORyqpW1 zbLfoHq4)-M%gh6;55{&7=UAb1JCe!}rRzErDA#b_I7+v}Ct6i0Ia~cgkAO;iO?CypGBU2S_UD z+_ezW6MA!KZD@V3+DY?nEHJOsK8V#f`iiA#`_zG0B|9tHr(h?-K_NaBY$t_~*;A^W zG*oMflh&govRScm(m?G6okc$h4PK34cG8Zh{*)91m@^0cUA2?8Mkj+T*>zI2m`}2D z(l}rYniU7{wq1Sj$$C(ou$viT^!vwf(&#Cfqjo;I>du+}4QDGp`FH*|z8U*OsRbRW z3S;hJPH!UBN^h|c#iWMksmgGghc!@!OUB~eP~v=1=@LlXV!q!3iTRlYdrmKS^8@=h z!TeHTPmD!c-A;hxU;Od^;`9HzPrSfaW!|uINwzhNxokA5K}TAUsh7Miv4Ehg8f{mk zUUI=b60OP}v_)?cv`8-Uq+Tk5`xDZg#4S+1$QU3CQZKn6#L(JAL3I3Rj?%t*X1W$p zjW7m9ly^42$nnC;r~FlsZ)Efc_fo`oGYoNBfrdCh2+<`OgkVW*o;K2;sUTK14sP=D@qI0L4;CxX85Z8--n>mD%v{k86NPe8) z70UEkKqN3p#b?;Fmj|3kctjT>p{h~%#TOWAOV2Pz!-w|75Zn)7ro49|S0i!dyQgSc zt|^x|dFh1q6MX)K_dfSQxx&rr>t1;!r0yv+qD^4qww;1Ba=SiwraIGMFE{s<`$D_L zkGNZ9-}k+Aoo~@g*J*08eCNLaHquwOJuUYS{H4$SfBwcN7yG6`Pz#2^4gg=0jOES- zvRbs{l|l|(d40|O#i}g9qHH23+<@=SF{HqbWdUTrwv{zo) z?~CtKX7SM#%V@=v+Sk|kda}_C8%(o&4wTYDWcy)P2Ol!DM=qoVNi$ryDuXxoJNO^X z-N|s_>gdzq_Grv#@1w&1`c$rtN0f=njRf8H;OGg=U7aw)SXyQfVA|Nvcs6v%kga)u zsDhPS23<8s3qxJ_s8&5FUyy?0jN)~3MKd3x>-sUm<~H3*Y~JEygw@QqlIMh*=`p%) z9wTl)$z$|R%kZFBSVj&*-RfUh{?=((##oBl3olQapp#!kWfz+*8>O;KCZ};LxPB}L z3P)6MhL=bBNu+MWOBDjh^D*`Z9VH=&w7(dFbU<#W{r4-pENOpmNLKWl zyXN|3JMAxYnQ8xiwL4VD7i*A?Kls7&>7X7S@3On85B1k7oA`F;W0`t@!=T#4U~$nA z%%gR9ke!(BnTOaa!tiOi>B6?@OAWu>)u*wOo93rcg~89i^x}&(%Z&R_>co^+s|dQM zaY?Q?ltWiXmlp4cAL;N2-Ob<_H|<(nS?)@w>6x=W>^bL&zOP>r7D>+28NZ4rDlTQY zNN&CQ^7TvalIsZupCVa~Q3=Y0$OTNkD|8O0+?8>;a>8RjEq5{ZRh>{?$1{`ein^@g z`G)`c5r0>R>HL*1`o-t}iBG-c^PbD=CZ8d(Y>wo0v(Fo3+#`A2Gg&R;7j1UI%O2DXqho07X>QdtOYT=KL-?F}WjhrT||Nj{bPzMd$iW99#rs_Ee^ zJ26dhu1qY5v#-cnSN_$D>8}b3jzvlpdz)|q7c1MYPD%%mq9QGiuh zjYa|K(Owx1BAywfM+R%AXM5GdQ`e{K)CrGCE?LlaIQx|_8HdADxu!PlSCZ-3UV-kC zmA8ljK%CpwlL+Is$4aQI;FvX$w3%`7uIk7WJ33~@0rKV1`wq19`S+au7Ql7)+Sc+G z;NQ|)fGv9qBE*pA9h?4S^P>+C#YZoZh_?WXgzRhn7MzJUwqR?o*weuxRJosnsh%fd z>EUmZU%n!gZ5?dcPW~$V<6O!Hhn)@0$zPV|DEX`0PW!_@Mtx&JW#QoCWz74FwMzSQ zJMEA2J>>o2|IhK)@q<;%weCAE=dAm!Zg4vPe$`>YxPEn_Z_i8q;}3qYd^-Agxo7`y zC(5pD;@fUt0t|lJIpdYY8%g0Iz&M65BMNM~FgHcvmX*8eK$Cq}x`tDH*KxVCzAJZG zB8s)*#!E!;{8f#FpUGp~Yn;c3;*)>j2ln3?DgGuM2bxbhYX!l!;1gKXOJz#=|Ek@kXnZuz$ zHdzabhVVmU=AQ{}EK6+HiIjk7Arm~I?MK{0mUp%nBVJd1jxb#qvq*0yU$tVyev)fU zVx(_+0`rEJ*u=4`e%r$a>>Ios&{>PmSFI^_!B4Z$TVi68*7u|}^WEt;oSev?XxHJl zYR)s?p1n3vK@y~Mno0LHFZyR1P&9Pf;?2|TSNH24dVTdYUW+U{oSXsyFRYmhYo@-Z z;cHa6S4S?1S>-G-b@TQM|H1!!nMh~@wzNq^Lg)@cY)HnTZom)3m)|OxKumx+)Y5r` z$WVhbFUD(HlrYa48BVzH`<=ymAxPa^RzxKbR-|vbY1n+8eJgdd3m%}mm5<vIsl-d&{ZdA;(BkzCd`rYq*?p^PRG>oQqstB|GC9*bm6*N=vFJ<|+Q+AHUWK1o!4rMQ+5#J2@c^m?>F@2=opHs6U}ZcHpqduDz1~@^QwU06QlnF^)& z#U;;D6=H&UoaY&?LL1lWYr+>XX9OILntHOYaEByaACha1tE0!%1AWiG(h~$=>Z&*- z?g^qBnQNDi*@tQDh$?*Wy$-QgWQN8*@vMe@ z6L_vXCWA5G;59GqjrIs9)K-Z966&gBS7Qeh_yT9oJ2WsWc4%->Ok|J!kqNng%k$|9 zm=>^l1#=VUuZou;P{G8qo%YB1W|$piF|%NP@qW^B0_qp^6~7je08u!CqM7n)V5(~R z7RYVAIl++98E}Pg!H`lkM^N`=NO|1F*HJ@r;TE+)?tR@N9{2TJ3jHPD_8GtFM}rqY z>(brV@$Q%yuhC<&si1$}9rKYlzc9vYV4^%z;<+b?>d>z`#%ln;RLnE3n!b(;o?^TP z%5$3)#n*Ak7_R{``S#f2>$qf$*BG0LNMFY#W4y*-CgMeVA7(mW3N^!C8e+w^A$W;j z@fL0TO*T4v4`VX%Z;eHFOYVOGSS-T}m*It_Whm7&E`Y@_`FaMhFlDqD@kElza$N_6 z>MZUQObHdM6ELOCnrQ!D{Kf~{p9~`oiX4RQ-p{04NWiY)X=`ztN6+?^^)tC3%Fn(!#f{agG5Os+79!WujrWR?2ATzN=umgH8D`J+G*42d|BNi95vPsgTA7#ET zO2y@3=#dbxaKZhaZ6yeD58c`_5+O(nTr$n+}Mmyef7Zzy^GXQrL{Bzly@q7hDy)X@O)ETgi6X zUs|5y_=GLypb!FX#d7-dlqepXz$oT-Dcb{m!DK9<`E=6WTTeqwyG2WAKK&NWvFbnd znSbST-gbLwh^|K~vE=ZFQ-g92|5W%$N2UhRsyon(#0QKTbNHAApjJH~=?djW&9<2T zvGGE68p4T*)}2*D#fnu9--(IPuVH=9A(+z;g_!7cLO3thG6btML@*O!M1uCqCdq_M z!bHo^I%v=}exY{FoQ5deM3@X}G=OQ%DoJ$g=yO4rsmILIyr zAJXJ8XeJ>{G{^W>_1xMmkxV?Fv#`l+q5&SoEEA6s>nBSz;C!=-!$d<>0FTT;eZkz0 z^Op=(+LWIsTny=4ow_xmF)T)Ty`%p>`RQN$Gj9ywKwGXH zIIspRw0Q{u;cc=4pCkK~5^igGgadjr*)J`apX1r?QBgg|Jod#)+es>gva zjC7BRda@PIc8`jS;U|gO$eY?nXPa3XEH!#zVs*PNkXDm9YG}ir$)_nM@U~>)&|L9c zhbGDOYW^)j?6WDAI`y!O@N7VGo=hoT;;NTT5&WJ)KW34F1Fjl7XXr z1;jj?(wJG)56Q=}DLn#FN7zXCe`7;IV#RDq`@>#~?9f|2;5d-FBD)y&|0r00Je;3` zbmF{2qWU}bPt_MwnNkBOD5)v;xa^CjG)(6(=^fwQW{F#vyZCfxrqqFMi%JnEN(N<( zsB|%b@;7=;?r)?VrHk`qB)@Wcl?nb|Hk)z zQmM_?x`Vb}?EuRq{c1hx)!H&Enpv-%I?G{AGwXuLZzCxTqu8ShSG#ZRD>Jh$c$Vy% zX4VDwU{C}oPQ+Jd_n!PKOLe=TRlW1j2%BLPZ>m>2cC6j!IpSos={U}j5v#8Vo_r&k z0ZSu+`@EuX@s>+;=xd%OE|)BFkvzv-E)k>pknO(xV%UP=_WE9QTFuucT~io<8HKz#TBD^Q?cSM3Vb0U=NU^|GDzZ;3KN{#Yd{E)iwoeD?|6U|D}v%dnF$C@xsGDtFK+B$3RIGTDUsZwvHMidU7cNo_Un}Yc-y(gxAP~yW{JLNVSP+Qo0WaG972E&7+rIAu|6iCw z^-Ed=0&@~bgoEK&$Fj@)YKuN5 zkXwtseT4|OLLe|6T!MQb44u-RgylEB2n8Op7Vyd4gc$K5Gv<-g*{+4yI6sb(F!rOP zNR+jjToXJ=&0{9a2`-6JO%8`7KC+kj%CY9mrvf~>nH>q6ZMk5+F(Y^3%-8W$U|D}E z__28^K-CL|BzY?M@rj>`Gi19<|G|^>9}H?01rkX&G?=n_mSfx9KmWTv z<7a;=JOS;N@aj41odDEz0LvVLVxaDp^0%*Gj_C=wApTmd+qRSGm$~ik{+Hb zV(6Dvs>h0a5?t`K+aZBZLDZ<#tzzm4xFCA%P@Ya;xbJ}X)8xd8d^}tb3u#ID0i!&% zP&@<~6c<4_Daz80V*0k@kGTjJJONK*nTv2qG~IEa6(&o zY1UovNM>z0^rgPLb8Ngc>t#iZeu1OFp0b0q-RGV%nspaEpw$moO~DQw{Y*XDSFo%! z>n^y5cPe2ddgTWEz7oW`q_LH=n{I8>Cu2!5>n?fH`A1SQA@vRUCl|BslB6RLf98b$ z(_a3xCY>&q4En!KZw^3`tZonb@}T4S0-!C=msYtH%t&cPLi7jq^oWM4=ZqBE;$D=hll5r`@8(LzsSx<`g0R^>01?&9D9VQiQ1a7!Uj{JA-%9!t{gT z@KK_!fxinzWj=b)HJ-PxU{q;>To5C-_DWC*>DU-wDD}m&M*f*^kg$xOjG%wR;%u8%XTU3 zPuV4ZZAQ=W-9DqcYAYQX&bV;1=;+F0GVyObhoLb}=l zv|;{w%{6xumZ$!mxO}Usi7$TUKmHqj@!9Et2v|C6?gmveW-2f@NRT!T@fdPqXHOU1 zI-DGjOx5OyCZsyF$$>~u0x$_gfR3qBaF@8|A?H|CQCw&xmV)E#xhIDOK;@1ZEksrO z!+?Y%2O<_M317y53OolQC>>^;hVX*5x6Q>=fe0cS3t2X(pKc{tS>eAVs*S;S!Zk6p zaI-uvXuM+YdK>RvWMO55@k7a^{hKAxz3`#AFzm6LuhJRCAqUVrEr2 zC0V5$wlrBLzSk*i5hua3Oe9Dtc_hwol&WQaI3H?}bICH*n-lb|sC8Ryu3DFQ=#DYw zap*DQ{N|DA3v1{s*3fCUs5OlX_4n2m;*YT`d|%}EjSC?BPN|Q zhlp&V(PHXXp1w3ejCf|pGOF)UH=G1$EvtP%A6{crQNZ0fny`7{p} zhDh3Bl?~EqRhc7Ex2cR4FA?05GPwfEz2cM*pfxFT*-qLUWwTWoD>Q7?wIRMt-k%_A z+P_Wxp%b|>Mw05v`}09a`|s<%y|5 z(nq6uu}NK?h$=ahK|oK8wKMXL`k_IkaMCikON9Q%t&#>KDA=@Zp}9e_um+;_Pjp$n zm|TK~iLp`yhlB_cC+%g+OXO!+9DLMyqD!LwfpQ5R>bp@3Autb4w=V0usQ0K{REvbm zuG^Mv$fb4q#gh^GC%xrK1zC2q-e64Uxjyv2V>0n?lo}!`nd3m{fkM$iGhEO#4OJgB z*?Gra9Q{yDgY?8)x}PUaPe?~YTrlH~N;NAjLFh)=j`MFDCa8EzhXEY(^pZ=vB7V){ zm_utn7WJ>a6LXd4;+nsJ!uOHcg2H7tfh`_sh^Yo>+AV4g(Bhikj%)t?f97lcr++LG z40)Lw;W^52<786{#59m_;8uhWoXL zrteh*qmBJ!Y_;|kqJnJ1ql+DZM7`o9qZf9lswL0nPDI?y@Nbxv!B-<+rz6a5AI}H9 zY2`Q>N+cWS0f7H~<{@4EQ26jN;M(T|degy8i0x#B(j!-D+RD|NRHv@a`WC z&7la3YYxL(&;=sgBS84qN2~X>uPmr{!I)hNKJGTTK+WNTJvvdO${ERpLB$OeRo_MXjZ7@>;c!ZsaZ)*IfEdP-J9X5;`L( zr;mgt;Dv~6UNS)9!=%J$-IEd%s%wCMUQexAVZ(T?#vETRSKK);RLnJ=69M z#}rYC6~S|3ifHOWa7VHqHdb_|KkX}Aj3_aeJROgy)PP<@V}}BG+2rrXo|$)@HN5QfRwPDCuSdso=1iX{)%V&Yq4I z46Iq*pC_D=l(cE1l0_RQ;-Vxug=1Nj`IBmBJqffchW@vIda)!_-Asf?d{W`FFmtX^ zY5lh)^T}5{Ih<{O-N1iM%KA;>t-^g|1@jJbjj@eP=zQgEFUefxyoOMd}(~u?%EF;i(j9=0i)?%T~l(qO}k@z?>t}sn3y4L|L&%iIW6jHWVqZsG+nk ziCKuYWQT&QdP&VLnk24)j28&(b{m=9RIN!T!jDcQNV-jcTFH>dN;2P_Krq|Ly(aFN=o)+L zHBmw1P_zx*D?F32PduXphYj=KT8b5bm{wjTfN9VmBJon}KoeXcVi!^-9B%YPrB%|E z5y$87ra8lsSPzvuTHt>`%j64|mp!F?xShhs8WPi=$4j%2@<|#1DIc`UAOes&aJVZF z26w`Icg5c6#a(%N85XDAqQzago1T*Y5N5P~V)n4|71&qi zuDBpP2J%m_h8rBuFo+q#q&;*&)>gsB!XCoXYxo^2X5bdCg7t`QH?ZH-I3bvU+vpM9 zxTh3?8Muue(aqlaUP6!>%eLT2p-q#4KQ6{<&_a;P$^}b@DB4ra_X@!pxb+TEpbs)% zBVUcUE52rQh;HF!G{0okb;`-jTEdN5@0sP+G;(76_(ARF#;T+ndI*tH^O+Hc*l%Fk zRrqOb4b4cyQoAwF5jk7C!8zA(Q|6m$d-CU+>Z!S{Y0I}}hOqD-{^ft}PhADyjxN-y zNO;=Oz0$s~j2ZmJF45AlKNyXUeFa^bRpi<3m8>GI?Hqb)4a~Js6_ttvfGOB4YHN)~ zCk~xeWZ}F4DO;mb>f9D`X=qBPirfOkZVsJQBvvF(KRZ&u_0tGeD)IoH8!1C$?4nfz zev*@7m87Ulm7&u;)RZ>!6`jW~;>&>!^RNA{s>r$Fi5#u@A79b`HeWZJltM6KK?q7O z1k}#;XG?197E@aYMhFP_bN3Kis9h+*2oebh-0n@oE!gS(VW)P>FBqZLN-Wsv?O>-r z@xkBo!#@&LBno7=30MFagy+~Ag3O_qlE~au3D|AVxuE87USRA ztYMYlQ|uHgVl0i;UBsbjX?;bEt_7^ioZ*h)3*(>=q@{I1l$&(87!6(%9>AV}&J*az z?F9PKkfu$6&mHu8NK1+rx$t&QaOS1S(w@@`YMP>7_sT0FHDP(Gc7K;*$4NwJj1_+E zm#lu%=2EPH?v9BhUe3}z#?6&ug@U_)CZj0`(-IQ1YfxN{yI>9#Q!p8z$+1F@E*Q~Z zCqNdh!=7V>1E@Knm;hv>$-aerGMqwUXGztdqtD7*+`(!{ywK93hu(BDRV&VMo?cQV z)s#|*4$yCIrwdQlnwyrGqMAZoQTlu`0?#cR*3xK)7YoI=37Z$lD7~hL((7^0cv)t( z#5fr{ zU;4z`Z~!{c!+{A(MRe9Q*K(k<7Vm%Y{$G0E3oAYt8zm<7kMQP-XHr?HAxA0qWskfq zBcuXl-}iBfMWMgO)>XtJmH?r$aNIK=E+-?QaCL_m6ha+b_R-g0e<6j=@1)ndIV<^MibIT?Y#KZyA-de|gc8 z6k{cgVKw8^6l*(0Mh`z8ER2A1O$UtH++?E!NY6&OHLAd!stkQgQYqWXian`OmC;SL zQJv%L6DRAFQHGJ8#d!&@CEIxYdBP~8Ug2?Ix5o??7Q=gghIiU6q7kd&xqG@ruXn@y zzaReDf9g*K?54ztZg`DG5zSjWWn?)3`_eei85V0^NsORk_{MRJM=49o1e7$qzG5Pv z+?!F06=`@~5HT%F;#k{w0#6$)bO_S$y5OPP9i<{}jH!}_*9DCgfoK|Rbh9E2ZxQT~ z*s5W-IJ874##D)+80_-GifAxvR}|Q-Ea}~@a1x|{Hm>GkcpHW?coxLU#TQYHH_f8T z?jrhVELR^kG(JtSlv8Bt70<`FOMdHVz}@T_z9H<$^p=&Lo)98a$NF1$Vfoe!5O>>s z+9&?hzZ_N98f&*XdQ7GxV+)FoK%U=XS~*8=bIaiRK$o>BS%tgpj~rXZHfM0Vh#m<{ zE9dBq`36Zubd9PC3&D)LS!Fm@-0wgpUjuX*cRTJt0>fwoMMu&jn@fo*+zn{}s4xO_ z=!$AqqzbbW!Gt(uBUG>-Kz>z@-cFJg2birPA$w9^(M9#GYW7_3t?KO1;YmB^vMXJvvoPp-W`v{%@hXNm#C1Qdk?S^ed#=A~ zsBj4Fou%g`r(`ZfCK-JNc1rd>#Z|H$VG;|UGj_t~dGChyO8KJAaf z=PJxW7Ngya6f0?ARKkTYUBEIiC08+s02HM-$^pd!qk`kA z70fVdsV0KywgD2cVun#slSm#~U=T2x8nuUDhEb7ZG`zLjn0XDs45RiKNdcRQZjVBh z9fBD~-5*aJ*+F#F2W*63hEXxS$jDZXJqA3kOiO{25ZEU+WBq2}u9QCnlKo-R*3dn8& zb+(cjkc|#hndj52S;OVlfjSDa=G${za|RTl+pZt<2MY~`-$?K}(oW)vc6&@!&qtpO zv#L^VGG(fCLu2dq5&-nQ7eZyAfq< zQ$)2FN;y?iHn}-8OMFs+*Sg4kDFS#JiU6i5nt{)`073UxPdDurwYFdZf^G*0`nDf^ z<;`yld#W*73j_hI0pA~iAXJlUp-12s6%e#Okdd5-(RxrOmqJM7IN=6&A{h9zep z=-91Eg~txsjSc9GLuVi;CO=~Wv^wD-n1P@Z0syUk+p$KT-g*dTAjm3fn7P?mJ|+Y! zAZWcK1t0`TX56e;0YL{+>WL)6r5Y%^7%3Fncilt@@kP{Ps3E;npHV3~X}4%GrFTP5_x3;b z?H~7jpIqqalq=9fQzvXS8dkPsB69&-kqKMHl#(y!9pI1D?q}4FVUjkDN){ATYJz15 zAS%wK*gXr1DRn_$f~Z==p<$=m6~&aAU@@g&utzqcKD3xpUvZ1nEFm&5-w8yP4=twD z1W%i_^5=tsNla-L6jN$~{c($O3W9DM)N3|}R#R${@CQ)E3di8of%~qNWL<^-*_EKn<%?+)rzm2enQK3JvdpGbRwY)u=jpw+bXnioyJTI8!o zRTbuyPj8~(G>JmDe>FC))rCB+espzR3N-Q28`Q46s6H+H2xXQx8ErT3{5vM&XK#GA zdDTNX=JjE~(T~%^_pu^(Ot~M&4*f4UMFfp?Zcem(^gxYC)o_rO{QRtCQsNbun=yES zk`SzK4c1H#`f7hfy)h->!0iX*$>~X79X3Gf^ypjRLadIS9`zL{2rx>5FVDUkyb~x3 zDG%_fl;#`(FEi1Y*Hlgmeb`n2%u$cb*Js@e!9gXN9`#kCm_7(*T}h@#eT6|l^lqgR z9UL{y(UT@xS=$Y+XbZl$Rx+ug73!wfTHQ``tCdW;2-lAHTYe?faJ4U*)}Gq6gQ@{< zH_sElXRSJ>y=d3mnR-V{-W`tf$;q3CD6kc4a+_0i-slI z7mfc^jydw8;he@^w0E|se0kG^=@=QH!ep@9km@*9Bk$z74X}E*Tk(#R9k$06pUx;> zh5#P)YKL4eyz5tpt78A%c7?LHyuUnFX@71<`SI?62@-k#Zndk*mmyFepWA7FoNq4Q z-tAYribr}UFMm2dw_|@HijK_=)X-oEDL+TIU3FE{YA1#(mh1k1_TC2Qwlpgb@&^br z5GW9V5ztFQBGk+Y=l=&mh|`oLP$AqNLM5TPX@+TNhPi#K8QQT*3Xl}hRFqJKmLZf( z#8e1sEQ|>xr6yI0TFO9z;YW>%q%gn~U_>l}VB+(vpLebG?){y;&v(Cj`%cf9s-AoH zch-LQ-e>Q45viHp9QSo5i-kzqj(Tr2}$1?SEWs z5BY?5i*337ZFl{$o%YB1=KH@rEVczNzZI33j?eA1KcA=A)W@rJ+3K(5o6o#;m$c@+ z``E(6=~ZfO;>}mgiz0J zFHjSF@r73;e{+M}?A7VZ&sjaov5fMoJfN7sxLM;g-}1#(qiV3G<^PG*gV_c4@=>{4^&urz$Q>tM}=3{c;GxQB7D=?49Py z>W!bfuVwRQrKtz-NiiY(019=^%5gMPo;UmTc%LgnnY1w^H(Mj@aMQG}Askt1-{KcS zp!lV-9gf_f?LpcM(*ZRAjswbe+P`nBytM5B=bPgKwpEt6WMaOJq@V6w;?-*FC_%W$lq_r|&|SvKXk?| zQllDzG}A5!iWUM0UG}A8FvQ<;0%R3-+;pd}+4>R-B0>+9ATYSac9vO2L z1j22gg%M>DC- z7V^WG9o4_(fF#VHXQ|b2p2305v5|9R^kixWpvN6%Qga+~1%5pZz%P4HC-n5dl+HB+ z^Oo<;09DwR{_{Wljlbt3Ta^%FZsC1)Bv5E*4hCz(t9v}THtVk8+g3M4LfWV5No6&LfNg9M7l__bmEd$;-Mrv1oF`*)nZe4C~`TBhjj*3X3t~% zi+w_$mZ-eELya|j7uS+n)oVLt&E}%cQx8#eaz%XL$86aD=O6AL9R?KZ^IRq>c+57~ z54<=nK~Sa-_0gzs>?_v#(o?-S>f?`s?RshKrAab9)?P>A^RGkP%I!_h^`cF#M*-T% z{;u@838&DgP5(L@i;;>!P zI^(r6*7JNtQWbPbyvZ7v!|T9chWx<3?OEZQ2}u-9Wlh2lddYH+^mT!gwS;$6BtJx!8r;-1%Z(Uyehyaf=0`IthVt z{<0m%*YaKbeyq+FawiDX{kfg?@2Yz!L0wkeLv=KlV_DrR)zK|~p!EC8b{rqo%zQdZ z1=X?`S{n7uXWlBMLRUuju>~TjmJ!A#e(Sc0rBFA_#WWQDprE333^i z6*6?5s9-PKug0x+zx?2(x1%Px)Jr4vgj~{%D9xp^O~?hzzAL%fIQ+#tNx~myta{l_ zc{7S(H*7CE6|L4prXx9SruIW2NHB3(q+2eYVb)}{R*bUJAV z{PzLykTC@$xAd0k?;{P&F1bhZw76&oP~HtBX$xHPQBo~*a>UnGH@=%8BYy;JiBkG` z%!6wF(|Pa7>%O`Waxdw)V-0r^0>r26leJTiuVcjrXHzclOj_ypTD^E0su%VwKYb~e z)tCIzfA_bdxT`MAAmy^#Ga1ZG$_D%mHAqU44=@}^*=mAhC(OJ#?n=QaSjb6TLx&h8 zN@h|{9^rN=7vy+A6rph>0IBuRDKvdE(9@dtK>kC*-F)a2nIgzV0$P$8>yw~jvS12K z;p=WdT_ahKrQuE7!_z@mw)N&6a_Mq0xGLcS$}5(X3y9R$!kd7~ttiqWnNu#t282Y) zM%jRhWKOy40f&(_cPNq7lnaz|xjP5eo^e6oIF@n1vF5C}G}MoyKUJ#^>%StImD!jM zo1LuIqs-NzDs6aA+jv(}@eZn%uO1vsPsa)Jw1;S#tWx`tTSCi_A|nM-M`Q+KR}_FF z6kZdzGow)o1-DE^tOu{DfP-_-EBeG6y(G$>MH@)_T{^2UtYfPYv1s5 zF%CpskUsKx4GY3&V=3wg4*<{*v6m7v2K*Wv%f5oMkPE_R>%6%je74S;BcHP&Qi*)d z$4E5oemRd4&!|1~#IRRMy09kM>5)c^uyjdoY-ETU$nmfy+xD9Rl{qqcjI+TEe4Q4| zTyd;-h+`F`Djdv~cbsc^?LnSU>on50BCDIXd~Y?F>-l}({PVx-y%EePI^2Vq1|tU+ zQgEd}uv;?2VdOdh$pwL9rDM$&jT4eSj8Q_69*+y|_ZwD**j;rPjL~40*#+4%3Pr{_ z76&T~#fsE;E@;Hq6jajCOf5%^=YrPI2X73pQATF@9I5eK5Q9<_0&^tJz7wRzb3v2Zu!;z6swG6H;;-=cyeggHMA#fhN$}8fY~PN zF>AaA=fUzgU?nM5W=rM{1cdBJ?8#HIl>yI@(GH<>q=hxt4%*7?=ScQEQ8++98%{U` zvkt>dMXhcD&M57JS%(!BwnP`T*sUeFkvg@QHCP+IctlJ#(B1+9b5jU-fLa95Hw`5D z1o3x(iL#HMqQ+;PTu5 z{Nhu7FoMgQKrM(y9Mn=#`kDuJct--YY=yWtU=tc#HbI{VzsHD?enlz*7i7LvY%fMR zS%ha_A;@Cv(gXoa)Mg$;p?xzC&AtmljJ;0m<-wX_&3(m5<42<)CPIzMSTU)IxN&NK zsJ7+I*Xam`5MRw#LJUyz0n-;}ql*B~sAfsZsy;-u=@ed*FzESH*+Yz&SkV zo;(Fp3rl`cAT}vtknLh1szpT)WQjO5tWC$`laluDhkghcBU@x~|I+^4PW$70GfNkY z&P8klbOqCD;_n4^14SMnw=S+A*|RyJ9tRbqs|9;<7Hr-v>VvMU1-lyy_FMm}`yc%S zQ=PCDMWJsGU8I^(bfsS{SOQTZ3stN`wO|Nq0k9z2a-?rHTd*CbP9YwZzSTi9T()2U zWun|Df?KIOX5*PHn1z{K5g79B92vOUr6D1UX}mObdtgm_yd$-h3mSeCZFrmY5Nt_B z)+Bc_U6@Ov{@5K`(xWc92Y0`LN4Lg2Y+reLv`KE)Xb=#Kd;-B4(>_U(fvZbeQzdOT za>+$<(srx;Y~-BHI<^lkurX6R+9b&KSc@FBQ`Yusw44uzw4D6Yc>c~0IWY5R8VqjR zp{d8A@0`5{ce_ERsAZXsn7D)k)2hMzjaj>QnWB+?HGCqAAwMr)C7UwXoWuXVRs zTUIDZrbm3S#~Xm7;}PeSp>m^fp6M9}TP(8LD!Bm}Z1?b_hkSv4JdV4@qr1cu>rS%t z#2zsORO$~q^kxhsnVs=#$DBCLS?^J@sLte|C)V57_rPZLM^6uV@ZTcaD3k5->mYbh zKRVuj!mio7dqmNqUc1E8E@`7T@Zy|sNkwwri-R^+%lWkTrG1d67y|ag+Sw@F{# zyN@jx*w$i;O>hafO+2Y!){QXbJ{H?S-?g~qzCO!09IVtST9a$TQb*4w1C|zNHbL(} zosw${jv>Oibi1CJiy@r7 zAQhYo#@Ji{H|ozb&$V&KMxF7ooSuF&4G^c}lK<<{Z8fi_izaRmb$Q^k*oRz~%B%A8 z*vj!YN~F9V3<>`CTml1VCNB)GChn5`(ZXWbpFyz;di9B>=K8)cq~;13V5%<+=gSf* ze}~29-WMT+02(4)u=xMU;L3J5EE3tK%?bvykcU`mb;l(!uo^HFvQ=y)M^_{Tu+k)V1g<4Ctv$)vn$DzVrj5QDUgunHk>8VPmNsQ}HN3CB{B`eE>nhA&o<6}wV)vTU z&wdGe_IJPkyFTk%KYXem0rMkZ1>hB<`lSi{Kw!CeSP|#ZQO#5<&1*?d`lrGfe||!L~G=lqGr@NN}62)-5fPn1C3%8 zOmOVa9)wHSP*4^@%R$;7JqxAGpzM#4rjYIM2-t#vK)gl$D2ah6G)MjNmZ$x>9s5h6 zF>Q8vb|Xpr zFbpR+F1=*FJD74p7EYiT(3+{fP&&_idx#p5t{F@v8{8g2ulRX3ru36B(#3gB`WR}Y zCn#prB{<_~M2a9%5DtaVBiM8jUCHwmwl(Q$sGLI~Y|HlQotf@bx=l)-FDvu_T*=xe zdn*Pt`wLxDBHKI)4nhd%>kipKYZGHK@R!oH^*xSA)t9n{Hl-v7fvcfqFrIp3tmEK;qz;C_uk;?!Aq4W#Kk)gW(`{JE%g0Lep zq6rr>0BcgMH$f!?B~Dc9!Ffed>n@2nP}L>pM5$u6uV?@g;7#tRTn>&s9I8S0-`51w z50HCQ)_dUCpw`RLsn%T*7p&=OT+jfRmqCeuscfLlu_mJ8RgZklphP6ymwH8<@lvno zVznOO;xGd(`t;@@?v53m7$-5V(|hfFPLu0-j8S=ZO1#@Noqi^0yz2Bj)aj@Vr*|G& z8^3M>V|AOb2YhQT4jS#nnozp~t$wW?Ke^iRyj#>;#%s^W-Smw7*zf(M-}uC-pfQi5 zm&T2V3_A8Zhf^`cs|X}bVYgTV6Q5zZC2|v{oV;QV8e!%%MT*?GC1@kiDM2G>ven40 zfY%oz8-gWhJirP|kOg~4%b5?I{7UjLaB3C_=r+>ekp*+mxLaW?0R=(9BOz9fE^E*T zA4wqk>?G)qU4q6PdTNlu#=(uMCLg>6jeCT3dYWjjsX^nUeW%S6GdO10<%?p~bt6;7 z#C`+&uEJwP2J%gejVeQJA2&OiOHf5Utgxj#uMC%>;bbF;&U6A5ncvJR44IdKyNp{L!d2a=Af$kow;hR}Rj zMIt(f(vhSQdCKTfgn>qC*)w;+vQR5&N6;tLWAgqI89x75#w3r7*m&_)>+}$O) zHvC{Y^(Lvaq<^Ia>+(>^9_&j)rN^HD`^>j|?615W@J3~Nc6g)nqnpY?-!6cDs$lFZ zy$2G^&KoI&>H9-jSR=MD5dqM(+ zf=Jr*=g4Q=1<`{QVBuo-zJE%hv;9DC9*#< zk02BM>yjA7MTSNWQU|!Gmt+FWC83}(_?RguOvh1Y-w!^i0aX`G)C)p0jir^s!%K3n zmAk20w^Gud$s}FzjhAWMo*sqoY0`MN#5da0da1^{CWoF}a%kQyx+aGn52E1nKkSSD zpMU%#=HeScCu}?+0pO$MZKyo8lLSI;Y{+~F${TG^y98TAZyZcefZeq828qVr7HiQSv@5E!u}!_IN$Wz%5<&tnv)dK08w5Rsf4vadR-l7U#nM>5YmAL&7z z%GsC}{GfY9Cdw|||G7vhMm_%!jz1ot43SP6lLUN+w0l5M;`P-UW|B;R-o*}KyU?ZJdn%qrQfn4}vf_WsrnAo(}5&R+2_BYuJc#lC(x^`=}h=bNG7BqTYO^OsjH?a%Ev;oXw7A@Tcz zzXYry>G~m1u3xs({y5)Ero08~NCATFL@~zkWjprA50+0yAFl?a(qGFrpLy#p>CSui zu_YRwIu<}GY~r_WgeX{Qm)pqhW3k;f73J@rJy=N;HJ+2!FBP3N!dl%J#$ivQ*dLumvc# zmy|ca);ytGN(??E1%8&#Qhi~QM17cs6v;Aj=Jjie8gWUCkCyX1><;4Gmo@Y4LB@}6 z5!OTnr2o|ghUHguz~nPM`tg7d`PwHqYPTNoXxPoh8f!qk( zC${R4)Ob;)ci$d7V|nUc*IuElM`=I$)DKnl8=m`t-}!xkm{mvG5wqr2!m9T9*;UVh@g8VZ1n?Z-vBe%$Fog;5&t>2}R5hxNy17| zDTt_n^9Ww1{?b)dYZS+B5{QJh)T^={3>j`5VkRu)G)e9m7a>UN}N@rtQuq87-6}`)*A&> zk2^jj)%D5W9u$MS!S+;tC8E$QK{(j59S$~IC$odjYH|tCraC2hicC~5N`R)(e>#2* z&=B&YGbF4ZTSH5L0)Y}>$ab8+Bx_<*e;zjmK;fcxn>l8J2h?OdbTUL(#DY1xjA#r!KK-F{ba`yS7#JE9Ug0ZFTFz!4kycOR5V55L z5tBt7tsZpYiCQ~hXKo)O^07ZPU@MODWT36RQEU(Q+n!C)_X0GNpao}w2cW+G9_Hv9 z$$n0lfwKZci-BqUC$}aj+gvnw_I`a5x28nOl3daUV{ur*ipUd$Ipu?+r>A#;d^mh5 zmIane;YKfOrpI>y@C&)oiB(z2sMjKyvLg$WpMc6_oW43)x2|8&{D%lB;Pen-tAB`) zLLMnWjXgw=bf^kHb`KGjZejP6dWg>Cu&?p#CY?X*A6 zH?xhfrcqqni5f)jv5Glx7nMF~m%c#3;(%7rBa_znmMq@BNkVm`>_?0((+DH7>=E5qR*t2d8HNP?X5p-)wvMA&0=>)hS`lDC?K;>(N^1OJa z_7y5A)K)Ht7n?IzpdMF8L!pKsT>uxv03g7VAb7N9@qB3NGZ)0{So*pUJQ7PlT^%dZ zg>^wxF~BJbO}kqH(j+;Z5Twp>L3lhs5R0HzdvfCiwV4aPp0f@napOErYM?224v`L^sL2ejW3eFnrF1)r$90?bpwbCb>LvxEY?`H70^}S0p2dToSo$ z4UxgnX9ZaM3K~mtf-Z>|neQ2|NT+<|UrC7DToNS;W{q)lyzUzDr!|uxK8cy6}(!8~Ll39;B}d4KafeX(DMs z2Dje*@`IP&jvVz;M>a9OkO@UMwwomX`x+Hxn&+qGt}rV4oL~L!pZ@GOw5UkQPCP1d z+9xACBq^5zjO{Cfjk%yf3?R7Q2rMcyNm2Q^AmCNZp^`#tW$1=jkygS58<+GB@F{Ii z2th_gF1UyP1DBs+#LC>T5TqyKf@y9ndZ^(s<#VKgaKYB*vBSysg4BdA2#6fqP8@o_ z@rHvqv_wTs5}9p@b-;Nj9hUjn5*4}R4#Q}X3@0NPW=VBtDN#|A1mImt9|(_zOk1?z zE(z~*EeHeLoxznC_AUbDuqGl$Kq3?~VT<5~i$CAi1aLr8@u0_6gh7(bHwah~(ud<$ zGWy1H?fDMvG0e)2PO`IlhfofWE849OI6eMKaDE5BQocus=TYvFqb~IL}E>wZ(4kp*H{2qrmk55223cU18xOu&nTNpT8+bbLhDqdYo`l1v3EMfe~H3 zpxfk6aF))b?`~J>>Ev|`@C`k;G^i*`wpT)ugxHqt@JssiHUSdFO_X$Q-oLtunhp;) z3HXNzzq<2_giAZ^j|^}@Oizpu73%JEIpUPNyYtLjCD-on93jp?i!?w)w~3#YufWCl z2wOh#l~;Vk86!StjKiJdBj(*AW`7Ef3jQ!0(U7yj=td?+1}XTR)3SONNo}2Ny%{~x+U&MpgY3FX$L3r;%ne`- zl4CtuS6r-^Q^ET!5F3(SM)zKyUe1}|6DAUQ9@>areZ@%=)~tt{uVl-5gn(P5wojOy z{ME?UY0Ls_u-b>OPX=wy%Jn>kc~xj$kJwI&T>OU;UP0vtBv%9Iq|&J|gTB#(t;Qoo|4 zr(6(DEd+6B6o>lGTS-ruU?NHbpr|`XY7Rd~@QxX+8K|PqbsQT{GM@eAN*wgu$}8z9 zJG3R`fLjMtkchA>sBf1^?hZg$QN5wpNU&o?Qk*51bmSU9WHuBaeo3kF`St9eTBUM$ z#Ptl0E+qk56J%8+oL~`PD|pH{`lQZORk>6pRrDs6mFh67V2yQ>s6Yc#6)08fC&@{# zP;c>Rj#|`HLvhMJbO1;)Bk8#uvcj#$NE8ku>91bBiMq<_RG!MD-nuqlMhKU<)B_3# z>7q>s6eMBNXR;mo5S1NpL`MjNlICSy!=iuF{-`6BkVdeC`S^U%1JZ{C#t%qTj&lyW z7p>_p+d}67%0wPJC1c_Vr+od#p1T@6@ zPMahg9)-nhL6+M6Ko!2TAz!6HSTI?lmn;Drj*2x2c0tccFPU!-ni>>r4e*c+B}!M6 zLpC%^DIK))}-{Z1> z-XsU*zvmuEbcHS*PJ>~F1-=70#=nFvfuyB7x)@Dg6USzQ0f9b4n2~@kToP>sa9=68 z-5H6C|4NfCRX9%56-4!B3<~sM3=*UgK0PCF>kZnDuX+bcJt0&U!~d~A*{BW22DMXt zxc4C(jt!Dsbua(UNmF94!;9$L-v9sY6!P$fbD@B zRKmc=jqT|>VS(+rs1p|FTOjbj?3XZn0)j7IS-4$F?b6&XY)XM%Fnp_hMd6MIn)Iby zGhXU;J^na$`|thPpO{3eB3s3#6h*7{`aCPtNR^>J)GO(%Pf;sU+T1C$YUI?w1)))z zD+;BdXd0!NI{+2tnLFStdv%s|>3p;*D2k=C32~y#4JnG8(6x4wP7O{4IWRbkV_mYb z8c(BD;fz2%6W5Ba#7;d+&dJwIlWvD;$AVUEgbZM=GVW(vWPny}sZ2~6?)?@iy7>-j=OH3 zrwlY>DsjTYxMmIJCw49Y&HS0)^E*G~+k-1w+wMGTU`=>|ErolDxU=?3(~WY$ph7?# zt-g#m^4&y*u-(fADuj_?l?+NgWGs6Mh zC>IPW1XKgmKe4aiOVC8SU{E2zL7>^shnB2?OExM5%$78^=2%jYB`yi31f@dQEj>|_ zB*|9gl0k)l>TLg;-#~@1-WZd2T9aO`uNhPbYw)_3E1GmA)c#t8d#3rNxdZY+_wv}a zHf3K>MUZ70<-rq%&bs>bf3Nc*zlgPnQVZ6wG)3)v*&}Kd$2)CG9j^tkaJCU5N2jpR zkNP8gA6xC)`2nu&K4E@nVoS5c+yHmp^1XFqq4wYZwEyNOKQ!7gHM#DZ*;4J58d~EB z`|9RG?bfnpA;?$1t$63u(2Z#WR1#ek@@>z`4fYZ!Nc<&Rh|W@LHH>qlX7+R3tuelw zkq$=Ro}Fj~zHB6hA&Ag=AXo~u;P}uv!k|YUO6EfCX-WP`tO>GhvmbGmwNQIRPlB8# zMgpk;Ns_rxixC2(uqHLMYUU`^BAc9V4~JsP(E-#MPTrD~nEjfk{hqlGvJH7KnvO0- zIg>=FjL4Edrwmi>%Gvo2At{asm}}y9?@Q?lvuO8nuZUC5JkVTrI(jaq+q41xo=A|x z*2J~Xwl&FwTuwJ_rpNP~+1jW%@f_>ugyOMKQdczI=@tw#m3p(ZwO58TQmA@(=bes= zd{EM>UEu20$Nxp(Dk#j9N|gd}PNfR_Wl_qNHyRb>OSHIZ;&i}Ed80e}67oiu3cB*@ zr4!}@6=s8NnweA(ncs`rH2Z?O{5)=j&}Ny`0eJfG>!LkNx{OHbCxdCO_3f7*JTDQf zho{uGAK;OyDXvXl2|!-^oN`Y1r)u*27ESJ+qU8cO)4S?!ceuL zA(#{X7(Hst3+wHmc$$;RLArdqK%Pe2S+z{o;doX@>O-&p@ub#Sy>s+bgz$G1$bD$AH%qe=vn?L|JP~Yr1{6x zupY{xEVgB{QuC@K2ks6;iL(F}wjpFnKZM8U+Zupf0!}!hCg5n%JeR{XK1~^S%k7AI z`uZeLQwU7*{(YFo?X-W7xDm3NyuTzOQq;xmw7;|-#`#}!e;YL9JeMZ8l#*WV=g6r= zD&|jr=DYr-_f0G2C0tx;N2IL!vO|9LjqD4Gd4p6?r^~Wp2JJFaTcW`S+Oa5RjNOoi zF)C*Ie4!!~+~T?S?1Gx{j75H%j=n5p+18ZRs6)}*mpZ4J*D zf<-Zd;8!t{C$LU)$$T}XDg$s3QHoTJoMP8W@^$hH2V*fw!9|6O2V2(6cgF~Rc|ixG zO-tvQZ;xf)sKU3>D_7Pm_lLGdj=oum_a2h7Rk$k0Kq@B9I#l8EWmce_wv@$2^JjXP zyM!d>)3{iV8|))H(S3WGw09)6sCmz%7Q1vC3;}Q>X$MI!Wj#*YpY*7t=aL@1w14AK zr@p~(;dyB-@Wi>4mh=gdwPAZ6td?ibY=md3;iQ)v*)y3x63Mi(Iiu!6C)(e^$K`L^ z+c~lPn7{pfAM?_WeOa3JDLF%Ds4(&naw3ww5-G)zdCOEBA$VqtvY2XFACM)C2$o6! zrYT92Ugl?_+Gs06!yrKCh~T53=dz$w3~WWz!%1a9e_Q!5v!E0V{LmZtrLrP&9!&0M zLEiaxXgvR-FVWW59ePq@@g-LUQ>Gq)`@Wxg1cv-+ZVFqg_ zLz!lRuFy7L|JT3>_Cqd!@+V(B9-qy|jJ1g?glVFG(^zzTn@-KyewJ1;BB50P@lhgs$J<9>*)qqCBoy<0n{rYp>*a)oBI zPwzCDeGqcO)s7uVCR*Y(q4TUeWIti^K{z@~)C@S|ZFH7xKJ!)(_BUGsMtRJB#hfkq z79}}l^KY9Qu{+Hnd6JW~iU-pMJvE@FhlKQEE}sa+!11TQ;NSh`_fPSH1c&!z6QGO< zR|1i~Qb85%HGrU~MugN?3#g%+U}LBTNWB;QfGd&&J2h)M@NRA_k|cI&pd5!;!ul~xT7c_MF$B;=IiNHF35^`K2uug;~;x0r4h6n^CTA^9tqMT2? z^&v~&!7r8~AFxy9{h;bT0=%??Ul>&4{Mo@@EQ7QpCd(k(Vc7)rnKtF;X$lX?UZ5i` zM<~pzGrR_6jMdBU*?oT~J&n)$GR9eGa?Zz~$YE33Mxe?3C0mNehp&wxj&nA~9Bt;d z$hu#%M!Af!U$Q+9-ZO7)Um?iZvR3d#mzlNtM9wG$)NsSm-6UCmnW$S2owH>qIiT;2 z`6~GBwbXKZ1*N*i7?yNnI{b3|<^Oh>r0|z7?hNu3{ok>b<8PEo#2_AS`M&`7!bsz3 zXn7L6b)eGATB6IhtKLdG;9V)ODq+q@ueEHa_qbPUml7pCs#?SOW>^&xUl$c9B&JN4 zBR`L;rDxtMX)%9{30lLi*_<}0aHzn`m6okHk*vA3(wevYST5+7|Ea(8gU>{YA4Abl zEAU*9Zb@*!aGE5f2j>PlbYQ1k)hl}(rBpqS{k$Gb z*RcL?Xw~?nr&?)QUAd0a+=J69fbSUa#R=CB$rc7mnMY%RdLc4IBflD=3;AD(1j_`Ht&CbjNE|3jDOgNr)#qtleig^#h77CHdrt(8#+*=T7VENjx*`I@*Q((lO? z4Tg}3cjb}?4Kaa|f~hE_4@Y4=^t1`6@p5L}WZ* zmAMq-A}loF!V}|iNo|y!5jkey0II@u3W1U&mhI#-^Tke^^7FV0eV>RQJdICkrc=pg z)?RndWKvc8+c;q~#rs!1RYmSvxXQ| z#cKM$DyA&>wOmb$)DLWUGVtNKtD^?`y8S( zXT8rtC3DvMEK;&$y|qnw94JYbsa?z?;3UJr4qra>2wIKO#vNz9dFXcdDTYQD_J7AT zSNx4q3D!Q6h=HG$J=km3TR2Bk)?T(lhh4MYOhf|*Pkh1`e(W1R=^sX#MmuWVp|}28 zWJ#q-2O|JZC*xQR?f@|uMl#TvIKgi(=)#~5&@_#&Xl2EOI+&3u0vO)%P?2JMmE@~`jdv0cigEupfh=nTxI*ex+3y9_TgzGOU$VG zuGWZh-bAgiL%p-Oh+7gM52RXZW`x_JW|>LJQ)rkeD#cZG9>dj??XrnOT{oC!t`F-iYw5+Q7eG(+uAxqP!x@=h%~)+ z`k-VdiT!{QYloAsc-(>Cms$=$_yOWs)J(r3sCJQN=lzUBiy?49fJAVPc$im!cXWbc z2u#pWAS{2Pk+u_5L*SA-R26EW)Q^3Uic{@nx$4KJ=E56|Pp$&}-}ojz`FH+rd^7fm zQpwZxsNMeszC%VohDLzNnwX2#cYNZ(FUeswP@#fkJ5&%<9$;$efYMNzR3HS33Y6{G zU$f_Fe@Ql{{U%Jt?jGPC=>-O$H+?&wyv-is z$EM!&^_f_HOufDQ-+#k@{U1#Fxp4X5qQGjvLF(Nz`E}gqI+F04UMV<86c-l0jHowv z4P(j{lmj$EcKEU%g>h8mil(2tmlpginEl)|Wea5C-HO?ZG6r?{aOg^{Uew#3&3-PS zEb3~m_5)_H?B})y&f{hUGf*$4`nigJp$E4blA>il*DAp9#=)r4^X19&Yd^Pa!Z z4QuARV^Ij!Tpfp`X!-Vlot#F6zY*?ee?|HJ*z{qNqIr?g@Axc*Eeg-Ib=oj*G&SGL zm##7SulkmcR9n;okM-Y=DPonjbgOOTHW}wX(-S*bi%hI!iri8a!~UrI132)tgHvAd zFhtVPnKUJCaUS*u;EWQT5=->U{{>ESY%i)V^a?q0!o+`|p>;sAs zssk51OEFS1splw0s0`YQFyVrS1JGFLouZq&>$X>NNPu`(fTTgcWI^6jUlAOV3UWio z`>JPP;oWvYpn?^-#(@RuwAxoFwUtcjS;`UyGrnX?APgx>=BqZo^G$Q9Vu(Onw>Pcm z^O|Ti9yI?K`fBVGC6hBcl6Jj1qj&F&UarIJA)fFEZ>_`TEkEXP{^mdWil6$SH@1P$ z>KNY~PorV0k1Ufrj(FO_G0BG=~#{M3#wGbir8)M_rQA75qAD zE%%tWccDzj+po1aY*xtlGS#sLIK2FjMs?+qh$+;0#%)L9q2@ZIAmWnnn3d-qWW_f9 z$ZrnTl*F?IVf!QF3c{`TC=2v!rl)toX>aOgBZt#xgEaAMYl1MJJT|BruQ;c-JJ0m| zE{@3V=+VKYP_KRdL-hJ?Ex++8x;o&GNTI^qa!w3gTgx|M2_k@z?TA?LgPjRrls!7f zGwc=zO6vF=37j#v51kyoiEeRhq7MZnOnf`sL`JSH^(1@AhwbBI1BU2|v=KwPfw{kJ z-xqCu(M`Ly2}9iV#dl!Wv!jJxdhjZa<};CAdhq4yf3l%}R5V?SYT5Uf>J8MU-@`5k#!H-|IEjaema%oP8 zGD>W{MX|46!cDvKI@+$hvJd#XLTtb%|KJPX`a=H{Pf;5>~|c3}_DB=D1fygaRCKJHB}ELoON@}~=n{@d=**@ZoUWZ4CU ztvaumOG5i|3{JHqbTft11rALIeTqo?R?)b6+H<)Ha&*nlH^tRiZcHZrM#M42uLo*NM8L(w8jVLi%mITB^MWMoEhZ~D`eil$3OYLq?K z{dwlwa|G8uE*+($&1Nt@Px=*n&0WKz4kolsDrHrk(I~9jHV#KOOevqM{)94=Pfa7+ zhra#yF0n{iPv4}^+ z!0R}c4~K54+*nZ@43|95FG5^NlRV2W!Zdc1d;~6#W);ucc1nrBgGVcY>KeOwAmcF1 z@rfsr|BG+plOAN81!Tmg3j{AA+yrr#5N_g4rCtmeq6(=4M^i!N3WToikTZvm45{m8 z#uHL^QNKFQH#6B0Mtun?TB;q97uBDqr7N)s%=G2)mp#jun(2~xtH#!|ZW4dZTfR3j zOd-ARxBQ%v|b426cVbboS6zi z4ArUUI6JhE*S0C4&@=1kM(cD=yy$J;tPxDbT-eaiEjqgLm`wbQ=Wrfwv0NaS3~Dz) zUt~Qapu(s>C^k5DK&*jaGnyB)>R9BnngI~F&We%OKJVYT?stqFhFSvITAV&(r!sI; zx)ZXRq(M!3g#e$lDZfsr8R`AFT+P^ne5snbMg#A7@Kw*h^3sE^{>m5L{>~`DNX6ma zEBNy}TeW3GYFH#WqpIiKqFz;Bqk+4L20r?e|L6N38qt7;W_`Lbl7l0BrWv@1bR-lcYVuKPc4`QE0`{r}hA^zVGk=TGTF06CDtfSLoO*<5S?fs|9#wonh2 z`6%PG%~>BJp@5NP)Q8)HRgh!Fq7Q*-v@!%{<#xIz>qFEYSXH6oWK(!mN?9Kot)NUp zGor#cbkT<(1yeP50_d$9r&c#i`PFNR=8#n^vIL49Oj4NT5K|i;k)X ze%(Yd)NL}IavO>vdt;wIefsCWg(>5>_S`f_Lg@+<>?`k4v4xLHGI zeY!ixz+d#~Igd5#)3Z81>(jG}H|x{gImKJ_DY#~>&1X>j1r$2_mwS*|s~uT`hNSz+ zi#|O&QJ|KyeTMv~HqW=`yf!cQ=Mjbqs1p5PD`y+z2*ks}nUe`MuI22@s8;)#E|Lt5 zoYXCw7@t~Q{cYd-<}dv(r*-w*k-_IC0T*S^k_J<=+|TjGRb34VY|Sp9Nxf5UMOQcI z5eKkzf>~E1_ppZVOaB}QoK7(7YRmyf;)8KJijs7aON5`B7}1Nub`VN(WO65&b+rv& zrsPV3gR&-rJA0%6JNrN%8JyhU$Xlo)A3~4h?NugW1lFMss|%kjH|A`dtIHFL(zuF!8v`F!} z1May&%VK9liq8$u?gqaQk~cVw-YylNyS2h2niv=+DH*zL0EeIs9Uz2s087pHXOHxa z9)0Can*M7}=AKn~J}#e|YEzF;rr>%OTJ^I}z*WI93K5laJ#JeU%*_pr-s$nH&wU>} zARm;Y->)U(Cs#5yZ8Twd`}F>`WPCRz#rOX5-Gzzg=*4rGc$Qu~o3AKBkHnKX zOl++z;JOhO++4TKVdB}KE_sre&Uq%L%kIVbkS>Rb)*Zt-4t$c{X~<#X9=TI14Q-4u z!OgbuAh;_4XNuF0uqN6{2F^`!{y=dib^6_M2WV^;q~_bxsMdC?Em{{l$$Woy_&K$t z5AbXsoeL_-&l8iNy|7MPLCy=`+7VG}Z?UCQtkaB(QCFW~G?LUEM{DD{mo*(GAH^!+ z58OYALp(s0oPznk@G9a{{+^%Xo3T%nNKvI{0zJA!LraHcRcvYoiSZ##Ag$}LB>Wx; zl_o!rX8=*!NiV;Ik#K!HjFeGIw;|k}XWa%JEHlDZTEv-QYi~SR8~>GGU;e?rH`QHD zP$^A(Y%3A&?spxhP9+Fx)uFLAY$}$v;(CQ@JYqKJeUM#JUBscO z_?zGfX*@Z{?Mg_^vmh0}3+_-RfuJ;Y56E43?_DsMWl+-Y4=oRkfo<8jCaxWtuB@~~x?Ov!_l`t7TGccvy)``rK=>U2?4hxQ?A-nzs{qhO?PH-Nxg!Lx(k2EX9lL>FR?-uXMe!K7?4ZB+_iy z10=W0sa|Yc5mh4rm&|gOqvyMGfVIMHWRYbn`OqSnZ%>N`07Wvsv2>pK{UqnL>FO7M`ZIs?XQqoDb9pnA+Gd;%BP)ZJLl~pO$hzPx9Y)SdoTbCax*C&i7#&ju zgIcW9ZCO_XQ3?lA1h-P5$f~XAYVaaTCm1pb)~)9H3K@i~t3h_Cv_mIMxF2K?a#rFz z!$vM%pJ&*}y81lBM!r2!av5XT$oJ4iyj5=R#`lq zI4In8uS4t=GGm{3HfM7legCbdG*Zq34YBZI6Fgqr*wjFPhFiEA(TOL3&bmWR5}*PC z3}@`mxfErFhft;G{rPl-SFP3Pv_CMRrQukz4tak*Nojv+5KjAJhDYhdQ}V)e!PEh1 zf4=BxQ+^)Ld63R&gI072XE}polU{ak8>VkbbyzlMWGEh`uzsz;Tq`gyz3UxdHKc!F z+=%)SwyPyU3(?n*p@lmbIih~d`@ZWOJLSz3l-e-a=p08nCdmmir>}A)BM|@5TYmk= zKV#b0xdJ@8khuCfSAYj_l#m{Spdn{+R(9AR*mE^%+3Dp2*+XSn(d9~?fzuYB(B z_<|n|)P_z{@*Zh)vlj1MG7X*^Oo0|qs2@GXK%-wk5f*Wp zQkikd-GQShaGvP>1&cua(UnKWj=tMq?zKoFKdw2Xv}V3PNZ%_UmOs+q=;a3O(W1*W z53Gokqfh2CBDY`=(i_+dG)b`0`~i3J|1`7knDQ6l7Q`n@SB&H>^i(i<&OYR9N9=D7 z#+k(T6|-^K7-fK5a{fYjhg$xE^l7)@6}8vmhB(s6$8KMw)E9DMc}Ap}kD92Azx7Su z^7lUJ4UMo&6Sl6{Eq%+U>*jvgoLW7iq$O(jh;mq;vdo#)vn9oxSjF7sJ7z36+vS?G zs%M*AOE3uHGf8|itJbH|OXB+sW5F!tCNI9~jOSgqwNX&^caSSnD~PD;HU8^gyLQ8V zNZ$^`vZ!ZhAv(gs`2530HGq%jGp_kW_&GG#*e6PyV`)L6Jmn1+%?zPbF<`aGoe>N0 zd2f^`W4}d5UWNo>&GaNMnh``Fo3P^PEGbIA*z(g*D+#pJ2XAoTp%rxe2el=9=brxf4&Q_7FoN~+@O~E z+MnBLe=%?A_$WqPlKkgfD0r=nwh*-jr2EtPLjVqO9ACE6@%dWE=4QP>G!e^fwhOwY zQA^qImCeiT7ogQmJD`G4vI`q=HPi9AoeqfeEpx7R3ruFp2Ncgg?O(lx?NVknwd==J zsBO7^2$U0+?Ku7x=bNWpV4TwyRphu}2-N)tKUlsTp=t_*mHt}p%RKYeZK|K%yN@j} z!#4aWHtB>*rG`VP){8CZMZqP3plQY8%x1C8+)b3gsrl;sK5drb&AFLJbb;Cn)C8Sc zJshPXH+yw@Kyy~la`cjRAOB_FwvO-@a49Hq_mXrAq2-FS&v;;Ws6}ghXI~lXbrS^I zU7%bX2dM#82-38;-~j;`9E8H67}aCaQ6$Vc1-TbvB| zsErDb6{!nca0k*lu~j?3=+&nQK|b_N5Tk){F~CS+Ji7+{AxNv@f+x6!5-jcj6X*rS zOL9q!2F0XzE_qlA<95DmvHUIxDk&Ybh=5wAgcM0WkS=-HA_8StiJnktFAd3AHcgGm zFsxLsY8`%*3T|3R+5D+6Y3B`EGiO6%77o|rFl7E>m_5UjUj?tjA88rv+`tPwLmhVmt@v(yzy0e+BhVthOIP|XThvI5i{tD&|os% zJPT&!3EF-lp@6Ld_P$=sEM+4xk!lt?&}B2J8u@#Uth!h#f5p$;*JarOVFjFJr{aA6 zfj2wnT^`{3IY)@2Qu=&Ymki6|s8$ll==D;f5Ol*d~~%z~~hMeHKxZwlPS9QaQ*~)C@0FhlZG=UZ&ham)=U4kLQ z4_3l}9#STRCF%E*$x7+>)A7FX`>hcMl`v)^jO*hzPPE1A8DR_rN*E*C5e8|T7sn?M ztXw|?>i*nL`wQkR?Z07In2+Cu(VM{!mY37Vt3@{YYxyQHY{^C-E&_wWKH?^9A_8w= z6qZ7OnZhV6h6pqL;q1X?2RbD@nj1znxrQ|w0-O-PB8F;1sC45x(D#+ai!ZS_){;IL>gdjan7i_)|MhRONR0z_$a>4x`{PlEO4j>cKF0l}#cjbZy^ss6p z>1Jao^AHs8s!2L8zyZk!MfE31TF8*xpa{%^ugPdnk1a{kHn=3(T}fqxL=-p{25U0K z)Fd?(fHhag!H+Ig>n6F!kYYKyR0F!B=lg?lf=xYM9j#L=ooBv5hZVXC^orI(gI%sD z-yzNv)!%cyxA~rF&3uahE3jr?q>K8eLp+#vMaaD91Ghs8iuigV~QOTJ1In(#l%NZZ}HB5f=&Y(JX$(hi^XXJ$8 zEx}t0?}Of7@jf6>ycgLH?*%Tw>nVxf<%O|{C_fyY;?j%{dZCly@A;+ajVna&$wBl? z9ZlS#K1FmTR=OKvrLXy8KlxSv?QdyF4((faXUDqOfl=EaNe>@}qvv|238~l^(}X2J zAh<(1UQCLu0k24+FBinbZ(R|&6}3_!D2mPn8*RqQ2U~|AZK%2Pn5A3gE*wIy{brv%dC0 zE#ZPYz|)1rWxqZNBXM3aB}LziIacIRVLc4xlGYBa3xSaLSjjb9jqIE$u%DO27Q`q-)z0BeS7uguY0#@$alT)o>$xGS8T*=*jdUG zb%wj09y{+AUG3ZBv2UO7Gym!P|3skb6l3i6%@D`19djqfG}4_dIV73Zb=0y(tiEC+ z-5De9rG0b3M!JKhrLzQSLAnDhoW{U$XzDRvF-UjTE8z?dWcAygWyI=&LAry?vs%np zk-E$U_lmo)N3BiSfE@>q2c7 zv(*MiXS~rQftZw(|7lHVd%ZgninwGD`JjwIm_*#iNgqIM@iHfaLo04mCC*eLE z6r|--M4Vo1guvt9`#>P9`3XfF)a^&X^`+ z#hgq8=!%5G%;=F^o!TS>btSWUjEYPQ`_FvS2G5!|vmm{*+CPkd)n zM(!qXpQ@PWz0#KTLcISMrssxWu7?5ULW7ql>GJ8_8qDQUN*}!-cbw9#i-Y$$<7S%i zikp+ut&3)g!ImmO?|d7@c-fANBbt{{i6xqo8QOe%%y>1)<5rRDhdtubUNR-a7pLQ% ze06N`UP(uEIeL14arC7!+u>na_W5-Uk@R^37ICG+Ht{??+GBJh8c^-gojyiS7!!Eo zb3|vL0KgeJ9wETgWJ~KmXT$?o-}3nPIq6uxlTUMI+{Zih3AEssH1_AW5t}EhX%BX=E(FcliIx}vznN4}a|BwTP8;-DOZbZx#XbN{u{RP~oVJHmj0Hk8+} z1ZT;-aA#Ad9y#$Nn8}DmK_5A_*-a(5*WEPE^OG}a=$gxa^W;qAP;-fNcRZjIUJ8Ji z-!6JQes-y+_?kwOh2MSY@S`MI?+^z#;SQa)+K(RvKpZ`}Ic&Msu;(nV6G@U1d}nq$7EV2IkrlgoI#eD2G5zK5{$um%?e<6!*2I2vO&x_bP=2 z8LE*A??TknzGb#C3BL{sm7o3m?hk)%bUkPbiiZNxAGc%|AB37kJc5bAYJfy!Vn?x$9JG8?gSYMxFBXatA=7LVeR32+p|=U zE(oqCv=N}69fE^HQ#-n#^@5_Jxn6Z$fm()hL5>&Ft_KWXRtMj{!c|Ks;F5@D*pi6i z8X_Te@LlpU<=9;3!V0L&m8!Ka>Pj$0=VZB$nK?<1R{ICN(rN!@ZyiXN<}RwV z3Bo8&M}etL*ljWz+sjx7e5MRzjHL^yj#?VF;A)?gvWh7 zj|KXFzjXIezZjNOp|Ktc8c_Dl=r1Q`0z`vbC1uKxe_GNesCYk87p^g0`sQwf8CIn3v=i2(4#Zbe#BUl9b)%AHFNr~c5i zq%O!XL;LH&+~4;vFwAg4v^W|?1PH)-L9wJwaxb9^EGe+1OgiQtGE-5(XE|DPKg{?* zl1VjR6F!Tw8-u*q==kj`+VOmMAV&sDHe~E2OBhGL+>SS&Up{Dzu(8OcT5&a;8Bu9} z@d#y%BcKZ|(m^hbXFQDYf5%pizjaZJ8~BJ~XjhA!lLAMwlLI?_rKQS2js84t*saCT zA6WJjW*#L7BjUT4~TAGKPYW97_J;n|TE_W;> z&jB=e`oZYW6|r<5Y3Bgi<{2S?-eLkfY;?YAntea?0fX?cA_Ot`xDWD60F4qO;L0Mo zL`!5{Qp|o^6MmC13LS+IH6L6;B$qs)VN(#VNL>yYnsR#MwB0a2kMo2dQ^R*S2>JG$ z;K%5BuGYeoS&p9X&uO_r?uLcPYQSpAB`z>y@N}{CLUwgho;Al38HOQ0(=b3NO z5hIfImtU=hyi55W*+_+3r7j{{5ikz*Tvk>9w1$$zt&seb*9%8d?*fG@)PrlU97z)l znfSr7E2NDv?g}wKe&tAd>D@2A{NQ;p&jbK+t}a!neLSU^ywX+Lauv0$^l9rjHDx&A zk6v>ekEi4GGyaD^^*6sh0f%I4mghKp{@rm6TOnf%k9*7vU}zpw?PyM2(24*^?yipA zs5xn)UKPM&Cq#43S0W>RmH&&pYkbPz^K*O?pXSI^>9fcb1lHGLZ9%3; zq>_rwUF0&SSR2R`rKHmb6fhtuxE6Bnh6whHKj%%K_}(zHieB^}$6<~~@Rq{hU`ojjqzqym9OkIp z4KCQ&au5^^2xe$zU2qSUH(e1ZtA0hASr@eUN|2y7-8>G>Ajbva0dV#&1rc=M95Ymx zX4VBsv#%)BB?#rai=mlyK_qIGcpk7UIdq1W(#*Qx>2yHP2o8NZa;81atbOH>D3`>z zDr=8ht}F;ll1%fsr1tc}AfJ${RMVX#8RRs{6@oCDZjj|z>xxUxGTmbEXc_w?rF@}w z`bHM_*l%F8bHaZsrMr2we={T*?=v2oK==wbY&MUQat&)SfI1<}DdaWM6qKSw*-rMP@BO}%{k2C< z!Jc0<&=2QZ`~kE_!`{t?G)uBNU5@-bZXSVLN^Ty)TKu}+jzRiZnD*NMmhEZ3)OgNK z1l0#U*4B}Qj z_q-yNRue=Bq}|usA)=H@s|f-pqARY41G^=S=aMbH!1bSd!Qeej-0prn2!AWKllD$nr=%|=oEoyI!`)-tmxP|atb}REP6u!uz?M4b{3?L zbiuQ80;wZi5V;(vMy`k|i{>KiD`;KnNEbYz2m?h$$QYQ0yF*h)x}ec*5C?|Yf}J3B zqzj^KjPV1qqSkuz#ZX7O;2tc*0{A~{FgdwfQFNqB9#7DCdNmi%zf)CVl)-%ORYR=O z{Fu4C<9dKZSypxpHOZcF=`&-=A6{>P%4@yMWoGgtsx(pe>b$&Ol?9k6sH^J&gd zg-`=&YnUbV6;IyrbvPhnA?7RuGiU%);>dr26}Njp-)o7Vmef}y#$E*x^3+4ma^uw? zEt92Ft0xvm?bCG9L*<^Dnt&U)5tn_rC|%7`=}##D1*D~%N9W=r1yRW81uvgd9(;UK zj-UraA;{(m~0?o~|5J z9*(DtfRfpPrDX*>m{kk>(5KA?98f&;NMltFDlt}_tWk_UhomSusKi3rp?$!l*S`5H z2bBN?YeoyBURQnJSq>_}6s3e!z$gF!QA6nxRJL+Gkaol2@6>mG-53slzAGB+wNggq zh*lDBE(v5c3Nz$x!BC_AGzGovlE7M!+KNBXt(jJ`eNQ&Mt7@o}HEfr8?hHD+V03mI z`dFsp>8aKTw~uVy0YHR%?a#h!eokMs;VYX=zHo3|Biw6Kk`s|Wp(MoXJ;MFGAAj)v zpL#AJDmubK;p29VHff&YdOaA@lOtSfAp&{EYA0-r8IfNi+|>pgj5stn83!>l z&3gNJFo#a?^B8b%j)Hv!A4SZ%O9m_2mh*7y)o2W8mvdS#Z6efmH)sbCv)zW&V|yr`uWU{1__7Ux1l~J7IypjhYs7rd?#m+#Gdij2MwZ+zYcjM zJBu%ybIkr6nOK|Bslw(~+yCWVOHcIzPax+i;nCXk%?#GLZubH+rMy;xr?7wi6{eu~ zcYzlP&3JUNPI$WdCm}u9IJ#GBkEg*KfHs}>9Af^HahGCoVGqquw(zX^dG5Cx?XX7& z-?q>4Ab5{80npW_3!Q#O_-BjYS5huEyCS%XI%M&lHz`B00!!Wjz04e%y#+GXN%}(HJz{>-wjg;SQ1|C{ z+JC#)W~kD3wb+*H-*(q8+i8EywaWK@yIE`tRBJ1?J$^sgj{Wh2<hwgLg=(ka z1C8P=vK$dpZ~28k@*)3V5-|lW4|B8vd`xnvdUsaseSnRl$X*|1J=tve0}RH z4R3SoQ|Ez>6pXr_5bah^mQ200(4^?esPm6utBdNNYT|g*`Nus=I{GV1$!Xv#bh=)M ze#3Or_b9d!Lyn8-lI<`Z;@_rCabjJC10|c@dzny#dXzVR-p7}Dll?EJCr9^JEI?(1 zbFEID?&=_nz^ukbnt;FZ+rIl>{Okzw^?e3dNy-FhBHOr{%FT4(0_b;x=)V<#S7%V=)1V0oGVG zLNGlFZw?bM!T_610IC5t+Xyo}dZ=(Q0kDv4nSjE?%+21G789_;geEl>hz1A7BAWoD zU36S9x)Oy>J^w-ytol!c2~1?7qp?8gp!?B_34qZf3m%Qyc4(^d{W&2#!+GM0mS8dH z*UUB=WOeMrge0)a{X-LkT?zF@HjESFSzZBeYpFDZ#3*2@gRVCrR*E4fRcaP+OQ43Og@^e1Vxy%C1JP$6!Vu}=kHvhs zJ32I9y*0WH*_eo2hb@&bc459S0J$k(jM0FtN9t6PB%G>IH<1_NE3kv({TyH)_h#4^ zBDq|XPS_WUUP2A4-XVj^Ke64=z@$wGw5P#gb!W zLkaQ)XHX`;QBqx=lJ}X>w;LSyvF`4+Ag~vDh z7jPvDBcs$w^SUP7$t_%(7M}QasHkEaFL}3rgYX^0F4BK`I?KyJSWs zb(~L_Pfj|%G@Yj7BV4~EycXX(meZfd{fqn9M6i9i%V6)-ggv^t4D%cK?|iP!yjyg2 z8Rp-jl{3uQdnLX zqbnU!GS%|)gsHwI9n!qe@oK8)+krbb)$?xA)l|>FMVFZBH~!^6`qp2cu9I(BsSpb+ z82W61tEoOiL6S|iu>xwHyf4INQw`=3QtI;1L#~o)s%3!5I9EwF)n~a%vZ;-=Q=7E0Y<6G3P`5 zh?NxyZd*}r-iSLa{;z)Flmp`6*q??NH~j)-iHQ2`PwwT6F{p&_*e9OHIb7#`O%tRj zTCxJk7g0oG_#%DS&p^2V$`piHb|_(ckD$9W>=(pcI$;5*Q zf*aw^%Y9}H*IW=~DeHzqTil?{jNzI~qRY(ZXQA1=JGK}gd7|eZS@Zf@NC@6Z}SH0OPX1xssg>BUyA6o;~nxUPq7(+qP?cSTr zV%9k%N&rqmw@B|RRxD;6JxdaZp>&KU_9~dodQ1=9Z*2BUtXRx?8y>nFGN(ys=T~D* zQ1BF5nKPEGX1xs$g+HU^*d)o&&ex3Lq3fdrSNX!LS#QHb*IVn_OKaxa6GJ)Kj8%T4 z$>2(jb0TgNW2%3>!~xJf&;ryMWBvQsL~{A&!Mqr&TU0jP`uo3QGJ1@0FTho||BF`l zo@_?)w<*TZCbbk}K&|I47g)uM2yuIG8S%PL6zYuL{@mrlAn}q~u7Ku~Qy?K+3##yI z-D=plJ>{3yVrQVkXde7coLIh3PO=8}zx?p`{P6oCv8LR=UIQ~GU?4Wx2aL!DL-=fx zuYG0cV;9VXU4ffJ3jeQzfrR?dyVQ zgy7f`G)OJcZ7d2SR^&}|!L!We)V?lwYF!wBqbdwFR;2cILFN8s8VaZ(O<0AXXkV8E z#spm&h|>V#9*j&8?dy{JB{4lBxw9tFv?jH$OM)_tguzI`fnZ%*K$0YFqe}veP1p?1 z6C5Lh^USyBxPcv^Bo_V`}~WH-6Ob{)$lRI{4Amx^AJ~u72nWxIl3DLS@Eu zQ*A17!B*Wk95<-2R6*(u7i_Yg>gE*$NNx)x(1h z$mkSpx5>4W-o0kZ?jsRaKpgbh?<`Y>c0M`?{#f6~7SNzg(ZavJl?QF>P3Xc~tJ-wBUfwTQOOrmRjqIsLD?;VC+}7)s`dcdKWTV|Cp{QO zE*`Z1K$r!>L48n*;2avPSyZhK)x-5{2q37}Q&erku;C=Ef6oqgZ&KB&#C?j>`>X%V z|1>rE?ChMf9P^${3*?X&W477I10}FM7?YC+vUT!V3@Kehsf)G0v5g7MjnFZsa0L{CNHvEw4EbQ$Nw9XiN8@Q`HZg3 z{NL-4j4xtd_mUnR0Rp7FsyIEKSB1}*Qd$VkLBXDSXkmi^?73XW*)#vRblkk<$9%@$ z_b2}Pm;6ZZHS0nip4+mtoaCU9xXuRb*jHWFH8PqA8R@^|9O<}@Lw>JM>*cK89{hLG zV0RiBn_P4pnjV390S)8G*yO6?gh&V;9`vU|S>j@{j)T%9r!>M5I$PbvWF5zbKFvI$ zUr_6Ev0~A2NJW4%gQ4_+T#)tmDOp$01HtL0Bq)cdiSsWhPjI_ACyW-7(*#FBy`K4c zQx#nD0!0DoUoT?NF-l}u=nZxkTuld54Cn2f;43n^jI8l_>#K;5)f^L!HDq8o!VZ9r`Kl!>hL7>`oMWoYv zhzj+D3mOa_hsJ_R*c^wZU2lTR%|_BJaJmLl0BP413Q=8S=AlTgP$aH6nu28k+mhj9*9W5(h_fsZRl^VC z=pZ3d7b!{RyMw$uhcVGFz4d58vBZ{oPqzPw&0#Q4pog)J^AUOEBtWQLG+M%S;Q16*gpzij04U))SZyU-Kdm@tsm8Z8T$i~8 zgbJa%1A;lzn8S4dPcf$fR&cl446>m)T;CxF3VasgdZs(OLzi&9m3Ve5FwA$yuHia5 zQ#4%19ESb}D&ab3CF#)tSE_;iYZ4#CEf4I*%(eY}zTWS9{ z)*JgoDdaoPnCfe|{=pKi&$UUn3pEKk{R{ucU;DfVk^WTUHiZ1o4U?A0b5Ng7g-Ls7 zRs#i_aH{6WqJ&9MdP-F~68+>b30jAwVVv5Au4Ykc5iR5}31qSy+D5@1&i=M%bC`rq zW)A8=b_NN?KAy^95;~qyQfKf3YttWE^r@W*GOnU|kxxf?Ta|vCWC@c_DAU6--APEJ zTC2}(QqO3NuxXW;_*iwlW(?4IlOl7K$A51tqlX_4WL!)7zer|cglie8Dg)*+ z%AR&T5r_>a(opaygxWnUU$#^BPbk%*^_VdKGRs4HgYyCT?8N>9#x-e0PA5FYxQ6rH zr5UnpHb$Z1fV}y0m=bbN>pAK8Qs0T=3#uY*%FpA;Oy-7fRqwIK`WnbYv~mq(mYNin zpsW(O4_g`;K)s$xQa^w7=|8#(!#f_x>^B>o>WYaHpaZQzLJnlk7AQ*~gR&cnWz>-5 zRIe+RKxV%oLpcOTDw#QuIa~ZIfy}wuUk+r>mj1dx=GK)zHHu>xF#V=W{E6{8W6knc zHyJx!_=y(#MiPB^vCxMSBge&FCUYUdS~3@d=5-WzN*9+jYu6pJeH++ULA>Sz@*&Cl zb2}NNN42jwUqFfCf?;2=ezK2DWT)c`c}F@vLE~vt;EG`%f!8IJbOmd>yEg)!Xy48! z7@z0O5Ae0u+TJ@JeAV+K>AlC01e|w^u1UbhlLY+K|L?1R=+%#yAQ-ptBIFIAKL5pofEcTTp0h=ILMFm5Rpj9Tnk@*kEJ)kwg4QX6 zBH)Q(F0FAKTGXP`f%|w@TxQEr`|9Q{c&W|Y&7N)NKn_JrhdiE34H;ykWWIc22HwyD@u>^0`EBUL?UU$Vn6!)hx9Jznzn~@Fwk`1N>Vf) zr1&)F0h}XKSHwelZj@=q|E|Pt*;^9-Cy^BN%xy?N6!&n7u>Db)+xk(e0O6PP%hV`U zA*c`c5Z$L3t(q zuBOeBXm3M9TV>hj%Ms8vZ@TEXTw8FM@6e?iXpZ}f-`Y;b>(@8!+W4Qit5mcl;nWUU zOXu%;>A|Z}ImcEitDi|!$!>lobuXT%gdlOmmmj?R?w23D^mc&(%B8hSx-nQ;Dv{N& zB;S6Bwtn0dI@&D-+ywnRr{vm#V?S-mL-B`IT;sjLF>czG*I_6~rRci%fqqw5HG0eD zuYbtD8I3_|X}xM>c9)~=Sm>n#t^-lCE1LWk)>r6_@Wu8*i`#B%v@|dH$hn6ih;|=^ z>xE$QTG0HxH8|Rc7o40H==B|^6+(gGL+=PBpM{fe=?B3H2Afsy2PKyUnsCQLI0ScI z2={ZG<%o!}R`1p-HoEaR^HI%Lc~aHv20}-@X1M@5qnQu5zN=zB)N2m&)q~ZM9V-3O z>gN{uZ0=Z{$<-2;i;B60KrvUc9rg+CY3bKycB~M^s{fjfU(GFwLA5*uqLJdROjL^` zGE1i;#$e z9%P-g2Np?-=;&wBq<@@a)=9wmlDSFZy+Op4b&^%Gp_4EjwGX3G6ot96D@8AHI@=)D z6i3)D`vh`3RdZwMgY?*;c?i?SJbj>epSYYH>7peQC?k=?g(+cRlB^bE$=gUJyTwrS zn+qfCm zbgL*5#uNX04n+I%FXBS^cL|+-Wly?$_N2>uF74&HR8`;Tn%^y%szx}pXA)FNt+zcw z#i)$?)-B%AXeX}ntb_fOfr;ncqTbwHdxY+$N9et8{M3KpcfYaK5p=GMgNc0v7BY(* z*@?j;JEs)1udMgC2_7(^TLia9Nz~?{9&{O8@a&innj{wl5yH)5Lg+Fy!E;kW=rXvV z#bo0{Lg+HMAV?v>hfCiABhnQ4YhU52=`y&Wb@ZZyvE9Sc5}q7_;;lAGuqVR2W63pq zpGq>3m$ZH>prZ$4M`oJ$=O6Ywwltezdr{bM zYK7-PvXE`68eo(t9z@~7JB0C9pXBj8>0^99+vnkKKzdsaX(pa~`5ybW-UwMD3MKg7 z590sIk~jVzr6y_{>G@tX4z|$oPH}n6(j&e=Wy5Crp%H%Yn5AcY5wrRL%N(qk9`Xf(1|mH1K(2OD`O8NayntU3 z-h5IW;W0xZfO&!*76@k)uaGsI|^)+{xBq42hUcAiVa z>&NdYock`h1EL>Cha$kG_t!2ddzZBN%eZz7YOYr_@5OmA_2NLlTkJ<)oIwRe9(4ZI zIYEan4%R&P;^6E4#o?dYdLu6mj(6J^=Uly|FMmimBc<Wjk5cb}Ks`RU)BaMQNykTl zq$FS_>K$vo>uI^J{GsKHd^r-|#^taoPzp4tcNDlR1j_l#b{ufKl_En+SfQM;EeR+H z)cv`g_TMkIIRS+_#I{`jwo5?CcG_R+Gx7UvcZ+R-D{m!5kS=)egXPoF$EzifP`$y) z`{pxm-6nmBiVC1pM@uiUz=Gc%xP8e8FrRc>Y@z8v8UlT?!XL2M4hA^>aQ0v&L)7x? zEjJ&TB?b;HP-ud~WPg#Hy*hpEIjd(mrk_6Xmw)~%-ZPmJclPcBxU~ChL`iUXvIyM_ zgG7uxV3vmg!8ZEQ@kSrk>p?9&r#JS;jdHZ0^TIkVBDs>&Phe)ztP+fk&36bvdA9t} zEnR~lb$zl(w3Z3(@$_nD1q9Tg zd?04P|G97bp7(xUK+5&4?W=NNJ!Ndg3^=@VIH)&P<(8aZMnQC5^*Pq8f-I(lg9!Tj zb5%K`vcih1l@NyJLuVDVqt8j_6nqX6|7F3ff(}3hAvVXMp{%NA(OxdoT$oC^!60x+ z?vl&7UbWaf*H!B^<6dNeL9pGgDB-Lx2{;xBswmlC_M}!*Wfb}1&~grqTU&3|T0%8- zcs;rV&`lR;;pA{IiU)T7Z>J4q)4%h7Zz~h~ai#OUt5V(z??tP5$z79fq=a@E)azh( zi@Wrg>vah3>I@e6>+qk#-InMtop6U9N0ANn$#lVY$<@bZH}raihxM3M*flf!4oM(=ruEZH<{sY{r!jU{EcKvS>k%mGs8}6ZS=F8oB&oR$@Mq|bsgFe zOyVHv)*NXFiYb-#5!QoDS5nB}n;NVr-n$B0OFF$nt{tf-?%3C(wY*v`)PvQUDw0}*!~w%D`S$N%h*WL4ENJA*lEpt zdkm<=AM?1XztL=yFUC^Mck0Mi17D53n`Qp1-@Cmh)ZPB#sop{2_+0jDko$_vmor$z zY2G^PH{_ z@x#{q|LnaBur6y_7KUUTU?7nIC6g2syDEj5cW3COFLY%neQr zv(DliG7Kb85N>5;Ob{idLTMBoAO#x43|hrSpehgu(F8-02qcD!@d6^T3NsP&Jn!Xu z-|y>Q-Tkk<*4q1=X{a;n@7-^I-T&X+@AY|~*8)&fX37pXNb(QyKmO1DH}=FQ-{*g0 zPki!y{x|l-C$)Mt7s%B(q{CvLLa)=J0%|sO6}TByUo=cqRaWWXP+4Y48oH$&LEKoC zRXTw!AB}Xp(3GS&QCK}voH^EIy{}=kXtF*hOr}AJi;Cg~qV z0K@Z^W(#e#xZ+A*#^|GIw`h(rZ>Nj-kG=N`{%NA#>QC2#)Qa)jgmkTz{wJqvAqZ3f zgP5FLttv(cawH<`%j@QJZS-#-t*iFRvKO#dIbA!L*R3i>M+BLYTLr0MTPMruTFjLq znHQSC4j8`Le3>d;i}DxY7D(5EsgUtt{@SYCJp~L%lS+agtUmc-%7%Ba0jM&(gZ)2Mr_j~?KcnW9*6=D*8vB}1RZXO) zNH38z4bhxI?bzKO+k2xjVb^)4AwcbYZG%kVpm6@?a8Mq>KzYq|(kg=0XuE{4S|?3? zk?92na80VHj$@l^sB{hH?iw2^z-+gZ7~E_F6wSMIJ@NOpY=k@4_o`}dHwD21j9NfH zBGxI#3Q0o&PSz<>*>^YD(;xcCkNo=Y8%IP6Vjb2K=%5yulit!{Hi2wrBgh23e9Nho zT{59wJZyP(6eoRhSDJu!JPN8*A(jL8>qpEaYsb z1N)Qe6{*5p@VG`&mlA=S8#0t>U>eQo( zNfpSWA?bBhqt{ScgKhyJ;rht`qJbXIEq=k$WCH&ctRdO`ZxTzSA*o=7@F}XUoU!sz z+p#~B^uF`0FQ^w*JShj=(O>h&JG`#SW6Pp*dCN=g<(HgEdfn$2b}(8gDMl6zP(4g9 zP51xBU-_KZeZXjz4M2axF?O2_YWH6R`kD73j{t8f?zAH>p^vS>xem(USjIdlU45j3RJG<{x=Liqb8ANNnP+|%4@CU zv-rSjuf|~;DhKD>KD3i3QC8CP>|RW6wp&I2dzIVm`}wy|CjN~Q!%q6#5cRTbh?;SP zcZeg@+V+UILOb**ycM;7FJDvm32%P-rxw9iuaS{=6L_3-!Z<|0Ef^i{J5w2H3X7mN z=u)r^sG6abzz+f(!ES%&)wDGXN9Zf=)2tUuaD`wMMxw({m?%!Lg$lO_!77ZD{R|@! z>()V^Hs<-MkDDDcVGtDhwK_1Z!pI|jAKFX~d)Bm`pL)8@1o??$GRQ)o?B#>YieQtB z6tZ`~j^$lfnK`wsU9t&q#dt(XLY9kUBkhoD!|ojp>As}@#XXmVq5W!$9R_w4$86sh=pB*P zgIcTWoc`E>I>a73kc{NV4x9P0!{!Y>b|f@u=!E!Bch7M`&QlDnE!hku9!=SdP7kFN z2%q zR~GDM4xDU}rXVpdP9rquRh7c9ZU7oJ$hSDt%$K`2cvq4O?5QC@n#!?$=lgCb4}E#k z%zyPC{?_07&mx7SGesS!WfMlJ7s>F;{+kmzSg9&)mz7^!@Nnb9i8)IVr$$nWv)7wb z({C=P-lFaz*T~5`jUfGI7c`baY83FuH-c1>F36mfRgjlfBC=ih*3^zJ2wotgslb?d z#fXKVID|!V2X-RKN`ZD#2|tn~+g)68aMrRoghg^_*s?f;MRI7`vN(h;c^jjVHPaRN z>=YT8N2YFhMwQvWxIdMlWx5<#Ii|!2HzlJdt$U-~hO|KeN=$PP?s-n(?eyT901nxeT&O3)(7AM3qEbMEYRz}o-tvp?p!za2fe%1Y|FGvg?A-V9LDqZwD3 zIBb{s04|7h6w^%*1YnwZsZ7txpr{D?uwsxJFKG<3Aj?QDxH}yA`K^Z{HD zJ_5>25ZnPzMjs%rNFTrjLD->8efTnBu|sfD$|2dVoNMq*LrGO-yCcWM|KwdoK6a-w z^i3F0L*z*<+j>VgpLW&CaUx0#)`r;A8*#3}CQ_WJ2)T$86-N;VptZHiD~Lyu$ed(5 z0?7`_;@l?PhecW7dNX$^ZFm(|BT-Vt)v_JeProp>^v8)fSh5cG5W+t|(gq%%)C~~$ zLKtCj#JN=@D+;lMH`!?ULp|HKL}2ik%^;&ckihurPJ3R>-#J~_}=}!skYM&A5qMV(W;{T zgypHs{WTj|zSaBW#reE{^GDwEV;@p@aTH(WdAZU+DULH#(J0sT>coC~3a&c*u^HNB z^BPby>>MXy;(m>hAo=u(Qg2rWsqS9*DnV=?4my-u*T@!+s zG^aYt*08*suZRjHi5)1oIkoUJbx9@l7i! z53H|=vER~Sit=lNk{i{Sbjnq0m9pl;&vds1FMXr~=eb`sEQJ@4|BHAbKIQ%V9DCx^ z6q#CjsAtm(+;%S(cmg>fPuhYp7O1&v>9NKr__dap_jn35i=6Indaf71bD;jtSo4IZ zt6eia*f{%=Kn+O3UufR|DRBFB8ZQPUF(t6&gVuOLpBW5R0S-W(*wt~{d19p^XOg=E zs^%gIHsy9rEs(k7W{)tOZ^3%s8E%g{ad`t5yDi)b8SoAskG9uYw5@-m-Fk(|D;xz6 zh(Y@+Nu}5&_o(h`#v4Op>Nc$DZ$VSsmj@aDrie`6aysWy;I|!@o=`?*-k*1V^rUjU z1z0jX#^SEsu+W%Vpam+rH^=xx92dwzRy>9j22E}d82_9v1J)26LKI4JhVc3GO$1>wmt zg$cps(NI$%NZaRvFl0I$7Hs+e+4IE@LEdH;Ljy!?`q6LK ztjIUV1wpawf*YHj8>h~tR&*vK@a2ktDz+6=s;07{8gdHm!OmQN8FA@c@`TY}V#v{Z z&?y{?OIIYf8)Dk9CeUwfiMcAZa`=K?=9+^EnzIuyi$_^p;Q`j3;O8^mHdX*k1HCCNT|WL?HKVKK_&i1jp+|UF<79^A_`z9?o+%CKf?>YCLJljzWe01FGg1a{ z?8+gRh$RISO$0NDltF4#;y-=ufT)G)hX*eC0P1E$i`UW3rsdAn&2tah9rmEjT=s8y z@W|Mh{`e34Pp9X{u(T)S!;oY{l!a7e8$9I@0tr6EbrK0~k1b9$M-YV@7*pr0d>9c# z4)6}gwFh`Gz*PY8%+oo*L#Yq6PP|48o@=kM3h+Q*tl7OaAUDm5IlwzDk+75~2fQrp zm&pMhSQb&BU@(R;Gx&QtR@BU9eB`mL2^*uUwtP2ux zYW|L>NvXkb^uSdJpB1xsO!I{x-)LVkk%%2-=v)@0<#oa7-QVjIEEI~0Y}(fRW}KRq z*H=894(KQ*aOcQmY<-Qiye?>cxPSsJSCanCP#{`f7u2jfEHAphyN$)PyhU<#L^hX_ z$a2cO6Uw5>BhvJ6}Ef6a-TO$%}dQjRkd;&~}k z$-O6IDjmsTOs_eZ)t=%xjD39JveRzSY)o$lF8de0?)!gXbjEoxrZ>jjABeIZN`4ws zgOof`5M_Oo{F3-mP+P6)}iTYpDPf zom$+Bq`FOT56q9k!~mr0K$B=p%ej?mhU0a>lu=#es4=CQ}2Kv7qltnr5fo}zbOUC2o!8g^nz1j2*rI6cr69->$In?P~5;lAqh=MOm1)O zeTCva9=2-*@@%&aGJMqNip}iF2&*k!SzXuBs5)=FV<*Gb$tPX&QRRf=Vj9EiiD3Ub zCxl-|*v_L*2v5`Mb3%B!R-fn3UE#%b>5%9wJnn;WUh|)P-1fcE@~PSR7HfMva`v|v z-lcUhSQ@{@+G0i=3@-x71HiNrqlI8qialUSTA(qkEtrQkXy3q!LRnBFNvl%q`b6gd zHf`|~in~=QcC+HCX(dmcnr$w{mW1$O54wcrTUVu6B)@e;?EZXY zs+-edBHfPC7U^fTq563+70g5Oe|kuN0$BDr?#VW^Lp01`e9EixbL@#vdba-3>Kww} z2R^o*pMjo~a{#orlMS|)=?di+tpe9<0G+mc8-B4{;MYF>m%i%X3c#tRM6X@as+f=4 za#2;%D&6fpwXa;#8mxU$gL$>+fKrtx&jYe&Z8a^Iv<8c0xui8%`^qJ)!P=K-Fu%y6 z>w1e{L~<9&tBYR%s1O}cBv00@Pp(`|7TPW%`x)4|WVGu8Hp`zV*XS}+FOKkj{k3cP zv}V3P(XhYVHv^8Y9pZ?tQ(YPHjc$*(?W^3mbvixjPAG7^a_7;G{}sgKYM=VWlx;Vm zLgr=xzVWG4$M-sIN)A0Lch_tVuqXXoT|L8K?hXbs?H0B6Zid0!3=HOTzW!tX!Ry0k z=xok9@s zTLNqHic+~VK@2qIxFlIog2F7Q=|(^IX2r&ON^VcA@l}%2SnrZ6PBo$=X9Kp9f+#de z;AznJk6#G)M#zP#H6A=2Vg4Psaj@{I7k1CrQ%lD zhnUP1@mzdTp~I!+b`K$~mvZnqPS_Ji#V20Pa6J>ObGg4ASw=MLWd}nZ(bQZhY&X$O z$exaK(vs}{!)*>ifEDTd(+MF^HH6D{Sah_MpkE~&4=~O--GpmgFZ|y)KNt-T)%oQu zPhYslqln89UcG1=$0i=#^KrIwhsy=pC!T%RgU7g@sp@aU2b>*@>6veE;J=UW8V=t+bbLlgr2YsbTBs3d7rIFHet!Z*b3|>3uX(J91Qve zwOz^v?1-!ca+`E8&_~h;X8UDiQxL=~^VT9)4#pZ>v1;T=HdLRwaxgY)_Vv(q?mB|m z!8l>uxDpebj$OAwph0fp2m56!2SW!f!UEtTYRBrv%yF31ItQyJFk55$7bDo2+=<%S z@35coX^KoOo%&8ap!dIXIrDKTXHHV;b2)RmQos3f=A>IR+uiB6=p4KI^l$y2ulSFG zpd?$qi#?QXn5($Ru++iehr)W{#q63(V^cHQUQ#Y=2)H~78yN@M9_gqnj(4CpQ zDBPFqs)(=9Iu+`_oLe^5qns|owyfJoF9!*Paut_{tBcK7IxyWTvL*^r94&c)9LI~B znBwRr@Moxer-8rZ{?i2`@UQsw*Wy&C3+8r2`eZWXv{mxUaBdwF300; zo(^`}l%J$lZL0@#kPGhuleU)K#I z%eQu#vE5Jo+Ec&dr^aGCraGZS$#Ar@Zo-F59uMY(tJrQRC!Axu!JKf8?U1^>IwvfT zp#@_5<&v--AubY9f*h#k*ba0Fwj#`1ofb9r0#B|Fr*Cs?H=MrB$>ZVlZH~N;50SoA zUDl_Oi*ma-KY6RG_90+H8^)(rW5=HORCKO=c*vx!2aWz0!Q-w0vVm9%E1tg56bN!HFm&^$B+9j>>^Qp4DsV>^V+FusodJVp0n6Dj36Y5V-e z12G>kR06c5Yib`czP&ttgCjv7v+*a>^6ITonybP$Qx*Qc&wlOee{*bpKOwGK`uF6P7j30ywDv(9*ijge1NjL}WW#HqPr z%5ApbrMkm)fvN5IY2m>D%G>GR5m!fV2AJ}#sEsLaomN-5ZRZc7wtD{`lVDH@U{| z+~Jv>=^>kOIz@2tK#~L3Az^HTS5&>v30~VN^9WuMP^J??psKpdc6dxWl|CFu#JWt* z1r0p}>f^bcj+ZKZ9M1?AK}|YdG<^i$;O)=HHy{8(rbg9Wf35K6kZAxpn<#+;qGeFf zqvyakaV)6Y!rQx#qp?5z!{F*wI9wRsZ;A@OyI{8qMt!m}xs|@!#aoefFUNX0^{PYX zDepEXtMiLx)O{b-e!0zkKP|mT-UxG=b-3=dyTU_Xo>Shx{FDCfYkx9E4`>dmQ$KKi ztg#7r(M+&D+Ke2}ku~*$(oE1ZDlg}!OEW>=sJxt>F3kkQB(|yfTtA3rf}WXrba?EF zk!3d`tsQXkS~`y}Q`HYp3y};y(kwFXC$iX<+wmo%nP81UI&HR{xt$_enhCbcZmyro zyGVL70rCk3fr!hzqM4v)_Ma$KUo)BsdWQc=uOf8uzgUT%qno%D&#LoQRiV z%Kpc`>W6>-Z$-*pr_8!qx0$m*VM%Qk^SE~yUI%Ryv#yo)&;<=V)j#2zk-ZBRI9A_; zZ>n_{jA3d}FpAr7>7etam zGx7U1*qs_ds&yAcMU;dUs2_vdN%g<&VwV>EahF8r)ee~tNP>T(T~gv$mplzkHkY!n zOAd}U7q#w^gR{*=t-IvI4mTfE)S`W=#CJ#IjfecwuoKU?GM2p9<@QbbE&XSMs29f7@acQ8fHk)^d@?Fbw= z8kSDTdWp<-Bgy#Af5|V@O%4}ns)o1@G2zv1Pj|Q!7rP2% z-A5G@Zb5uf@1qZrZQg`A7`A2Sh~v${Xshzc!I*oxZ?LBuGY!+LFPU6mCv##qZ!&Fi zx<;bG-i&j-kqLPWnn%|NBg?mzl;G??`kkNt5zhp(hvv843Y031Hvoa>!DtFS5nd0t zIiZAv5CYiI)oIyQ(Wqv8#po15KW$4JMlIulfEQ_}(DBHQ82bihuok9n&VzsBP z3wYisvet%9G~z+T5B^ZP>qZ_XdzUH;gV-Zz=~dU|rrIiOblr z<+m`1xWzDVDp;dMT!f-r+klmW%pls@Zue~fl{`%lgpl`xLFQ^NqXHr-dgkdIWZH@p zTngQ17OaCzh0w!eS%K8K4c>C^*B-29>ar|1(luPInS;!58kXq6Zr99r2R0nmpnHS-daSDhe)fbc6MXu z2F2KE-LS(JN!FBblgxn~i~b?afwWX_9CKLM{W(&;G=!Ykd=|?xEvmtC>zbk(R88 zM^aE%wz)w4mWB;FX}PuBLXu2R?*iFVq@XFe#`5ji#h0<`#C&`B5gbRqUV)gixz6Z>*@p}rRb^)gRK{Dj!+3A@Y!phzZx);C`$M2Up4;ho>9I=3qtB>v9yX2hAlq@gq@824 zK_4sINbv=*cXPC?^bSdC^k;dJnsg#t@f=iP+`EshA`EOYH(i+QB4T&S${V>YzF8%l zOaDyii-`srwk4I9rwDp$(iL)(S7)Yr%IaB;+3b&d$DdjLs{vP1-P`dnJev&@VM*9f z(n&|dFrWs>fTnLYT~g6c6c2-gW82o{q@iIJ$T>v~z2EFwtzUT%X*wi!KkBdygJ)~Hzl z6_R~8*Jy;5;<=Mo(bG+_Tp&GOv~?(xa-_1Cy%g1Er85S4DeUzKwJ}3^g~`KJa?QT1#JokX_b~HH&*=pI zUUa5TxJ6o}G>^7u_TF36Rw?IH?9HTNU-|ET*MrNz`)FR=Q?Xtm1hFz_xIxpckH@w^ zN!#dx$fdDg1`ZoKFx$E;ZKDegHdfL$x?pasY$}PGiY60a zfL5dc*+3@0LoKMbU558@L90fi0cW|}(l+J}O!`MI2&>Hbw@~aDp4a|7Ri$^YsaTR2 zu5d`bWL@d?o*tlXE&Cl;Sy4S2-Uk^n333?;CdumVpnQzn2(EJtJ}`*{UGj`bFc3Fl zH)%4}?hYV%Rp8e0nz!ZvxK8rFGYi-2ZUe`gTmbqn{!rf6u@8Z|5yA}LoM2xVj`(Wl zx&RZF7xQHoJFr~q0_FFHad{JKtwg9wVvp_v0`f`$_XYLE1YhNI{gy!c{B&2W~xgR@M#MXeE< zW2l>np}zWyUj36F6){wQe$jXlqy#0y=yFP~$}cJi1A;xJ&YWM|qwoWZMnQ1Vi#s3L zKv4{qWd$vz$_${p=B=*OqxLvM^?(t+E#=qhQC1`p1m*u`#jG9y!eAkvTJKG4r!TM@ZjHNVdkOZ7+i10$gzW|Dr6xrsmk24odOS5;nSwP zp&o~jDHaI6l+{TuFv60wcR!^}AW_IP{nLp;ZeP9q$~(XBpM6U5{sd3zX>aPVSf; zY=jl{=6KZ@Zrp8z74_zVxe=DCBZGQHy_w)(Bdn-5Uoi$*F#l1nDBhn5rs2l>PBW-@ ze|~DGfkqOm3)2`W%ziO^Jy$=-Jr(WaVcn!%{ z^t8GEozv&Hl0FX>;|bbMm8m|@k*w*hxn5tHPFlXtSN!_-{rDe!ODI##Yq&DCEJw~QwvoH%?__;U=Ujl4B~>zorOE=e=pTTFFtzD$)9 z53-^)7!zAbDpUX7!B(_E>9UoiGf*UvG?$1UIBiqA@x?`%x+LV3PhodhTYc3fN0q56 zs7$_JsP|W@SufXtX;xKd$@I(Ys_Hu1OywimtW~U`;^LDYdnduSE711utWa-Op(2Bx z-gdaEz)S7Tk!1!Q1;{%j6r7;VsYCtM8`0){>h|d``K@nw*Jx&qk)o9BpQh#arYN{jb(9_spl4jk1W~|%;-#(vdZ9TrJ-!fRo3aS9E>mBlcziB-ItncalA_>6 z(e@_Wi(dq8P^H7m_@5fa+017)#*LE>eqE^Hc4`d3#rRItnOB&e*HR*9)Ap9 zcvy|k?M^qyHS(l%?;xfjPtb<`ql^bQKU}x>XT-s~cDO!m`9A;g|NZ$le9k8agiRM} zmA7@)LxV!|sV8M;Y?XJZQ)5oN)__7VYX>kRkXjfkLfhBxe675XJ5E%ma@ZkVS}6w& z*KK{U@uLDP?UYNee8;J?@&+i5S#2%AxJ;F z2!iVfHW%G6D*_CdOAkTDK`s~*ZV=tj=4L^fQWvyqL_5lAZ^Q(#B28%#1Rs-F$kS>g z!*z0p3r(pD0==aH(`gA`L(ILeIBFm(8`v1brn5yYU2hDawkaD*``^Kp#LhgnR`$!jN2CO@}wwb2_4Y zukGSbTfWupL~s40|K-WA`H3+X-xyAic3JN_35Y5K;E5dc#0w8vc6PIikGP-wCJgJ9 zVmu4x_`hU)ken6;mIZTuCK5Tg#MX1qE`B6(z_HrqkSZ6yBytdCw26=G;upOH3KpRl z&(~PxXLj2obL|W-kX2~{7J2eK7}#ylY-oW9c(j|W3m?iNsnbvZ{U?2FE1B;O3F7oe zN83@o<|O^8x~_{IQ-x^-Io&W$0Nr?;RjGcbI@)mU=~0JoLz}kBQIuU$E}e$`R+~W^ zo~6yCEk3DlAs`g*_&RWIk@AJ@9;OI?a{sXwx~`n4^v7-tkt< za;7D;vCjGtTDrXD6H^FQL!Q;pAFtb!mT~ESan}f=VG8x5H`51C|2DCkpi?T z#a^&3u)#%8thx)Hkdf2U0|7VnHHuX?L3E!0wj#;Jjo@rz#Yxt(_~&Y30=J^u>mcnz zHg3RBhO=K*%rQc<`$lE@jH4UHo>UxOhdI=EIbjJ*r525SH=H=r zZV|1^qiDJ1d0)=P@e|(lsbBb$$vE~x5>DU=6-dHpRZEEn7#j~)wNQZqzpNk)mTph3 zfFz(DNCbg;Y71&P-Cw|W%F9&8z!_ood5N!~hLtcxv zS-@X4p`^{Z_V5%VT#SNlwKet}+tor6Fqs7`3jzw*3YB;jM(2ch&oS@gFxqmCc`x4b zpysoC+^FuR-J;p7-_B^u5Bs$jf9_WUX{agjw!*gGED^!N2m@Dxy9%eAQ5X=>}!Qx)7 zuz}+K>f&CmuniXXB$I7d0n>^Yx`n&X zCY0JPIu-f$*kl3LHMfF@%;iccW%-&r{G5`!01KjlP}7?E2JJT|O(C4tyrpzMTyius zpjN#L)KQxpNrDo`Ctu*)Ji4CiVIhj)wZx|>{!1;Lij+N>gL$~+c{4~*)U0Z*e1~^l zq_p9d{z%?mqi7esxI2x^ot(E>;m0=nLp)wVNVY}{)DxeZ>sCMY1^)4d$ zHILU>KIL6SzLC*0+(rZwC*rvWb<1f1jUVs_JU|s1K#W>uxE6dw9DsMHB5>1w@q~Wh zvy)=aJ_jr5z!Op5a>~nq;Dgw`Jq>Y{@b54DiZvbp;u5^#3On(G{V*! zdGR~Nys3I++VXvRgbi#5~USzC!@{8I1u~?stG(p0l|G}U+%0| zP=4Uc&1U75Fdb)gk@ZSws_j+^|5-5Wl|%_DSoKO%WxWE>firz#=5M2bXmaeULGNHR z8xt_#MwawXJ~f{q7d&AuJg{BRR7fqgN>biEmn^e!F#Fd==*15y4y8+m;>9HNTKmEI z%gxH6c|jV@bXHvEBt5B4*$Ci)8b}3ezAyc^j1}uuoGRAO=^*!1y#pCD+n} zic?8)LK9Mz46Cxni-W2>OZPl9>5X8s(gnlOsi1BEFVv(r+zxFAtVA^oXeRGWmF(v) zp8w+KFP?idisu(^#fvMG0;E!2YA4-NIhD3Z!;W}SVQQJ32fU4E(qpCKUXGQ_PdV}9 zofR^*HDcdd12p5VZw7b$hhFt*uXA*;Vtg|M_iCQ4O^M%-6Cg2GbK8a=&ERj~&#ftFFWtHEN=0f{XY z1nGw%A#gyS1CWoFCr?~}1W#lTd7@cu=;JkAV0t$CJcYR!)%+jO63_vd8g)4^5kVaZBQc;|Q-sKw?k% zh>7WhP%|EK#zVe;;32>3dq4BnzGch{7;HPvb_SDxIS`1P5ld8RYU7^GjL~X=dUnJM zc!Z727>CYA1Hn>sjArEpAa82G$!*77sJsB1Cxs=~t8VW?_5xJ(iD$8usU4NSkRcxr z(;Qv$lWJOV)qX1yVmm>m6&ZjTqCt!RKJ<_D9QgK3oxCGCzl&yjUoq>o88l)VpTp-X? zP(uhZ5OKj$0VH`aRbeKH7w1MPoxvw@BvKqJ?$>7dF5z1SJr0$%775=S89+ ztvR>U6pJKgzzXyNP&s3WPHRft$i7eMGlxx)*0uaoDmuU<0nWg1cK$>s@ZGg%&$kEc zr%Xo@l}PYO{TnIYf}b5~d!K0bSlx9O|s7mk(GGt;`Aksl)M{%+rHQaNV~@9E@cZ zOCK&YPRoZ}VE=TpfJ}6H=ocWDB}+Ck1bCC%hhD&2cGnjB9cc|IiODaWTb~~L1!yPP zT!}^#(Db%%oTg3nAz9cgOst9Adiy46!?;PFfJMNXctmlkt z(1fCwHqvfVN#=WWTCeegbIl#QW9pX~!WVmc37 zckv%zrBD^}NV9U*C)TrmG#i z&1NAF8lGOzI^KKTX1Ab!9t1KQd4>Z5wmE?PF=5O$>&2#Gynz62e04ys32aV_O|B_y z_KQu$5dnevcy32_V!K*w;X)OR+vd2~R>OWEP#rJZ>3Cf4E*-yJF18hJVk`D9u3xs} zc>G}1{c z`}%A7=2K7Zk_NqZA6v|xI<^;^_^rz(mfGc!uv~1H8Sd{7o~(k{z2&*Ly{*Q3L@O~X zOC>@of=+cXCRcDAeE<^0jTj6>H%`lYopW4uXhD$hP+~~#4MInT*c+aGS7L8?_FdD6 z9eANqW-DKkTaKj3Sehc~KU*y*UqrsZz7$rxfF#y<(Lr=4bwF8B!wlj@ z*3jjNoNB4-+>w-Fm2!b2r zM;k$jEs7v!LoyctHpKT&n3|mh9n^+H%1^5S1H&^ z|2{}Qpz1`)E!a2OHLJUW)2k#K=vv8qdw^5L?c1INBn;~x%<$Q7i#_xeM{QRaoO*tsnx-bERU=ck7KUNywNlOQ8Azy~T=qj3sX( zsT>{wod>!Q3T{`{b{Bz^s3VUd_h|WJ#KjSb+Q=7zQhPMPhXpblRT zJDaVBY8*U+W&e-r8~(-w36akB?Rvzc!vDIs7ckEwkUHtdUFoxv0fZD zNV3S9cnY=SH9ZLFxn2O&hP%qM!z=4N2a=qeG($atJi0v^l1lHzSl5CUkY+NUTZxp0W65Q<@ywoWBuG@Uew3h%73VR6vmMEVp%ojxZp(64$ z;;@JIPi-NGc9qTa65uq|OTg`ic?kwEAV@x-Ei`2pq%kwOgPrUG`cN~518t+oU*-cE ze|OF8@c1^X#U`g?*2e|opTICcpu!-?b~?TVtt=OK%JT8@$b|pefHr^wSZ8mY7?#R^ zR-iySzV@FbTazva5S9!n0VHJ@5(CT$FfZ|g19SsSOS*mtRNr5=3&^KQb2rieQ}GfRMH(Em=tORXJPbK>`diW#fN%BX{|04B1*Xk=v_eg5>?hKXN(pw1bB;G46 z(~oYW-YCA-@bYb-PBrtvpY?ye{-?*(jFHqbB<#kH$=3s*8))IHV#lmzEXV}S(Rf8u zX0>-+nK+KC%^hu(cZC--XVpI2+_Su)?iSrg4-jbQ!X3-O+P(W)H+6*W1pPyu zHBtXO0`>OxFaMU`TzqKBp=m<1Q_tC~`2LR9bI@yIt-Y95tg6F{D$hJk^=4b(pTzu7 z>Oquq2-8mt+=_X0!CcQVJs2xey%oVFdkiJ!VFO9MBGsD<8VrNM6)vI?qRZS~}@L>rh~UxPl7V!Q z)D9$=$^G(B|MZX~%)dyYsY&kU0aI)`lGFe$2_845ZL#L&WYllAi`ljG6fZ!l#sPe| z@oUV@ZJvJ>z327wd4{bl zj~!A(nOH?KM)9Cvv!|~p?y!8C@=&OSmd>H_v3cw?Ph@*<;ISLX6Tx!SbYOz2Nok7? zotYSi$WtIUdEPwMHZgTBY(^4Uaen5hsygLbT)D{e$adr@ke90X*N}=p-Yb7$@$k~| z+>YZL;4WI?sJct*4%1GBrXW)*Bm5;3Vx z+$3f+k(Dl3@;>SNL!inN$##5y_IlB3mF6<^j#bgqNUxXl5XN%)coo+}lZ3vBOikHAIQ+~wwwR3hX1iN>oU#=|JcUO3bk=F2@%)LTP3w-H6 z`Mvkgm|1~&5DemTXAfPD(q41gGvo#dJsbsXWwu>#Ip}c|LC~I}R)m_?nt-T!MP?se z&|1hLXo=5!YWf>4SjZSqP7|zg7Njk4LBOSuLB**t-iXRNeVIw_M)(qypMknm@g2$s zcO>K=;(z>~{cr4vPwKc9r?z!zS%Ff|;uhW6`}53-%BN_t%d#Ctu&$(OJ}7LUcpUZ+ zB3b(~pIPy1&%fq9pB6*Vlx@J{apNBF*lSIk{gl<%r)8s_;SaJQq}Mk*E@EDz=c~K1>2DYCEaL(2)8(Ym4Yj&lVm~h zQBCl)WH$r_!HCj+8F9wyYeWo%u^QT}7}qH2M!&`-25(6Ch$6iz$q>}X%Ox?zT+WRO zjm@k`l2Wntt1JvJYuA2BQu@pNGC@@%@CYCkB)^cqc*QTX*RBkZ#lVX|KfZqebm*VVB?b<<^_N1WcvrFbMRun9HHj`IfN^3{~PP|eWFzAwDkPF z|Aj(m2lhxKMRMqcu{i|9op`p8!vFZ zxzf+Zi>lH+!hkJ#iMSm7d0gGzXB$ao9H_#A{sIe~Le~~i$-UwFjlNtX%hNf{eHnDr zKJ4A^{>HD29yc{4u29{#u~Z+20D+sQ@@*8bUIgjRdIXCxN4OrCQbDTT#DK`7zxtO4W6(|J6hL+u&Tr|EjF(a@(p}=8V!uc3mE> z=0y(u&b5c~!S~@I)=CaaW>85}3ugQfGNtFu4niIchPdQ)sO8Vms?4M1ycD&3m6uXP zP_hbqbV3ayO;@$^5x{m%UaxVf#by=4V;d-Gk2Gpn_;u4j$z~JBBBvL5qp2pqmLJj4 zv=&)M-_@KPp10(dETK`nh{{;?=RgV(jj^AUV+Z+YuI8M1;o|e(^mbg4@d>~19JZf* z^V@@!MshCqRLt`@JyKmtnSLux+vqBFMnA$V zm1EyAL<_`y{`*2OZh-yv!?)<1cKdJtA9nXHVu(RMVhw%i)~~RHF9I8ufoKxjDKHQj zAn#WIB~6PEk=U*XdcQ(X2Si4!70e0R?VEP)XP%bW&Q~mr4JdfFO#>3!nP7pEU`&rS z*#%fmVmn_^vD!ea0q;`dKAw46{BaW`yQf4~fM0jucN*K7B*rp90ImpoA?T{*AF}FK z0HqCoa!BsT(3mAh4YwLS2VIXFZ(6>NNe;s(pL9)&!fYeMsHfTOX3@?`%a!yA7U^Lt z-OO6mSS*D?ou<9E%N=aNm6aRmvg^cd(nOw5c+0VyOoL5c0xB|9m!q}-Br8uxHmtmO zt7%hS(}=yq2RYyHUK2YvHwxZequ{h#G#lRAX%zf79{l2m{9-h%UuAeF^4tVe9L;Nt zT(e=xhBundgsSPi>v(!>`+mEv09prGyhW92YT#B(MAH-;ZTbedTKi6Su zV{#~#|IW0%UQsaptsJG@HBWx9FTW^A+#?sSkd2)DRUf=qYbQ4OxpP6ZkL&POG$%{E6?1De z&UC@Uk<={A=dN&-ICU1JxpP546F3Qn2;9AOZ`m$fBh8%)9<<;`!3`kIwA~@d*ue!) zm=K7V5T{0YQfr7ID05+jA%pFD$Ap8%kkv~DPM z0?Vu}hM#>jBBc>4wofgo<&qt0p(gY?4G?o6ru;l%ss*)req?G7ZDiuAEM7*hRVm>K8E4uKe_2PCZ_Ny=f`941edQnf z=%@0iOZBcOZkc9F&5*1sc-F?4A_cfnbC$? zYyFKz)vl_>@kC%z&GJEynn-5(m}y@RkGjNR01>QmF-(ucJMoZ|+okK5X^x z4yKByhP3ovqnIZh0S*J7pgI{D`6EA~I_Ds2x`%$_L6m8wkz3SSx4BsN;L5b_IYHEG zKJQn5@S`G#>dzTYrk4Oy7}^{KU#S42t8#{DVcE(IAvZj0}4pO zGIXiQ{HeTR&KX9!vWMBC${B7!=PajQDS9Tam~)1M6d1W!H%M4f73&5VE3D(seg!x@ z!UQUn!VYa{kVK)hu2NpxnkS<@4Ui)T#Q)@6WC_$_cUt~V5bPc}^_cKE zfO;GPsA-9`j+vg|1xNrjZTZ&3Fo61!fAL8#eqYdH=wx(f-?2IAgj11R5KU-ky4G;V zc0rjjfO5ftmV|0C8v78Whwg&F^^pQcNGyy1F$Ad|T@YA2(Doxd0H2Rh5QiYuqYDC} zz{!MQUqj2f22deL^%#O%=9nmWFf2m|Qa!pLf<@pB1WvHTR076DAxQP;f(W5F$E#F^s{gf&_|6%TY*^66u63cpJJsWha%f4Y0`ie35U4T91^bg zwrMfW&jHypJmSV)TZK(qzE6dH(BC^Ao{k)l!WTUUMg98Zu7+d(pkl|}) zfdv^ZRF@f0Ocmy*MykY`0)Y&t_RNA*VJ--g&1)dTTl6|rn6FsIO^+hOw;16<6$WIu zsIYvEqQZPhcy0861sRSB$o03Wk>SKoOJ--kw5A+e1Xe>lJYO@C^ca59S(9(KOGcL? zXxlWMpVp*>amm!?xVFBfB$@9ID)1nEpov*+(G<{pqkMx(9b>=54_Zl%s_#(D9+#rCtb^~?4*RO+Pw8Ezkj4MZ3Xo+Dw-dK}Y6$!h8-Yjuf0LP>Wr>oYPO zl_)%kZ(MJspOSiINAet4yL%HnN68=AJ8`a7pD|}wCMRw@e>CkDwU%+tAKgs;=pVo8 z!@ud@39z@iDQ$psLbtypaS((UQ@Z&xZGf}^iM56^dnq#Kn4$`hFoaB!9{UZ7%rG@s zFb7B|#n>dY-PX8^;?y}nI-&lJYebX+v#fyh5Uc{EC0mCjKtf#EoH_?cK$k0D76`8~ zZN0w693X+_)PUKrux#^WOY3)MfzM>kI!c)lgI=XAED?|l9XzgWvH(o$7= za;j0?kECsC+AW$b>GWdRIhB~t_~sva_Yb8?jI@G#oNj~(pu`|v0O2=SDXcNuxfC?j z=z@t7W7DC0pe1#|5(A^_*m}ZaMOxA#h(ZkP9!NGY??mJD5Tqq_LF@*M1Oel5g~^%{ z28N(iVvIt0X#$_D3ur!WL_1gmK7~U5GzU?h=Firoa>T`#k*AzROJxJX~;0=?SdJd}%1;kP>r{ufR|+rc%@DV0||9)6=SJH7XUy)+$g0ub`NH>n z(w~i>ND&UM${mH3hC+gYT*3p}WkHb(ChXhJMgfH&Rk;hI@XerzLm|Nk)T~HV;eutj z&<<>}E#R2<)ddfL1d2ujJXq#RT!~CZIbSiq%Z<%!h->7tP*z-R$Z|n69TZw~ja20> zxLd7I@24Qp2Q^3y>Cr?OiTkuAG8vM4V3V6AE7%>l-#F3g zRjE0Dd3X8CX}72~Gjsm(X7ZQc_R4Si)b9^dt;u9J)s|X*Vz*sl@CR#|r~|eo%3Oc5 zh~`mLM@t;nSIeaLaRIwD?Qm#dw#U1(l}e{8?@92byArfp$>jsV5tPniotcj%3Or^Ljz7NH z(`mP8w&S;xJ^h)#_d7m)e12Ksk{X*|HZ=QMvZoGDSHUUs%LZm&OKQdWoQ7s!OBTfh zOE8PE|A`xnW7W zNT1l(WE<5|wkB7tIjEmDC^YOTsBLbWJ)?Rq8sJULNVWfaj)D`>(U%1z8sW~iN%UP31>S0Wyq9;PI$|$1Dgt`<2LD}9WT&s*CW=-K)Gi_8tvs6Q9{?&anqLX({Zo+-fz73AatDi)2`!6w6B#@0@aTlM(do4i8RL+ zyknwhYz^p-6r!O`Wo7v0tq+d~LFza^^#LrPQViK|Q8R8gjJ-DZuFJJbg#Wiax( zMoi~a4EE~)YcwzJRT;*qdr~oiKbKyc$eTQbLh4gf*~L=5v-LR#+0RnNgI!a6q??lI zXd?&AXod{#0Ac&rz7joyZKshX8ga4tK*S@LPIamZ@i-K5j zyMk`2SFD0XA9l^gI(6hcV5@^glu$Ws6a7{AQi^U3L4n%XHIldl^;u$a*4FeGg5pj2 z4{1{;5LQy(H5aK+8($Nnal}J=92ts>+jhBgjp$GoQB3BG-JDA7sJnq2?#xj95@smA z{qXU}|Jvt1n^+zc=;;d2V4vt-E=|Wf43?_#>Qs0x7<3SrVz0`?cA0DCg8Kvc?4V5G z2MKx6l_>okY3iU%(8g>m53wQ@p09{DW-2`CPBx0A5TwE@f?Ke_o1hKU4newBE_hla zY~(c}cov5;Un3Qs3!)E>v%?^`;qO%cJfiSi@}zUbnMdO+!A6o*E|=5>C9b(&3-~gh zTzUXq60BFeO#VX7mv6hK4c`tG)s7`py>&H-t+{$WPY@2GtkpVorv576-L9ISa+74X zdba)+fS$N?E^*ypiA#!Dc&E>fJnezKEq`#@@_qi`Xa3Spf7@q&*av*Ty{Ddx8gv_Z zP6h!~gQ(-Q9G9p`p6^juO1-tqiIPy;Ibs|9$Y5Oo~+*dj~`J` z0hl4*p!~4DBLn#HT%=!|kUBgi^g3ZQfR-txvOojC8Ws@vQgoziY!K-RL9Kg82JpJ} zwjWO$fsSC%(veWpB|L;YcWeQuD!Fe-6R_^&N`!dA*W91h3f@GPS7<8plPFAkMH0<% zu>HuE0GOii)^zriSHanjBq>0WnT#yW(=9P_S<^!@wCYI_EuURTPF)h@w>v#MnSz@& zWk{k+8g2%>F-x@Tw~`6tvOpf5^q2TX2mGL|WWGlz27-2glAssRz6Ss9qnRQ#s$(z0 zMR^e}dS3+mtG@{Rm|p}O>MsI6#$z3iWPI|g@fQI{v@ZhI_ZNX5ANoajlSf#cH{m`9 zm1WWqr6WxJ_?^LLV75hVBPOkZd$CH%tR8Rf*xb-H>ifh@y7*vZ_)`NfYy^Z zUbfTmn+4z0a{Okwz;m9DmmEeqp4;hoTyLJgxmhppRORC(wULhJb{vl%EN^c13wZ76 za`1JkkvJPkSHyB#TrbKlas9i+77GT`F9fRZFWYhc?Rv4zv^bm7Vq49yfk1scx6|?4 z#TLnpa{ldVv8}%Uw)y_DosQovHu?TmS6Xp3f z-!WK`S!(FYm3d@+bLx0VnR2Ha;1%ecCw_9y(>$I&WEbEH$7t#^6d2gy(s{?v3#jo<=;IU$kEvyx>zo3@1$`gP9z?tHT z$oIBhRgNtN%U{X+VtMT9@>h;6EFa!i{<2;m|9#*cY33ZGag8oJzIH{wgm1ByULY_! zN!L(z=xX|Sevb;&lj=9b|OpO1=w88Z<%GcW2c3D(U5mE-Xr8f^{Tb)HkXr z8uZ_a>*a;Ki=HB#iuPx(^<0*#DHnB#iRR&&#iuq46npwUS-j!ur}ef39$Qnp%~Q@B zFGJA9;bllHl{Wdp7SQBKy`rtGUMH~PpWgM=@I7{2~8RJDGM zha;NpHOtMAvlgtg+^Zc&$1uyyfVYv%S#A_a6%PUWV}Uv3AE?Q4vqC6Y?z0I%sa!DhrMXDz8p>jt{%3w`mNTSwsogjZdab|UntKx%ROhg-};5mekuI#KK1zRf8*Wn z`O29H)YFjJ6CKRNXHRr66EEt~J<*v6lxln?0_}C1!#OsWxOIhHyF;tbM4(JWro^9e ziA3T|wv!Lc7dvh8_02AEdhg*9iH z{n?h1JhO~VdEP2#yZ_jdJ=5G-IO>DxnyV9KkA&;&dQryQb$>Hm_domR{`|YXCA#i4 z_-OG+MBl3vZuP%&r1mY?+}_Fa}0tY4mg`%A@O4yPce%QfpUbMKE=1p9DIt z+7)T0i(sK7#SB{or^Skr3b#`yN>Uv`l&?{M2PO!HPeO-K_y=RT7P(?YnrT1vez{a$ z&J)_l+Akv^hkxrrNea?AMcT!RVy1mbm~*yX;M}L93~ImUURf|r8e5|bGl*a*D%jbLw`2BYCJpkTNiS` z&=_1OFUZefeeQ9?>1nqt?^XeHql z4U`FUxD`rBKxhf&(==|;kYVb!+mlVpe-f;9Us2u4;To5iROEoO!w&AtnwW827PR~wr<5`r}QE?CHBcS`_B z#Q23E&3+LqT(dwxx2LArFM=oFfEk^0{)l!9#foC~T@w3s=pl@|e4EUOA!gqtk4tjV z;s?U45+Q%jKaiMxmqhVFo0?7={-*h-95v>}c&fEbhFlDx{;NUZrxj84kg0}Tr5*L# z#rzh;@5AGL-Eg;m=Lr2~BXlFh^B15c#k(PnJX`);yFLN-LM`ua_Q>+BO(HJk^}px$ zeZ*^`@Tz^$p6&E5>C{xYwn?thPc4qRHA%;e?HxcJ@@|KqpoLtp%-G&6Er}U|5=Ob8 zPVGjy?zHXnrArv)f@K&t$hnn4Csvd&$^;{Siae_7pAeKV$^>_4DiWrh!)Yn)AGsx7 z!YCJnb`*UIh@Ev`#)=w7nIvd{(9y&M>IQh6=J(Ms$|aSzl#&~pvYXZvc(_R(*Gtc} zI@Tpg=DTxRk@7>*x0sOGo;}~5m>P~MshYdAX1+f$HN4Y6KdQ}Dn_7yA`bR$UgZ}zL*t>3nX8BL4yMQuaYeTu!FBBSS+ zTu`0!t~Z!>z0b~o-8FDe_C$|_NS)4r8TWK|(?+Jt94omr8{LSxu;n^_jnz3jz zt7+aBSbxNFc;=+etQHzyP}La)TL{*fRj2XY?7+5=(}B-CoinRPj0TZbH?Vq3)-vZJ ztIR4`cclUec3vUf&4M|z3Z`LHW|^~O>tb{2oLM#dPrl!km67Ai)R|Qdb!TREwURPv zl?A0)x8t7Jt&S$SMvG2$?$NlpWI@XZHJV{mxkIg#^MbU&@>K@49C-={w<3-~;+uFOcwWfzg+@aal z-j1#Pfj{>tU-uCoQb@hDr0llV3e4w#mu&Dd+U~7g+}1FRv9DPA=?^H7w!k3C>KceA zCN#s;DyL7ZC|P$CEVN5r%*(hS$-0{$<};Heh?9zyUp5O$;?7SU6PmklD9O6}ibHUy z3cNIkhpNC!NEjHzst*t)S$DropV52-T$^c&{Cy;Z_8;ANoH$RpXPUEQ-EB>bM#ivJ z{6@$1N;s^lHLLrxUZU-n<>=K4!B`TTC_Z-KJ}9+R!ej{(Q$C?QQt5=;PRF;*Q;6m(OxYOolwiQp`9al$^@Di{R8jy! z#`$GCou9qmX;Xfl@P?GPN?*r&_p!A`FTNz3(SX%#0#3%PWnm_oTwl=TM=bB0h?s79 zXNcDo57SE%+5MG2_9I{WwWE-P!hnzbc#Aa1Mekt1hk?@|KT6rT=DjZr_?wNTHbPL0 zuNB-213n-L)`%2>VtY*x`7E|eL4^P--qzbiPrBfCL%bS54xn^vVF6-!O%VAE<9P({v2fMJoPekNihy%5(}K@w2fSSzUpO8G5rqJ|`FF>%Sj3mrU!BD?MEV8dLS zBzv}~;?vpl-2qvr5p`giQAaZ09{e((z!vbr7JS^zn)&`9v0_a9Tcz!+&R*RhxK9el z010>t1(E8qT{fD<*U0C{?+HLkb@tJ8jd}q$0&~!%&YOB7$CLz4I7$~jD&X=~36;Ei zya$lp;LFvSNw!?5OOq{c0pSFcSG183sEogCCu`q2KLCW^sq-TM_~g96`8j6i1ufq7 z^>aI}zs1*!tK-hgeZu3f(-3Zt_!TB(#yiY-hv(k$RIY zSxPNyA5am3733Ve)HtR5KA87YeE{b`7{BewmR5{hDK_+f;J@-eHIG-P2a1drM50&` zpVTg!9kq>IJ^?N~8Q#5-a``3r-&n8j6Q$w1j-cDRv(NdphkF&Bc%DDVTFQx4-MJ{D;5vhOnpVe>#4!1YC;yN9^3wNsuGR z{ZJ=C4j`>Jp}&(L$B$stWcxIy$Y6qa73(Q}(614*9(EGn!w7;vr4C7Rd_UMpP{sGK zqgQqk#4#^CNS2GcSNvzfgeGyD3_`It}p#a9-Lfs)EO z#-K2PVq6ijzaY*>ORypWY!?DJnpqbdoB}{ITLf>M+}}cLWcmOyP^^4hBh9RzdI$Pc zsh{J!Ag9gbS_sn2y5QjaZJJpZ9Gt%`VQY~b8o(`Sbe9|)K_DSXksO*qP?P(EL0ggv z7{b=f;KmSwe0zq65YP=OXCE9wz`TM>o(6{yPNX;ug27dXh$+CiQDR{<`7PDm#_7k%)sbFZY}5a;LIt7`5O23b`F0NIWL zKwHm{pT`RTPd&Lw3L9_*xK*hCiI+w6LcNK;c8PGnkoZPSUbr_EyoTyB{hxp6`#$t{Mb%hCd^dL%@ROW^gH@0uS|R-^5u5EYb5{g4YNFuQ1}uai ze|8tNN$fy4VVtfOFhekBX@hEHzp;XK2v%9z!xF9Gk^tS>B*PHQS=#*xgdi%2i)_A3 zm8AuHiKKHe{-D@sy|In{cb!T6VR`zhpVCY=?r)h)U<1k*xa6r6Ytn!FF*lKWVB~`7} z@}#N(U_#ZPjHm;d7RbQT0iEJ5lNL$UK~?4vc`*6FV&&r-RS7s&bv%G+V zJ>d*tJ>!JlZa~KFJvl=D#d$Q9set-Cr&cUvYVmdS=W$hiADd+eo&?0{y+%Ns_LBI! z+MJ+CrH$Pl^ASxt!_1}!UpEdjn|6y@V>h?4-%K0(r@!`d{_D{;b|?@~X%Xj%ctmZI zbt#&|U|WEAZ5dl|bkj~KDsziCEm9E# zBDmaaq>T+&RBE?Mkc!0xOKBC|=`vV5uSkcX2yRiOrDt*2E)VUuro-TZ1i<0c5L{_b zUS3fgh9bFKgAhn0SBLi7RBi0hLth|awg@9XYWcF}3dEtZCMa+;QJ*B~42R@O9R{TI z&9!jJQ8z&CZynEx<^Y-4x(?|;J}$0uF*T;#d0=zn9q_#`V|)NSl7#N4;R zIySel&u#2Ng);yJqB}A3f(zsB7=h4i)~9=3=Tw(}{#XC!kN?Rrg3vu!=Opb7c9l*5 zNyFihW+V(S$D&Ob^ z-;RqEv+lp-esj{Q`UzovC%UIucge^Y0QaW;OjhEQr)nO!Nox4AS|?e(&PHF1!};(H9v%hk==UKEo2QG z>79IrnbGd%8SPRTJ-ysA9}LOxlJ8vuY#y7poHdxRyrg&SbsR}bw5E~i-Jkux|IBy& z7vpMuqZ5y)*6Vk-W+wsLGkG|X-W^lx&_K{{5JWFYo4w3x<9J+y_88F`icA*Ph0@Au zeFvUQH9gS(Et7Os>%b8snqysSy}~ur+B)5N+;S49P!OHf{MK0uR%#vTFA3{lCpsAA zO09zzj1+3*G1+u7#+6#P1|CwQY$Zy~C$G}G27KmaZiMo+lFVuy=Qe)oH3oQ8D%h%- zuGR}b^=XSrT_t&KWv%)#YIUMl8ApYJy_|?{s#LdaWLU7PZaw9#v#Pja?rFuD)4Pu< zy*oj5K1@D$!txKPnSbB6f72&@^jP6-zcI~>zC|NO4E31b{m{%k6}rmdE}9ukOLd<> z-5wE|c?TU`=?H~$x-u!6`FN!MVID26v0pPU55kU|HCexAUT&rLGz<1?=H;eSZoEP> zqwv-SB>kHCgf7Q6Ea=zFXf)}rneR?$W>7%1zh&ichi2XYC0qTNvt|}cQtZlHc&iF` zk4@oig6e!2%{(Jf?+%G-di>0|W3IH_Or`C2{=i55XCD=@n^s=D;iHsjP-sKQfpXXB z0E|vu#i9*zLHspVh0T%RrK;SOD{ZLQaef0!ataL0#i?9r13*P1tvx_IEyZ%Kv>gse zm&uAtG>J5)&Xu;)mO&uD%yDlmBzF18w9!NGFB2s>a746av0Q1}V_1%si>xYbJCIf4Dk+H=vX#u0HjD`(?*#CWdsHJU$(yz28YI0GC+ZFa zSC~VWp(ucc085jgC^Bd+@f;|)Ca^2OJ^_o858xwIA5fW4n57DP0{{v3C+v$f2vuO6 z>iCDn-r#!o4ff_7o(6Fw6LyC0Dq51E9@bkaL;}}%KJSvfA0sw^7QLF=Ho*&!0BYLu zeW|VA{5{|P+rB#jC`DL0a?w%FWs)fn-j?LCT?Rxbf+#RZ#tI`|B)^p5&{U5uSP%<4 z6ho-YvLJtQ7c8uSh>C*ffF?Kov=_+(?TZIv%90J})Wi z(Iu@4!ZlZ-#4AavN0$VsjF*Yj)}FShzRU`!kC&GH7FZJ_(mU6gZ_i#a7+e!5$9B!? z{wPp{lE~JzlKBSV$5aYse6{X(&v&R$1wc~9vd4EHRrt)6X+|q>le!&AHQkOPh;DBR zA~M$KMNm4d!T;*vo=%HI9V;O&<9}7Qzy2Znt`Bwh3P?|aZRWb+Taj&0W5ZCd$Tnz@ z>joW!>E%c11K?0NKn`_pH-WOpyLvWJpS|7Z8jLxvySun<+AV60?i|8icPD)Wn3d}`=12Y~s{oY18L^HUpcREk{^*JZ(+`L#Jo z6hseSvtpI`g#x3~#F`xXIhx<6%KYM2A&W63*HSsmKY5k;Jpc_M_|4OD-_1K$cL!m) z_;!F=m^)(Mm8i^od-hw7K9F*xruy?&mr%!lBn-&K^dVm7+8Gzqn$-=`iT0FiQ}d(l zh}!hN0r0xVoRAigp*wS-&;Dhw8&74<1#7r#;(sGQ9G`rj|BXF;pC~m<799p983-!xv>`+0{-g^=L&iD70@+1@_cIzo(2!Dfut1;+s%1Mxp*{Xd3QDE3 zFhMiYJFfo8vG^wwBFcx!D^FPdAuE30^_73^M?Nt0em{ZBak(L{D6(&xrS*LoAAkj{ z&Z4r%%dg1!J@RX!*Funrr3h-z87W~vvepPnj=(5S_A5+RqXt61uO-!DMXHsuA`o$U zYVfaW0xSgOaj+GG5(a$$t)Muve(ED&5L9UgSQ1szu_B)dKXvJu#_uH2cSTZ~30w@i zh9&W}6*w15ir)7%p`tm~4U$m2n)!3d$y!nrSP$KcDz?y-R4}4=TpyKx2z68`L)&Z*Ngj)-217A#~Oxkuh*-px&2?v=HtZ2|B{K+Te zvlGX+yzj^up2d6yWnQ)OkvsGpWiC05xE%d?B10#=cS#whw;0MW?Va9tY!C9e!Prz~ zIBoehkRUMafBcFc{n*P;hU&nyPB36*Y=Q-h3`4Bix_Nf909!*^h`#N1*a;r=ZL<@c zeOs%mgp*bISYVWfhexk*yKDW+>;xY$vD?65YaxBi?qzm@3E#!HhHr~HgJu}x)X6|1 z!G;{9ocg2_yYh;)6O3`xn!Q8zy2ZLxPB3&K$hqa@>%HdB;^dVRjMtvb(nwowFcG|U ztgE{NRD+xyZm5|mUvpH!Qa`rJwJ1>C5$Uk9!?mjlnQ)v@jg04)?mU zY4j(I_K#lU4jqWhKiIC;51okwThCThum6zN;R5e+gDgn(LrzzImk)|>`QXya0S~~8 zQ`93QTn|3DtO~&o0(Og!7u6xI8F4g1c2P&JI{k0xsQBdj{BP`uPrlFp#-6@UluCdu zuLu-h6Uh=14cU*nK!Ys{>%=Iaic|fl*6dm&H!G4`p#+a`sdJ-frCbs{t_Ej-IqOI! zUu=OXV5}8l%>yE#_UtL7S{T(OB#}|-NT!%-5hE&2j+nO#W1=VpRSS?z>vf{XTB>IG z&4foA-v~J$=H~!Zz!Ziy@>5q?N?9M+n7;q5?U*e+`xb zaTC1#_&GM9TdE)s!qt?1zs-Jur#2k`s;Mfy1p&Mef!UDl2*EZOgmuWr?-rY?-UfmC zcy7n>TNKIQb(au$b69M#KQJQ@sE(KIbUdy%-~Y{Nu|>F(ju*8T*T3a<9N#isvAiDX zHT|_pfQg51%fu4K=Dbmk+Y>hDYa08oUKg(wt!Gxb57ZQG8VQDNX!1R7j%4|46YQEouFCX~6Wk=pssOm#5_d~Ud^zzdU2@b1 z(-g%G6zk}RpPe+(0{|nk4=*NWHFy90?^Vvf@8{n>nfNzKbVCIgzLJ)nyYkL+B5#g# ztui@RIRW6Svx)Y3_qX&m0oG~#CogMhSf}#IoZ&#p!J3Io2}wXnEfh`S9PWR z#};403|KjK(4~0xUGH4@FHn0MFI;^7o8BH@>V@a9{p_3H9zKVd*n2AGOY}%}y@L9e z@ZX)5cj7IgXodT|qg$k|gg6KK5#C$*nMZCB4=~37C^Y`Kfz_}L>+rikxXNMS5H6wY+eUC=5D5X4x|7V1hHR0NfdMe-Fw zHx~F+?GAOp1EzI}orarYcG`9!T|pPY1@~ZA5tcO=LID%y73m_l;He;G0K{hcB?L#! zUojeL2OH)PF&#yOAN;>CDn-V375PR+&+v$g0jCArq7rk$hgnrIr{*6cW^C)Uz_3(7 zwKyy(MsCZLZRtU(peaV}G-5CX7BMQaS5;pF%AV&{eQ~`xDZr|46{Bm?D}CQOMz3qY z`r~-ydLNr8A>SFwb%KGUPV%?n3Z0e}b=JNNE?)i4@Bfld9vc&M0IRQ}OqT1;bl;Q| z1*7{03WJH(o-#nniK1S>K?ux=o=ApV*9%fg6fuL;OR*xn?KX9rGNRN~%6x>xtdUei zMl>Zvk-T=@F{UY8&yn12t1YM%jg5-z?JRR z#_Jy1uRW@@RF%{WiRwXnhToH=>qy#PY^-SM`BG*}btSOcH_9q2v#S)^P07TmxngqA z-7NcG;6~;#LFeI4B=$@SwMxESd*Yrw?ISvdX`8HL?g|CY^S<9Z;nQvrEykm`MLh4z zd4la%f7aoTzb~0mWX6|obSE6GYuExb7SJR(xGUHSP#BBfYP-BpZi2=)2v26YHSYfq z6jSOeYAYfwq;o@spqNqkYYJK&6;Np=$SaB|t*;UO<`QYG=I2pUYLWn7a;iKqT(}19@r!e7 z_17hpW(!{ja4!W&B}plP_+@IX6l;Qpk~y_}ndcqI8!e3ZP=G(JZ^ecZ% zrP&I}$tGWnrCMzZR%kTU_}9ihn`hnc_MXL1`xhTJ(+8jryBweN%=2u7^U#}$z>K(y z#a?7ZhYXuv|BWL0k^}9{2zSHSKNE=*g(Kz&wd8R?C(I5uJ{B}*?I!@u4r)j}#3%z{kO_!*%r;nT#%mu+h1H;AebPN0k>`lgU zWW32>XACV^S#hW{CgV-Oj&yZnOvalG_r(CDRL(uz7en}7xypy=i&1-Lh!0vwwQ?D3 zdvze$Ye*41>Y|TdJ51N?wk55(^{|61W*(%;8K+IjXhan(H5bZYz4RVmxAoAT@^b^r zsTHzm%eO%hD`cX;_`0UUp;xKK7&LKi} z=&Ndd?S9v_^`Q9z5o7E5XNP{zehCQ?(1p;}|EUiBTkn@!IQ)zyP}Zu8@H*^;{BcBAmC%_yi* zfKn+=-LP_78Z=#E5Y?)EHMgFq zwF!{$oV4@Fc3D}(1wl4JHX9T%MtErt+Y{#;L$HTMmN&##j0uoEEV6Vbi=Y=V_5dX) z;x?|4`qKsXd+_`SaA1#7&*GqlAoZsUf-=|O0Q;3OB84E`$s*{pr4KtmshSlK2d?YZQ^% z>*Qnak|0e|j;9;ox-Gg@_4DLekt%*Y9E2Ww8#NKNA^ig*TOAGEJfoLy9=&|N_O-|Q zwzTiG<@>bnC;sSrpSws!X#pa+_H_VLun3Ley9$fYG<+A;G@^M?1a>m$b1{dGh;kO8 zJ|I}ecUc`3D*^|mN;Oub_Vq6_2n3U&w4b`L2w`ZFW+r0AjPEKeLcpR4A6?Z#%V6Hm z9V|jyP>DBRTZ__u^1>nnn%?^4lYLUv6ov@%KJVm~>VA#ui;L=B=T$*H_p5Tlq@=QO z;jFK^=A8{uawpXP&MZQ&yA2kh^A(;w@#iYMIg5UGw5`)_QEN2jEc(sRw*KjV6{o~)_iH>XOCPQmwV?RIVCk#+TVOEZp`~OEw^Meerby7A$CEV# zpM&!+F+VX+?r+q6WYNps=`4G8__?<8_EX(|<7Yqkzxmr|U{|`MFLJ7TaH2&@fjz-N zqzXv^J99gPA*Z^n$(`60oiCkp;uUsfXskm{bzAZWN#xE{hfz1YsDZ1sOA*QH<6$(5 zuVbplS&|*Q5^CsT-<`?OoVeNK(uq^fHH!b8u`4&%M)uT`LZ@UoRp@M}K%~YbGz6Cl z5_-^J=lkT-a#jBJ^aEiZ^~UH3vu3-#T!N&owQ^9TRP8^R~o0I5xefy{$6 zsgk;~>8ln=St-J{QpX{Xk}Y2v?VAgtQ~}0QmPs*Vs1?k~Fw{PgvuFC8r2S3$C?~_v zpTK%51xdnPw@>C|80b+zkS#roN8>$-FO!pDJfk%`Zqd_`R~$8jYK#)NL@W#KiR=N1 zTYRpE5A~QJw{UStKU0WVKu4kMhe=uUs{GuXxY?vcYDu)Ld*%#TE;5Z5oJ6!7XCJ$v z;c6?W(DzjLqPb;IXFz(r;527E!yW0X-kEw z@wkI_3=zw<%$+^Rx8+7pTfR-75Ha%Cf96ZRdz86B2K zb5A*iiK^rOz3&MXs;1IvUx$hYOxO2JD@Jd&Ngwl^dN51CD9Gz%sO;UFtE*H-SX}N|e4D+(0-CnTxB20UPU& z&JS)|3Pq3kl4;g2hPyXQic{y3h?;!X@3C{0lFWC>NHYV3tULg=3xU0K_q$}|nK9eG z#x;*Riqwp%&E@l1~1xSiU9b=kEk}37SD7a4~WiXPbSu;J~3-CrTf5SoA3jEBkR?g%ufP>%5 zh#f>=ooU}vhq8-V6wWA&8xy2ZUK3OSNi z^ij2DQa=luU5Pc9T|JfI$%`Fe_=4yJX`sYm)tdPp*@QV>=Mu~u`RvnQ8j$u|Y}E_} zkVhB)*1j}2py#FOi~+F2F97!RN7?`SuI@{NRs5yFncJ6!|8#>d&6`?mXM|rIs<=-T zPEKB~(l=`fu_OJn0Zlv{*HRM2fEv7IDPIJEsw67gk)Qz)Jf8MAegoS23jf~W)#t;N zjt4D%MN~> z{m?x@-2s8>_ml0o{_R${@yqWI7XEFO?}I>nJh#*F`^7eoDFA)`w)+0tCf_I9>3A6{ z5chApTWqVrd0R=|q%X|vI9{e0#HK!8r6i7_1GsqKeCo+<(v=tlfbx4x;p8SJ9+c7+ zmfB|i#QRummup@32TxXotA(t;P|7>eA<{!Aa+MdT2zr&_3c1Ov(?6WDdX{5i@XP+h z4}J76M=Y#Cb+`WDWQ$`XJqy|5Xkt*hR*}XVO$-_<Ae%0u)0{M#oJ|3-;a2k}SV|IUfQmo^x;(su*g-q%n5z4pO({O{+G z;mm*{dHGbQ^uZO&J3H_a=UqiUUg~s))}^IGtM2d&D1vgUozj_?I((iP#(#G(evj^p z)ve|gxGUSHEN2-1&A|9y@eRN7WuFrLbDBTyl&{u%&O>C#jNFH$k}T0|kRjV*h;J}{ zBx^P26oR>Ym4+|5mm7lAOTJ6b()8sMkmuOR66CD0||rP7o>nDB^vE8>M`Oa@R(@-{~5L`lu2N0wBtOBqkgp zBY+VKn8}b%cqX6-sZaoj((%Y}Vf{$3j9~VWAO(Ob9gmDymEe*LYPx>ePS@YVc2hDM z*Jen!r$5YOucq$Q;AdlccgA$uEt-w#?Qo<1g|GYmUl<)W0$x%zrU#T;)S^X#hk0h( zLKDb}G#f;xX%Qo`Hp(pLg1h};hXKr6KlXjNU=ZO3Kv) z!H7z98fqQL@v23O6(zT7f~O-mk|eVPzVPvawnG z;EDkRr0%#eIFkLcXd8luBWr?NvUMV~b;Q?PZ_z-DZv@LPRP6coXdkyp?v~(ol<$rY z^_rv3fg17gl2@_e>I|5paOs0cIEpOXiH@s~4S$Gv=}VV%9vZzOOK01Lve$&^k5BE& zv8V47rBe~Cjlz*9ZU+`hLwgEl5iM8MegvccKYQ;2Y}=ZZg@Hn%p%X!q(4fRaAz*pk z^m@(purXA)w-rf~$LWqCR?#Q7Z{NP0G`;W1xtC5uECeEA;j<%_n9_t4!GN(sz(_hI zT2zHHAYx2nMavQ-1R>Jev6Pe@u`%B_9{(8sKj)rvu6_32_w;2#-9BsXHReC(nve1P z#y1e{rsx%LhjXG=unlf9JcH=;3Z5Z!ggN@H;TaoH4Cd&WUF;P+0|NC4*O_p@Nvi0b zlTNDa0R-y(o9sc2HqguCc!K-b+#Iga{d!H8;r5GQzwM(x{sYfMSG{t1xvF+PJp6ma zn@cqao@~(@_LZd&T@Z83+0h5VO(!rbpev+LgGouI5M4034iC=I9fG`}MX)qHu255N z4$V8~f*|*^5sSN>B>eKBc~4#Ngb+pJC@igM&Nwt*1{d7#*ZkGcSBH&h%MhfhE`l3O zV^qQQy1hIS^|<5#5Iw8qgM+L2HKM9rvOBE2iSlH$UU6_ij=JP&bHc1Ho+hAp?eCG? znoAOZZSZ_jDF-s(>WQDtw>59o_H_H0POb5z^26?Fe=EfE8AflZGPHc0`e?xYrguS`djAQPtW;#G)Im zp=h+T3Z0vt)xCyHg?w}Al~3DxZ*)Oqv(cQ2Z0a60aid!c`!}_awkc4h5=mC0m%&GKxaP4moE`)M90|kn^pYNFh*L4Q@xy zR#L)gQy`4RYT#-C@_0UHg1sLTQA{sqJJ)K=TfPmFh!y+57k%ab`dfcV={L}!PF{Gj z5l@(o%MuN+od=Xw6dP<`nbiov6$kKfnjrB1c#C5So#o1W1fCBZf*uvGGz*GbV1mG5 z6Ko7Sw1&lMRuud1g6JWIAkGn)Jw+wQIZCd~1P|cN#gE~nJQ%}fMRB2g#T|HNMG)v^ z`mXsLB1tp>kTMW2j3+zL|rApFH8+zttk$^Nuq)U z*cq-6?oEI0pky*l(k2b#mm?Tr#8RzEtKs(%DN)dA@;r|)nXP2LKX48pKuKJr-kQ}7 z+A}K(Ni2=@XFmE9Y536ojdst9RF&p@=U?T~P!<|k{zQ1TeHdo~P@#iMA3|D}KG=NZ zOMDZ@h)+EE@eE>98&gG}6uWc`Wba%^q(ZSGo`9lEI|#_X-l0;AjbUJTai@ksig$7T zGz=BmSm4zC*>m!T9H% zXQz20Uo+erSju+I>K+yM2AoQ@JB+x2FBk;#7f`AW#LevK{+NV4pVSm3DvUxo5REPapGp_pt?Mu=GzgoA3_@QSjyrj#1uqL|_dzx62mw@i>0yW zyq#^YAy*;>#~dJF5o=7)B%_dwIgo(C1wjj;6)%h)(27@JM`v!W=IA zrd4UGP1(wJxbPC`r_H)F1>XX2k3{z;U+a?zy1(=-xWDVo84fo~Q;xx!P`te=)?yp) z&Nj}2r!2Pdc5LIL&-&u`z9X17w5QUOoz`Lb42%e=#K7|eXoyx*?JKLMx?nYOxfP^s zbU~YDj1|FK#kexFC($;#;OU6O9D}<(fG(QDj6>5lx*(?Cq0mdg?W)HxN89Lv`y(p3 z6vTMso#rrOMcPIeJON%PB}D|mGP5(zand%bNo<+F^{>X%q#K6o@Mx{r)Ckt{R|8)s z3w$S`qT9xPzH70KPXYJ0J-p`{yczbC8ra{BiRD{m%>>q$e)FfS{%Fud4p&uju|&YP zImw=@Dx<}coVHJB4CIkS(L}K%XYEi0q zJ`SC$DyKD&2qL)ZdKi?Pu`jCYxZa!UiWSAzvP}>gNuP`18+mmr>)O0regegKEhC4K#Xvxw~bM<_)2uH{d|EPAzR7=v7(*p(h} zkQ;)vQ?O3ku8+`3&p7BBH!NXke!X|AQ(@`?P#(svlmvNbd#lq^eqjchS^3SnSL{lU z`Ne6!Wl)L-ZNKZi4}x~H#~h@Vw9F#8F~=lc2q`DHB>W7bN~L&+o`L$2R2+#u<`-6K zLk@GtOm!of9`g%pe!#19)Zybz3KYq%KtY?fD)RnoiJpNhUqcbJCG1uayu`=B@OS;X8ZD!2NjDOLmjglm4dozw*Z5 z0R5MYe{}Ai2fddJr+b+Bl8w<&3KA!B7&MYq zp%A=|b)k;i5yyiDk%-As6xf1BvqHQ=px&R`v42xHRNBc{PFW_?ruVT}mkX))M-NuHk%e9IV}^$E zZ~u;O{E~n9mlkNTX6-!~_9~)rVB`Kx6f-q)uo4Jj_!;itnP zz|nz0Zm*^E4!R_~5$)~)Ldj;=r=!!sa>))Mgsuq$bX(gNH~frogw?`-lXfG zZmi`%bfc*esM&V^+#Cm$%s?Ugi<@zG)ybWpD_lul?M;#4bQj|49&Pm$$Df`>&rP4} zKX?tH{TG?-2IkDJ!O9!xbuG3HE69}JWy1aOvLB-2-fhU;9IQUm3i^-X-Dr5Nca(A`7xq73Qa` zPpf;6FJF4+doG-b`td_-KL5gd9u%I3i+8^Wsmj9`p=O*;LHEkf~ zm{tL$LcFUTas;TYROx`yd6W*QS+m$*wv!2MToCpaoz-b2b64Z|EY^>^Ff__>eDSx_ zrerOPMaR{GzmRYO^0hvwaG%n*#0gZJGt9-4-~?tYFJ+VK)wo$Ux%}V$&)2=%J5=f+i`#9)GKF z(uC$BLu{3bn?N`zEGg{|GJq<`6!mgYpTSXmARlf>3zP8?E; zt9#E*kROO8iqp}{6Pi~d&GXwR?AbpzK$@#g=Plnl_f)4JedVV=YsLiXWzbCJ@zq3| z%b*#IKf6pl@T#KjV$h6(Z#;>2Z6 zBVHLQqup3?%2Bu$*IsK?cxbj;xV0!xb*Mfxf~ge#Ot%J!uw>IQld%<)$Jtgym4l8l zLKDCR+S)#SOaC$5rURZp1h?QRu0a6ufZK{78`~&$XT9kOR*)Rv4+_esFDX%a`Q7Xn zz`+mbf$L!^a-*{mAR^X8t3msF}mN04vN0e#qtsW@qGU?o}I zp8)RwFVb8j^(6ed`wcp70ETKM^BuD83Z5r^Q!ANo(H>`~B@}^A)wf8!HaofzNPCt3DLc*8~f}(4} zAZUc1c7O(7+MM^GOW*8RuSlw(*XE3Ci7@|shuqY_xeoc#2XTje5UQ;vZc%H;mx{&B zR4l&yFZ{@#{43E1p-z?O+04a455g8B2$*LZV1pK4$g<}~RRTd!7%Q~F)XlJUcDrDJ%bXD0SuE`M*Ol@i$7Ps=`31hsYe*4UNVdiQi_e*s>JJXm{AJ#(hH0-f_O2G6POW;J>6x5 z@BXdd`yJEM+O{CjpnBu9HcinxZsP54P=PJ7-~vXhKgARf9A9{-3Jtru8`yp%w^e! zS5i{TW&GbzRPo8b^MB);p-+@b-3q4Q5Y7rq_X@eJMZuj{Jdlcg($}KFB9|p9?EF~4 z9_k54w3n}1Vuibl73STdC03XZKwHlOpsiOdB7NrJZ-2=oy6FH6E!f{9RFIQXxg5HEFIaa_C zY5CBFJur(V#|pSSOxY6F0VCP0Sj7rJVA+g;lhQuspIxJywg;pkxh4vP>XxMVL-M8; ze;jrlrp!{3py}%9vkkP`%-0azM0=_xy>vJ^x=GBho*=$+^X&f)8Rz($nQ1r6198ZL zZaS|6=~pN_1H*7ZH>VpV?P=uk6& z@?o_@L5ubk{BF8DE@-r`)O$y3)CfUpQWs34Vm9WVham5c3!>+RcuB0dg02)j5rWjD zE_m7@E|GIQvgwCYCIP- z>IM+=Y&XVk5rWisE=Vp_C=+;k>pci0SbhybYCIPN)Sk^|P~T9ItspgC5!?$sD^>(C zZ2POJ@m$crsSwcheIIzc*O)YkS3T_;{h3-em4g!9zmN)7RlH~PrLz!WXk)R5! z2to1AO%Ulu)>+VQ-f{FKO>_v>1sQ@GBq!=dKLo3S%x()_MMl8rMy@Lzbyp9dE*h-D zHxQ6?K6G7>K`<*}Ehub|9j#BYF35lq5IF)SJNs9%YB$GBRYgo7+j*>Ua5HW6gGXM9 zAseJx;|F!q`GZG})h>K>kH}YN!s93khN=0##;hmX{=nPMBXq`}3e)2NNgYThuUn>R z%QMAzBWsZ!>I+LL;W;QYLh-;T{$HQL3p{~nPhid)2_SV7lVp0VFFitdkH{i=&ovc3GlbW*8W7m^OdJKY0(t)l7}~731fj z*DU@<3FMB(xEZCo78poy6-{s@HDr!tW2zd0g}g9v`!!^SO8%G*C|rrD9b$sqNC}E! ze~>NVDa-rIYaPiS?2tj5Tbys^CfuT9plS$)K-J$T+mS7j@?&h0+A+7=ZSixecEOe^ zvL$oef*TR%7Y)fmUYM?5LuRPtkLmXdS7P2@3iz0be@eOU4N$CBK^RxnB3d+)=`{N2OL{2ll6!wu|KzN+@(iAuZ` zM|}D6n#%wo!GTaFSAI%)dsW4VUcJM6F{@wC)OJRX$6JHi{%r*%D@*aM9IyOGl?Y zEt1M)kMrE<43O&R`S$EN$wYq928^QBRiEd)71zz9muPFz0LA8p6t77CnmbMTmHKhL zo)#5;txBLWf;KA4u1{>u6&@kww{Ib0JAEO5BM^_BJqY`bze6cCoqpD%lyzclfr&C0aj$JK(r8!iR> z-|}<=U6#I`PiSB-M;INk;zI`K%U^jVq$)S##;F^rnSbY>`$LaEI0YxOX&cM~O^{{j zL?H-n_cD+@Kv3GmXGuTc(lBL2Bj(PqtWhuF&2qux2@U-c+zxPHQ8T+>hgcCt0Z1*3 z5LaaqEAkE&!QGMIVG)!T{CtkN0)r=j<4tlZCRVH}Fe^?IpdfnsRX2v9Xl6e)u*DXt z?->iz&bZ{YHJ&JnqTxcH)luo$Ixh52eB$EyzxXCTDWTzfCIb6GNZprE&sZyY*1m&O|dFLb}{jTlcx2t*%Dwb8j;Ax+%) zj8tK^K8%Oh`|pIPmx6d z0ni!6Vv=ME!X@|6*(!ND_1DaICq|*KJ1cglHS_I>S?F7IVqDL;6pf{BU`_~@bfD+P zucTq80-VykMdneLSy!DdOLxatax!zY>l~TT#gtB1vH|tGc$cqJ?{cYj-(9uawA6(4 zY|Yz(GrJj_*<1d}7yRAd6!|Bm_Hh@%`MyA&MH|S`J{a;LR@J^ToS6&S6c$9l=vk-b z&vak3K`wX;lN9$rP}8Pjpx|1wMRAT2#yWx5?zp#N8%MI%=O{Hn6Wp&>ny6x0sr@l@ z5&Xv(K?}_JN}CGvuND`1W8EA3<3hMI7uOua6j*aX51$EP{wTNeU=+&;AY z1OSlhxg0V-L4AyIUV#NbeqKuIc1VHknVzs3JplRKw9&lfTcgC7>wo%;U-miwa{za> z;=jO$x_7{O{6c{bIc_47@1kH-B{^auLL^m4byg}gl6;!4`dXw!;3RIapL--Fwe)lU z!szE-LZrJ3kqkCAVeDFKw1h}E6C%C($#40Z_f2O}twcXL$WupJa**cMNR&743MpVuYFhlA!DG%_6Y+C?yRF0A~I{A_V2l1q}y{_3QR6HKejPzSIc%`>!d z2u{kJGhX`eF?fB;XVAj+_=v+MWmG)~m- zE0#@#0H*pqpPwk$vj&gqK^!0Wg$iLQCHn-Jl;P&+~R=TodYuO7A+3Q?Q|r4*_$5!^e_8oXP0p&_*Q{Z-y1d(t~ zI*8oa#I{gS2ZU!UT$OQ^#*W3=jg6p;73(tY3hfxgtN>tRaG4}omvKi}VH=F#2raC% z*4QD?p*6+}n{N+@QnbtI+Ptu(!2>Nro~mGjhnn{Xpuo0ISGWxi9u>y{A1}qg`@W7D z!~oSmD!8VUl z`UTugeRmGPkhW_IT+z?dCOyL0#dF;LB56=^Nia3COAnF`~{+{t; ze$OE3?-@Tf?-`{1J>$nadC$iBs+u+_ZF@>?I{KDS#tN^u?Q4Q3st+eQ>o}-T`k3k+ zvR{inA}aXeCbO@pg2_UlJ|MTlO-66ccAxj(O*Aq|t4`Wq`nb~Z(HK>Y=tXB#eSB`m z{!N=$+a{%LPwD!hZ>d_Y?Q7biFA5KM>Z_7sZCr5dkdMDZt5L>Pv#+TNQy@?uuntqS z-6(!P+MagR@7HFfbo~1F@1&0_?T=oks;>?L_3^nK*RN?4)5puhocnsUeDk?yJ3f7; zV(#6?))tsqk9x4VV_|%aM-M>|mg?3i2;yR09NLzFI>8(-t_<-ZckxP8;h-9_R?E_;2nX{SIR0!uN3CI z?SaiSU?Idr=9b})_y`va0z{Gq!qNxDo?`S}5D0a2S8+wSSacq;AT5Opo`8Q9Pzop$ zH?1I5q6=Dw7dz_JY0E3p8!3VS(#wkARZ3J$z;Zg^V}9VEhWj8 z{CCSBs-JK0&g^%Ge_i$-`8q9pr-e&bo&GPfSF4Mv@kgNb$s#H`CoGZ<%n1{ONv4$r zRT_j!K3kyPI2{>!pO4pA(6%$D$!sE2LE}%}zwsa>ng|PsWL-V#6+DQQ1#L5h$g`hl1CTP(N`jB?( zeN7AT_P0^d*ltuC#vTLG=9)xBQ!p;L?+_SEz64A+8cD^W#SODV7uFDL8})VwDooBL zQBqOv3Um^*d>Hy77D+hyJUE6FSO zmwv5;nU7nnmW<^)1Xrk+Hj%t^-g@AdwxNRwl9%n)x{i}%dY%)>3;1B#=UK8Xw&Ml6 zz$D|aKaq8pm4&osdZH5@4ESI)=1-F8kp>x!)4>47+CI{vAIs5CNPg-w4H}j9=;@)p zKnTf46*ZsTMo5A4d1|w$T~8|mk}zF|`&N>oKTR@*R3Pi4865vb^B!tM{PQyo@|YqE zaK=Ne$pts-wm^BPHMtNkgCF2FAL_B>f=n(7Lyd&dL`$vov8El+(|b-04Z%5k+Dmc) zB|AL5$u!?K=?l&`2j>Vl;i{(NBim60$Fd!^T(Wer2}&0}-sR^giA!Ft&6NnNOWI+3 zUUH+&W{}7PzD7z;G})jgntx)_7o2ZS?vV6FGM}DYLbjv2ezIL%ugBuA4H3vyfhK)0J%n7ulE ztKxHyq%E=>{jbmXb)S0pK(r}nGR6ZvOU;10i@pz^b->$OQVZTQ7evOH*bS7xHq6x5 zC=c2z7mO0vZr^MEpro6sSW^5iU-NW2pu)j}ulAB0 z&-J&e1hz*{sI)$yt6hw5C7JJzKEjf4-%1Z>20m3791w$`fsvBn;;nztJVRN`q?f-u z6>t9zC*(^yzD~xMRD6|)XBwWCQoX^~vXRjpKl>L(piuKJjf^+g$OxEBdgB3;!PQON zS|rgpTq8ENS1#1&&Bn&_mLH0Z|L~W7*}w3i)bRkNxq64|=F)ql>I|9k!$y=(?otwa zD!UesEo2CGl>HSu95nS9 zzglBsKgrFG1FUdtlw{kJ)Y#b1QoHtG4mU)6)W2H^Q%%y?pa9_5fV8re%y)-m??O6) zmcZr*&DOXn=V!g+ML${)q7g&g5TSoNL&rt{5}#BFTc*)Aa%;J_Na(@#XS9~bG5BM~ zL5WKT*;E9^_dFbGNerY-d1u|R^%-~iD9emH%q1^QG18_0P@bQOoYw%hfD-2;-fnzA z$-GI0vDgQD1vkkXjfeD2#P9! zOd;zGszXL(_LW(E7d#-#CTN^Ja_p@jt-cGM_C)KzPJ&CXcW7!57qmf-h^x0?vu+Me zt6v1UlH{6!>e57`ePt0@5d=m`vWFnvvN$vZ8IidlhQuSgDCf8}nm-quw5BvOVsyfI zM$n@6OEY@<*@?*=NyN}a4IrTYVZ6$UT-E<;zP?czJ-cDTD-TfoUli%^HY1=dxhOy< zQN>EBA$4m~)vdL)(AX8wN0ZLg4wdPV@nGqIXm6}?fh~ccY$scVGF#3Cvg}vo0w>CB zY*@(2H)N^L;v*D?FrAKM{@irl$JGK`;rYhV9@29|kp;1TcZmIYw`ehr^Zl1|W{AA` z{crh-znF}pBwC7blr7@#R{&-pF5ROdWcEbAa^q-%hr%5R=(^TyjT6p|qYI*GO%kA( z&*Ao@tSH9O1W&sYa*8}OxJ%kYi*Yo;AeroTZpzAvVjNA-(tEI?yIpU>7(-$^V*p%s zV1{AEcE?fmV(H6@VjOKn1F%34gE`I87eNV$eMz)4f*XxXu1T9)FDV+(CBYtt5;Y}3 zzoM13xRPQ!ToM3cPEF;SJ}5p(itFO%S(qyU$kEiVOHLYbwZLAhTSZw}zi6;FYAc5< zd2t59RJ-x^f8(3@q(?zGY#&;bFv4Ly=KfrSu-t15X8nCHf5oe67=&WpQMEOJ|KrP--ua#w3h?+Lwx56DJ%N-Ivio}~ z=1UAn^%15cbjGGxCT`JU)^EqGUwre!A9`yr0VpWL&ALG}VBm~T^#B}4iye_%s+MyT z)AIlnOWuDIJi- z$#k0_B3_JGq8Wsz&;oWO)9r`e?ac;v7@<_HIB5lo^-?ob&lp0(SY-w|W2+Z|hDqyv zRTgh#{8+{MVWLoD{{X~t3&@Y>+Q2v<&mobDc|7a?!i&q0qQi!GD`o|TKvIHz(2EY} zQwOR$N)ADvGNh;sT>!4C_he|$Y-=LcWknZv{Dd&Ox{(d+3xaIRLU@WoMEeja{MFao z?BL*X&F$7W&Es*aU|(A?a5f$lJ^=(^;Yj}b6RnVA-w`7y%g4L(L#%^xFvY#t-v zq5Lu8$0vS_MzBuEJ|Ov>(iM_^$oY{56-<7DDxm_`0g*{yZKMq_HB!lTcpktCfgd3b zhB+dENu)6o@~G>oVd1)=MC8EP1F+XQa-+@{kVSEAzyzsvgP~F#<(iKC5>z8 z{>yf{e|(-|6X~hk+oV@3(oFz{9ian21IJb=e7i-O*EiAfhE3QbXdO0E^)~a$Xjn^| zv*Aaq*A%Tg0zazcX0J}SYtHIfj^L)h`{O_ONpG6!VzF}fnjPZ3o)f-QrSXH^yey!j z+ARt^8b9hTmJ?F)0vuv9jdh%~wj^ReZ7e{NVqEIX=@zxRY}6)!>}dMU_Ct!-F?26pVrc z;sw`~cxYtwiBt+%*ap%IE!*i0ZbNbO!Q5%W9Os)ADih}C^tDhgq+e6_TH;#4TAZKn zw#PPJ?wf_)%v-(<>Zm!s_|rfA7X9$|`?5~g3 z{}#VmtcM?Zw^De}X}8^sLT@?{M~iwpt#$ZnhW%8~8|x&5C$K(B14|_-MHoL#^g$?` z2P^~arPO!T&vUcZA+ZPWlGN97QfF$gb8GC)RIz#p!#6g%_DqEf_U2geknuTSZ zV3+u+IS_Xn3$*Sd*g%_JXh(IJvpQ}3CHBcx`WFSj$|IaA;I$4mJj^?Fu%%!bKbQn( zRiC25)5Ct;Nv3fgbc0^lwT6DpTYgBPe$i+A*yiV^3OBf#gy6Ayax#)Y&-fdv!Ab*% z-HGF`Sm6O~4$3NqTB$2$yTLEoa{@a?wIg?=$n{u}3e^RzD1sHC->5F~ihOj7;A%$} zBf=j#_AOL`b>U`Z86FIS(R5WDnhModL^8sf@&YGO>M>NPMX&=7U!r{sFKmlTRpEw{ z+y!Tja*Q=(#j&fx4f?fMD+BosJjdReRH%OR6Y>QLzF1>QS@Xk1p%%&go>mN5iS>3{ z7jBU0puH=SYg5udfHbA3^HeYOQz$UFtE$NCU!NY2vDvCk=YTa#@2biSwdoy(Z znwvK|4v$`duQ)mmk6u6#gl8*whtt6DI(h*v2$TZ>A@B?bX4laRaKX{hb@T#UaC~wd z<8qh8$ZFbldZidpDi+(mLLN%I0G9;UBO)}$bSF4Utt7nwmptx(GZD$+P^C5V-2pI_ zy?{76kTCVpCvAH%w}n1&prJtx^gy*iZKvZfYx=gquCcJ=@kv*|lN6QP@qzxaC91ml zs4AL(d+lUEca#PPe3>?@T=g)HfKipdCyNX4^g=yMUrpSi#Ra$>sK-Be?n58`;cx-e zWp=2C$AyO#UPH-)4gfQ0WL$8MZUmId5w;^=I+h541@wykUb?<>e4i5m0GELNiz^O5 zJ*z~(_Gp+;?9s-rn(JP?iRw+=5W0iLk3gfRZz_>;QFuvj89*(xtudKHnYVf@QAcYy{_!3!sKQ zx-nA3Z*G8E?ZNQA?-kfzZjKU&=bZlwZz4YFLF>T24d~q=Kw!T(05|UdEP?&Ymk-2; zL9_s;Mff=V<7pL!Q9zo7(zHftE&$GzlDS^Khz88sBkYsE(wm^5TyJB6EpK^M^c>!o zSXSFt?pE0s6r%47tZYLl%I_Q?TYohNV|z|tM)x`2`slmfP$ns8oxlTos~VvD->2Ok zx@JtOruSVirroZNVzqLW?}~b<8kj7Y>!pdRpzF2lOJ&N7fl)67Jvj_!UXfPa&+!=3 zZnr2j)`GcS8q;o9DC#zXxn5eP-5volWo02BTG0r8l1d{(s)B>Gqg0XtPc%uCKiCw) zdg(xAHP=gF%fYV$Npy_%s27@g=}MVWkL&fZt`tuO_G+KWqLyrT0TdRS4^(LCvBcH@ zWdZ)`AwEB5j`k~OA%q!@Pku@cG{nv=&=Bi8(2yUW7-)E3Ixm|zsv$Fb`lWaD==L@1%}4;>yZJ1Ot1pzLZG^SvK_~7Cnb;! zu)s~q_4S(AINhc);`C;6DPD-c%x;xQ@>G<4^_wJQ!*Whx64w|Mc(qyz6jyV^VE zRgylz66G%xXSDxz;y!SBUid zh9COb)pw`XGcoIJa&9w@GYo{%^{swdgdgpDq&VVH zs82e{$V`!5|wfRBX-1Lr$$%3!DP zUn&o!&YXpJf?BEvP9@n@CCajB1!i5~RCfobnspEOZ zoe!#xqAM`ausXQ{m{GgNB+H`fZm*rpR*$v*Hm}Z(!nA1`U2qhpP0Q$l#exsPwCTGP z!5csptKAC*>o7h80Au-*X2|~wIMC zpm-QzU1jS~Bti9oMG(MZ+Abc(fwn#;C7=@tg0N*6zg(}FZQT+4;wV>;TLjHZjbOHQ zNF|UG94H_3(J-#f=a_9>kgx4w>>I(18$w-irF0=XV0aqA3O9rfcUX2F8)cfFBs1gw zyD?(=U~3`(Zr5a-?vj|fL;@5bj5bV?)W4@{AOcr9J4bnmVCO5z$@o_z$=9CwjW%A- z1#IVKgLOnP^=hKeAwnNB>TN-B0S>+O>FCF_Xa8KYJ|jY=o$*&|V)@o2G41((eCa2B z&lgUq)8gopAFI^@l{)o)%pomVg$|`36J3<8wy4x;X|o*Al3AT1_XoC1YL&GQ2(pU~ zm7mpVw?zk|3bNUuRzF#tTJDc4YW6Mb-AbL7j!3{T+Z8KyiX@?RL=LIGtWH6>$^Ht0 zMcETypJfHZES)7tRrbt7rB2Z-s;%(XP^TqSQC*gqpHdJM`faG-T*}Rk%e=hRTp~{< z|2GtA%}}N=UD7MIT9oOcOyBju(~U#Q^ueF{6<_|(Pbt&m5!7qyNdgGgyxEm94c`=8 zxs70@Odb3VhsxOBLiJ^3S}HzJ=sjxStV|Eb)7HG*V-JCzl_{p@?$y!89xd)ZD^su= z($S_9yAdGGRmv1q6mo!ww+lE=qxevDbz{c?x?Ga_8I66dWLBmiS!4s8ND9^|AG}hg zfbJ-Y2M#C5K=RSg_BdTrs*X7itsaUrE6p2C4rSHI&vVsd>tiPM2r3Lz%3^qcbfc%* ziZT&KZ3VRyw5O*XFm0f!O(?po+MxnlwB75dmEil}Zt4|t`23_|hS2k;7^2np3_&p!CU^qx6l@CI zqSe-%_z)CDLrO!^XE%W=3%{%=T40Wv~%RY<3+AmHNWI9kmlfq)~qH=iIFsp>XD zQcS5yg1gHGlA>dyzTuEekM9M#o7e$?IA??TGwqt``Mo&e`PQRvL7q}c&VPu|Hjo}7 zY_$&&`UnPqWpTgclZHq2L&OGzoGou2A{^@0AEI}Tn6`xkd?5ipr^jL;0e|m{r2*9w zXavwFI`7J-7;9Hx;?3X9dqvQp;<0*`W2NI)e$!ulPfE_I0&iUkSZV8ZE2;d@^hqg? z`yNRr!}mY}U#tx5daLJ?N>0;Cwnt;8h(l+W0`+H|eu*@GPq1<+#=M#AQeY?qhl9du zowi_}$Sy@?GJyp5ITOcI-xMX2iFlv`rX1!CAm_ehGMUeGO3=%MAA-u};2M3J=Myy% zObpXHi(jEhqovMAl!JBqiZX_NX(W+N?_6WO<~S(2+)!jD-#kGrI8J8D^|=p6VM)%t z_Q^SWU_*n=!*^BMaTqF1eOElzq96yCAF5sau7Bea`gsUBVMgdTqhAEDHQ@fLj5Km)Dbv9jcx z3zp7(jO#ICgAkO6!X-QO=twyPRO9AWG`M8*_4kF!9 zaq&sN9O^021sz-?d)S})T`RHP(5GRAx)g&`wc{q4&e2Jd-gb17fbeLaI!WqH4q)70 zqcmbq`E6<6dCL!J-+%l$|MYjfElMLgT-cpc8#VllktP6II5F%U;Xjlv-fxh2I_-Cv z3Qz4@Ry?jaH;i-<9{;&C3 zHuLxVd|eq;8^hRn%XTMaaJa~%1Dq(662IbVN8T5;mukj3s-zX+fYxRz`J5PrCdWwj zcnx7!?sfpp{vz`Y(66jwVeNHHr%&S?d2L$Y*pf?ADxOjfzDB`QAK7!?>ZL#I?)t+_ zSxnrbRx>Vi+c!hE{YU=Xr+wao;M!C()${GqG!3Icx^3$Et9|=Y)P@sj04DbyweW6R z$ZYSGP5N*;80lmPCToxB9pLX2e{==L%jVG8w+8?orEEH`f{m)rG5hw0-DI@jJ#2C4 z?Aycek>E$e3N7S>AS-hIV?f`nW7SWGl?`eS!OFKkA$@}a4P#9-6;!`w(pDCWrAE_0 zLzY-qlca=LJzJ+=X6L#m!o^h#B^mpWIjrPQWzc43zq+mwjs1A+RGRVl)c-}j7<15a zpR(pFk`>jONM%>*Sr^bA=NO_M_y{g$Wwvh{e1!9@CM5xURQUl)ZWTXRw!?HvYAkI^ zcG68L-02h=!kxy|Mfp<_X&{clwFFSw!csCYnp`*|Z+3wB zyj!$b+S}<)`_@1HIS=0#xCOl#t)<-q@FXPxSW+SB%ZZwtMLVJ3YbLor0V8M8A}=>! zyR)Uuwp%z~@}aY(?e?rnBQiwN-KJKBxyzRJxTO;y`6-hB)%mGxX;JTH$r)x5q$agl z)FOkekMx!NY6^D!aTP2wxMbu6K}^%SED}Z)$&wUYc`rx&9MPmMS+as?&l85we1)nk zT1gAy>KpNQS~K6C$O~fl2mPBWhnMe9hs&vXLC|z63M8$WZxH6XY840`j&&}&@QBvlARA-3jG}_13E!^0L24&bVuW}u5>{9A$;o(0Dv_< zh>)FSmB#|~am9QmCO9**&?JY&<|yq9mnM1`erQ53^BKzfb35%XgDD~rK&G0?0g|y6 zdH*^RCsiWer2^MR%Iz#>8S#(ebd=vSIUwofhXaDx9;o}`fWXw~AU+)&0~`r@#sqUTxzH5ndE<0IiXbc( zJQa>Q2la3xNNwbT$iOMTG{D@Fan38!z_}pHT`+K1ad#LWb-p>WkDgI93@r`~b-~&7 zQgovO;w#$l^vyGzw%(p2b&v}d20b7)jaNHXWT@|gXcuCK){d9QxW7YCLj59nfc8|$ z9h{r`LW%?8l4#LFpDW#@Jv-_fNg9SCiPkGzAxdt0Gv37kamhlW*O8{UT{GXF6L=8h zVs`tD06g;T5sbaBc>r~v;8k(w4G(W$q1Gv>-6Dzfk2dF{Dq8>cNe86(0R!Z8>M$5? zJ{1SlqnPR|Jv-^)Zi)Y^hjE(kn&ewg4WN1Z@JexN!p|7`#I+MEohLo~U&P~0Bh=!1 zOt9LG`X1~(ph_!5xpTb>d$bqt;_3A+=G~$ty>UC~jUW8}uX=MPnv=rYla#3mY$sJt zX&x@sXPPgsJ|BD-2MXUlLc0jkyC{MukUPkV!1QZ2-^jHM0}+XG*-n9JI}}^GcJuN0WTZG*+?=$3W1QhHomD7cCtOvqe>#Zf z^aWaM-P3F9OtnnhqQ%zTj;(v+=}X`6$D(MiUG>G*i7s0MFkj|Q?s{x*jHIm@A6C>wLw+j8q0IhoIOx6SQu1DdQoUuU8aXXM#BL2K8ukg(31(EN-kQ zwyp@uTrLVS17EKww$2Z|->{z&kp1;R8s+nkVI=Lp8q1Ktjl>)K9*>Ab(k=-=F?;W2 z$#F6dR6>YZqvTp>-t)mFm0#9eBQ?%Q8by9E-RIvkD?$}(=;k@vcMw%f=&FF`YiaVRf#R!EU&9n>JIAxq8 zI%e8-l)B=M)VU;x*Qilr#avBJMK_lAv~e7atCk;*LuYJTncLE>H$sV+SFEsYh`)DM zpGNmg^J|)l`-WGNz`6}G{xrUE@VerTb`|YLS%D?2m8|YgNn?Y@q1OvTFUl|Gezzt+ z1Cr?ImDHj@I(oi8prGZ5ahxaEBwFmDM1p0_6*_QKl2E|>izeL(HR7DxXP-*qo5TD3 zZ{^b!wf6^)O7$Hd4a0{ym8HxcBmHy~rY{@<{T>^%+Iy8g+U%3JgFnveotfixkD8`496vB#ty@#PQ{35iqnIHjll0%a54db~%QZT}HXkNrirJrMgzTpT!}svC-t zjR|Oiv1=!eb3(O#zq4-Ec$@^6XPcm4*s|{xYaXC<+BFj<@8X1}GO4s+UQ-VRBt&7p zCRiOvm`p&-#ysA1^z_(Ypc=)|l9WWDr#*Ul>@Td&gU22jvz}zqOBV-t&$#lG1dg{| zGv6cp5bfoIa>SdGUl3f+>K@?-=_?ODRE=HIFUt1_1k_dyTY+)~Yi8AiS&QYBzc?aG zXfN`rcaLO2hFZWqmq0-^{DH+g|J{ zXtR!?jEt#l#{oN>FZ65q{TP9X!ISBL5U36)+iCyJMVFCLI}EO@kWn2KVY>dZo%YB1 z=KJ5Rp{uLo(<{jPb368zk)g4vk5>uB^lFt&eA^+JAjqT+LpZyO4*3e(eD2vU>B4*W zu~meDqvoayb5owcQ$-=W+yr&`4LKY&5O@`$O2>Yv#ffEmbDbk08j zQQ>fsk!vR%=XpiBzP@51Z|p#*)Et`k(9bc*8@CFv%qxms@k5uH>EHrsSEM!b6%%=* z+LOGZXqtLOyvv4H&4S`*mnB!cesnn215}5ieQZtmL!=a^Bz%+RcZ;80)&#+!xDgyY zp%4`NiqMYg?yNyuX5mtAKDJg{?C9MZ{2p@j&9O(BS&=t_tXHF!<7OkYWz}!bH)y}% z4WtvZ#$fsO7v(#IIf{fQK@yj%mYg)?w8&~oGl$j4Y(x@xV!~#yA9%%gNjXx@BPFK;mo`HUw!5{TxS|XjAYFPimxzHpSk^oniWu zME=NiwroFDS`b-59sUG#Z?CtfN(+uQ=2mIJkO7P3ZXT{hY7^4Ca=e*`;Up!K;s- zzkKE4s}Enkd>{@3AB?s1wg_J)KSK4o+kUTdDu(xc_lqZ_Yh;;sSNpz~Rl1UZz}AD; zq=NU;-}R6F;zy=THO2_AFM;e7{Z`m)s-rMB&QM`vP4%b+&Zc_Q z0#~Mb)BvY6IUosRJQmC>xI;0*V}X1@DrF#@fuAmMI511h=2XR*e0PF*&W1gNF5IX7< zuS(T2#j9;ZPiRk-4wneF-XW(`*_fKs;p^jhOciWQ%>Y?-1t!Ed0yIrwY?pz?LYqh&-}p;g$tmm6OYzCe;t#dFz1e? z^_)S@>Fbybwc1%TY9zX^Rb0Se1>#syg#h_>Tdojw;@NnRT}uHTEw;TXL{44D9H)U& zKrSxC7$<;v+FzZE3x_hr2@~|{WH`*V6zu#Y%RmWGZM20AZN4zcnBufq8{j6cWK~=+ zw+m{1gQ?BU&8dnD07h`eij+2*A2jI#sQoo6N!=Lm0m2`ch04`+tekEeI(#Awe|%Db z*MiJDgL4-b;09d)pUOW^++1^)AH(PH&)$Lhc5KlxWa z@h?qL&KI&QOlB2x5fP&f`6}UR$q;PUQV7q2Iq;7R!2pSU&e4~wKbYiY+F@QXMF~N4 zc?30N3z5r#f0PGglwlnx4+j32=`Eu!GVETvY;oK1OJjtfM?OCz{!=dWJ02#5HBD{^WhfACvzSKk_md4;nINa^;#ztmYG)Pv1bW7IVBauXZNH6 zJc{(%BW-}Ido)lPvk3Jj^C{P%tC$zF_9_cAvKF*>o(G)pUs~fxtk|FZuK)gX-x7*l zt!yuxTT8bg2mLC+&(+}^#pqN{XG-(cAhjR+$W;h3K=2kKX+knt3PZRheo4{|j5>CqVbsWy2f{*`u z2Q01pi-=tRmFB=DqkGdC-Sg>x=0yY7)~EB9AJV5k{NdmHUw$O?sdC`BK6O4W>-`{C zn?g@A#~0t&BB+pB2m*$x=^y*bu(vJ<$SoBMnbmaATwam-)CGgojqMtuEn-FLQx^mu zypg(80ed>Zi>b5&>RsVz_^{ERUcZARI95Khc6{J3OL9oN2(**7>E{{QzV?}Y4 zizIs5rN@m_Z3<#el5~_^@&tP%**?(Y^$srj)Fo-ERT7Oi+QyRB%y(xG;u^*KAlImt zBuUay_BHW~PLPyeB=DSkd&E(8$pg?vg8aqxmsX=nvbsUalZ%%5&8a!hNo^i!x2Vsl z-y7H)-HtMyZqG{7WxqQP<$W%?&{b1m^;;hcWm`6eShgMwO+s(H`(~5pFxJ4fkh=}#CCR~w#6`2DxYYi0 zIy9L|Rs~d{RvC)lU73I~2_9qX`^=j8?vz#u*n(AUpl?J8iy{jZI;DM8U83`FTmy#_ z&pt!ds#Yc`$9l9M9_ZJu+^E`SlhO(KXnyrl9*Ks%8%0Q1dBh*++2)~%3pp(vNn|+sZR>VUOUGssu`3@KEtSbj7qTcRnV)Qb!mS-9x286q&$W=-wMWsB68JLEh)_m17k!M z$EVGWEx9(H@4PQPW9LWQ_L7{d1C}U^BqUOBXb{36wUWD9j0L52p8p2TNmlj&bT?oM9|0TRw$x#`&UpQz$Q3lf(H1M~SxehH;kdbpFf> zrcJp##XQ0@z^I@gm5|9m{`_+|U-@=_Z$^2J`3HXw&b6I0mZvhGxqPelS^fLZe(!(y zcpA)Es(%M04CFPBq8|Nc+wzV|RR2~;9W;W}SuR+{d!W0bSF+;6=7LfE!+?OcsCPy6 z593CgLs!+m-H}{ptp06973HEHb(SA`uM}b=ELaPdQWg}C%7B+;V%QPmy;>p-Nmclg zmZZnAF;=auJl55}!e=p5CzJ=)j*iqXXS%Wax0bnT`7~=V=Z4f60_J4?CzmsyK(|so zsbEm-s|pnE?8K5+ z6etF+pp^gGNS2|ISpkZNCMv zfaD|51k&dEa<#1>(J)U$5Ux2NS!FntI*=Jo_yaJtS&)jw(~N~C0?4TzEqkuE5dtRX z$dJ8Fg;p6(oxTci!DcPg%el8BXE=-BfYhp`6+CBDXE;HN4ipD7oEWph;W@+s>0SVk|EXj8V6du4l^E@#Fp4o!xJoD`V zsiksNf|1_Pdhye%CJ?}n6@!P0Z10W>6M~=T9xz5dI`Y9xIaNo`cL;qanlbLcGq1j4 zI(l`BK+mN>5sXW%)7q0>i#iu$xn*@10xcJAM&-SP`_rSh;8*!SUD4eZ+p2(Y=XSR0 zuedgR?rH}H3D(-4OO`Y_Fg<^ynWv77?^!ev%I%OGh;!$ui;IxbvT;A(9#n5pm@XGZjU_pw#JMT1JcIU{BKbZ4YL z^vR#`1z!?XG&T5bY>E*8e3b#7117Ew=W=WsAtw}mE0}{H)UP;ri;?86!Eq@Wpm`p+ zO9ytiKyU{p9cZQ;a^~0+!%4`<2h#!C-8S0Iv1zwCps|JJwmovW)rymPiTWaG8tcf= z_q+|IvTkW&r~Fk}vXRk6&s8~dR*nNvdK3e4y2xDd2%b^-N^hNJ+apdwBwUSi1n?C3 z{3sM@e!P;;uO*CE-Rl8RNfqXZbxUEEY{zTei|fuUl;M0cH#s-%0 zD^)gotd&aGbq=%&gi%wwvlwcI+M-kMxr&Jpt@Zy|>H7bE&2Rkn4~AX=i6|OteMF#c zVMHM2%1ANgfOL|2n)Vec-_$Eb5QJq?OGiaae2J5PWqlbg2o4fbY44uFZ6Wc13->e91lXXgo<&*#xv4Cpk-}skhT)h^QU( zi*U1a`@C{fS?3XY5V#QvC_eG;`M>xkKIQMPTBnvy%FVqg{}=CEgIQA$+L*d(QD%7X zt2B!E)LB3AK}!0m9Rmn`S9w8g-buD&|JLn;zB{WB4?m~YukfnQNn=S$G)_mgxhuH) z*o2REz6!Hve?rIf(m-=n*u3S3RM?+*>3?|iLi81A4aHp}uQ3Pn(h-dX;!Svc%~Y6| ztq*p!2tR$XfJq%mjmZ zX}z*8fLKvfm>>GIA(u0Z`x@NK&5EMJ{LqDYX$x+NMo?9lOFHushK}`)T^H*WAHi1L zu3v($iuDTWLgcVj?&YhC^@Vw9vw~y#6cy{K=+qY+(Vi;sS~!b{q?T4)=H0gUUeCq) z+1gg$<_Tp!q;3E2=f39y?+R@@9EjRjI<&N+r>L#NZA&9Ek2qL+K9QsOX$nk=oX_ICI*1RAqEy9RpL_7C|6R1t5wUk20k?2g{;uU6M?< z8dh)Gk|G%Km>zLSBN>AK3u1&euC9utn6aa4g2>*eBWw{k>EbN-n#e$d4}r1z9<|Tv zmruH@s_L55pt_}NQ2=@=5Pshx_q2HvdrtfR9eG*#7M!!@$5iNH0bQtGi~Npy1BB2%cjuAc0+LM)74|e$`mR$gBVxI zP2?4`DLkMRLyRlomu$!a#8z9blN=(evFoowl5r z4av=+m-g2tV>^0s7r-*to@c&4s5hd7Df#@3uyIu9S=}HI!D&Jtyd8?wiR&&PJO4%d z)y7i)z|Yo_lRk*L7?#XW{GA$`TrWt{eKUr_{?c!+(=QxH5zfpw{4+d`_;f8#rS(vf zry?-Va8=ZtCRe4`$JPwzKsx@s;T%s2+eCgpH~wLE2Y{COgyp3U-%6M@!?^(Q5Ayrz z2LrZR)f*rH*F5dd?bu&jnb_3JQ}X@TL_Bb3`ToTpS^SZQ?|rdM`YMCFUUx&k-uR)< z`uBcOz&^DC^Ay#ckha{S*vtXt+umU7Tz86b;>ZfOl`K(RR{JSLFee!Lw&PWFloyF& zhDg7XmFrHUm8`1n6hlM?N?BFiDW-@F)v|Kk=`dQ$5`V;h`DiUm+(nZFM3WrlD7o2q zu&S1o>w*B;G7F6Q7_KJWz)CXTAfjv~Ukty*^2Jf_Q-8!S{1zON3NQftv|4kvKN7Bh zlZn&7tGf^ggCuqC)X}os7|=kD_h_h87LG@JQt2TGr=IuWGU3544+a;F{fE;hIg7hE zB~OJ@qR;tlwT%l}>pW)Z0gtYsqKYf^?{|QBvF5W{ z8>>MG(ipfPaJlRqWKysbW00}vGzKmR7$>3qT#*d2e2ij68Uq(RZjbEQr|R7Ihc*xz z?2_nHz#(~T(A(C(<~%jW_g=KX@CW{t|EUtmVU_HpTIVSoyEGD9Enupr0Ze5N@`R#U zlD+dAww7^=wBD37J0gyP7Js);KXfy zG$(rxTM(kt1Oe0A?dg3po9s5#ms7VSLx#}lUGAq#|_ z0rcb4;gMq4J&w9l!e%vherO|#L9lm3qO1u>bNEPu1izooOcXm&9e)s6UuM1;3FT7uj>HP41 z?aVs<^gMoe`O-U|f8jk3-uLoXyejL6AI4nlZ~vN~`90qh##E!IHg-gO2QCz1W&spx zu_!rq1c#a?Z6|?37)S$jivWeB-j?wt8HfTTKLw|OLdcsEt%DUOfI`6M6RwMP+HQNS zVJL5ZTNOJVx7t(>4?^hK@;PRpkWCog5-8Mbr`5uo18}zBH<54@9k?y9w*m^Gk%E^T zpq_3gtTA!$*=AS6)aZcK?EuT@wuZ4i8yL1uK2?U-Hnj6qSMg}pwybD$YlhcjSNk^` z-lkt)8}M^bCpnA&h!E|X5h+CbR)`cW8df_rh!S+X1|o$W_UE8y6|$4|7lcbXVFk>_ z@nt(fSa7~wIzBNhsFMamfv`_!L=4NivA=Y&q)oXz1rY=M81O*}y_+&XK<}n+7xb<( zs`8Z=OvlGCx#|+(zU#qzA6|yVyoas#UART9zj_Sw`krv~2FxwJyn8CIC~kK~_>}lwAJOl2X+ z*VzS6#}ztaxMIoth9He{5iFf{9J49$s0+?EPQ~Dq4{ACZ)I_!*jY-O1@pJQaSvSjF zS4Pk681>J7@Rz!V4;R^>kZ>lcV{tJ;o5Si?qZeR3D*giX3M%7=Zk0hN%sKQCERx5`$fYT!N4i?h&!5_pcts%P$+@LmI6t1a@p9q3 zTeO6H^Ka2P*5!Bq&R_lW?@b|}z=RxrW2hb)71CP*5P8}f+jYR`B;-?cQ6AW_vy@{9 zinC~fg+~np7B=Z81S_mxAq!dWgjq9V{VJ&6ksSvN`B1ZLL4g^IdDws;jX@;5njW=> zC>=Y;BN*IN5d9Bh93UsK7zuU|3@Abqn$NMuV(LjkC$D^5Y5eROi@D;YYW$o&8gkOA z(#ENc>W6#r-PILK-8X{(@IVj0cI+=c+Htt-1xzf3-$i0b?+bgla3HedSS|>;}7B8Y7WORAfsCN>pcp;P^WV zbpEgbgkOU-{mNjke&{16c?T6uaw^#}n99C2jb89jGTb9JqR^$^TvG1K$e7&r?7jXwUGurgSc$)x70fGr+{u zXMX0}fAmohT50LdLkC9;0Fh2&Q^`;rTHto zsxiWmqC8b4jpX@MAO|C|ul$*_{>tjt>xt6kozKd)&L~**LX(kVRGVFs$Rmd)63?d4 zq-mXkGk&+lq^3Qb#iS~oh_OF)dv%>oL_r9}vnXcW=&Zl{D6L^eP%1|8>iL6yUkUy7 zThLF}n=^DHQ-Z$QZK+;6*@@+cRPU#K!<+u=KR%^;)8G_zv{vt|)&%_Ju2gTU|L8py zL6AyFp`N3m)s6BCUN=pR>gaTzkXZ^HZ60o{m{l*lPi=hCr@~@o4Ru z*)X0k7XU|R7uZf5?A!$=;kqBaTdz4G0BZtyP^XAbn?(Au8S0s>uL9Q{a^UKEep2P9FM-ZLougssi&*Wk9j@)^MCeNe*Ryc*3*VgJ|WNCs^3}@ zbkLFXrMK&z=bQC(z?gR%3wJ}S=H}MIOMn?uP%^b<%X+%tojEeA_Wx>ha&aj!w@Oyb z+A8a5jI4&QO|^zHHI-@>%z7HLo%pLM2x9R@uyT9qMR4%eH)8ZvrZ-uM8 z(*0Ra10H70x|q(=MjMrjTyWXls-qHS-M$ zWcKD@s63aPt@~BUhLf(_0TB~zO7)rSLZ&cKMGVGS-7)*@_Ls2&f{HkFEDk|hrPeN7 zrPs6EkWBo|tbl9%0q9u6qo_(gDb=;#Kt>K5%UXxE*Sn zsu!LNTo`2WNcIgYRzcr{d?`c6sK{e~kVjRUe1~HM6>S>GnmcJ5SkH%t*+V?xBlimU zGb{)FYIocwmLGD#|Ct~9RgZouU^z-u>)8*Zt$n7$>?h$N0avLNrg3m(9zpp&XllBD^` zg1p5pxCifwFd<;B6!>v!LEd~9JRx1J9xFU&>fu;%wl0!4wb3<3d#!3_nqf98gR)X+ z0QsbAfh@&7gcGUiXxR0fvvM4W(&G;2!Z3RdMpkTo(mO*8v*#-sdw3@_K~K>vtZjG4 z+BWYNwaR+ww7i*4%m3uvYl14zC1cX$n#8>I zC9mdJ#HdLdf}1a;#4^5S%$fv~ZtIRnEaQ@C*yOgk;ghzWhEdII#2m>grzscduQ}it zuxstSUds(=B8~(UUh+=2kat=ff!87Bf+?Q~Uu|&&ZU?$_@y=iS z(_a~M@*1JLBVh45Z0l=AxnMpB8r2YnwnZ2^0_7YvUkFyg{kE6eOId2{VElS4!DxtV zYrwS_OlkrEfS|h0rw%4HPZ-YH=2NdoYOZ!N46sU7=HgQ%HCJG-XbvupfdBB4)C9=O zsNduF3@0^*$R@5xY7VeXT#?iqqMN90(5=!4 zmELg_vn1!~7=#%q$tM9FEQyG_OGKEmT>OuOZoj*R*mr#GANkj(#};e+3~2OmFMJKT zCr4mQ*5zYGLm69q(oi>Aa+rv)EeurO+whrl#ujUeK31f8^A)SH#p+gsApH*)JnoM$ z=*TaC{dkl<9uRA?Q~-an-dNHT9AlOk6vD4~ofJAV`w+-9cqqBsWL#tzk54LeC*=jtE42Rt&$8eS&%?urx0(tJ^3FP*<+*1S z4Wkb|j6AOH-e8CTun?8Fzeb(Yp8pAx(knmAa4MwEIdAzkVkXM_Yd`Vhe(xWghOlfg zpq;NiAS~Jh5&=a8VL9!|w*v^vZk(UJ>p-V2D*|xRMp7BVQldR*CuzRS@lh2_XcH@< zJ&*%2o0|ozXm7pJQ4pLSp$XOaF*({p8w)stpvIujg!A?)2n*_=?2#edWZ$E&ucE!f zx;shl3xFy8$Sg;DHt0)Wfz}usXIVvi25;wSZm~G^a+1t<2gjzJ!Fg_u4S*0s&T53w zsgt5H(E7#;>}x)OURHH^1=LW#%#m5B@eDPo)pU_@c(^QcIh+QblhB`odt z)>4=#0Xvuf4{1>61L(Ezx{gfD1z)s!M^D&<@#+o4aUfUiV*n_aW3eqsHwhz3!~W$rjGGbGc)eX-wR){49Z z81vc2je>|SYaU73$M*&E#Cp+Adb}?TiiLjPQo>G;Lwde1Pzu-9SGXYXyqfb&5BLS< zfr1W$uMCRWZIB62V|iyTI!tNB1CEh6K&`b8qw>zF(~I8@P?@Z`-xv}s&QlrYOcIrN zwj|)_I~>0`Pi-G6k}G=^b_m^D$)tZSth9hNq0oBgneR~<6owblI^q00=f5A*9C_n&@VzontlWw;cRJ{eAx?Nr1sml9{woLnTJA$}v zcflt+_TOBfXFcyP4^G;j+i8EqbqE+@|J}v5Lf3A^pr!q}9s3J-Ha54%i*0U=+u`R_ z7`Uyx!?E0MbFs_l;_cyLSM`WMpt^pt9S7X)E_Qfh%K7is7rUxQ1OoN`+)n%NFLs$& za<{$MRlk4N{C?R^`{R7`{oi#Ly9!;r6GfPQFt=lWT(G>UkC(^f_w{P|=5x>PlCHdW zA6wAlo`>fqu65bOQoB6nm5X(N*A%Go{n3L}Zr-~dzWd!Z&vQ_W(U)}p|6UPvLXauB zQQ~MWU8tjV19Tz1V+?UlQ@Sum>jrieu99-dnyf^q597O<7V-J_CtAdc<79*}ktZtU zi&*vL%a>n$`SRfl!ksBUjeOYhldddutn`PFXY=0dyF$Nu&RrRnD z-lBPTg}Vx0sPD>K^f7-|m`?i1H-E>^zHus@l-rV;Zu6#dbq^nauGLUm5*3&QS58bO z$sePb_IyR%xr&UmCY%v9S*kq*sp5Ra14a>w>(1m)n`N3 zrvUwzED?s0!UM_)Dw+cqEPb9GlJ^=e*;fc|s&rC^b^>8YLAGe!9=qy@>JCI#YU&5s zulnG1I;q={LWW5qq_Zl?Sx!|68N}^}H>K|X5V&8zIXC5_d!@|09te(=eaKy;ZR~PY z43XuAWa4iYakx@x|3!)zZSmO@EAB73A{u9eDMV*Y)khD62KqJg4vn+bwBq#oQ>D`< z?XO`#98k8y_VzlP&h1ad`DPAO_NP|9+XRn*WFF&m^zyi|XL$qNu3@e#)qj;Sp56LG zllbMYyb?BI#`4tO{<6l7)d&YS_Y}>`Q$_yAtN-@ze?h3oVHWm zp~$NJm?0?co(Ur0v2NUH0{sSh-zD#T?86&Uerg<2BAQf_W4OXjZi|Fl3n>vzYJ!Q}_9#AN{xK;i zhIw*w+cl?<)Ct6tKST&_DD zg>KaCoR>QX*P(lR+q!c~mE&-p*pRZEqfqC_#M+cj#lklG2G4OJw>__?Ua-u`a}<9k zJVzN=a=zziPxXXCy1u@%jJQV*_3*DT&+&VI=Hk!%qUcH2^K1)()>-yiAmiX^p=Lc9|4UK{2)^O4JG`fu2+9_3c;sRRciaaVRy{NvS^Fx;4tT3E}Ai0FXv_MrtQD9t3Bh)1n z<#vh^1Zk_P62Jt(2-5Hs!3sJ3sx*k^>C^G40Fw6SYaW|=d5ST>CIY(Gkums}9)9t+ z{Zu%=%JbSXOxxRu?7(WZ);=U7gheWm>c+P4FQNj?H(?80CXX)ENQ&Td766lDvG)Twgt*nvdGOUi%luQHZ)%i}(9hs;H{w zt5A!i@aRf}hPxf_*B;i}@_y$nKNRi%o^Srt|LYsW`_(34_kIgs!FI*e9Qcz^8qv_x zzA}a9g27~g0caW^haeSR5rnTK@SYCAtO9I8kP6QQOIiRx93cnI^b1sYE@*>O;f9^I z#>y8fQsKEE^altm_+xhHV3jyJ1gY>`Ft{-~aG5rORCq-=%yMFcn-43jfxu^-$p`Iyy)bliqo9EY6;hp&TYM7DCs-( ziUoO>&11giaIJB*9~1JkBl9`~xBdsbjw z{&?QU7A%1i~J$}$N%jA#y9cldP>Jv z^nYW$p-+?=&E|3xXuNkYnHc?<-aE}Tg`#PbzW@bPMRc)`={;|~zqSidCPnAt@yW>h zb30yaBz3AHK2Z!+^sZT-biRBp(*8K#3}7Vcp^DxGw2+TqNAI=P(u)&nM#xx;nqg`L z0hbiH*pmkp2iKth`sUWP=DqmW&hyS&en_u;-sk-AAN&`Cfn_+)TQz>P!0#%PSjttm z()dx=ca)0Fan-x=UztS^=3=9etMWRWEIoe6PBqrb_dw z){wxsyOYSgf~!jZSC8SIpr}pz(^ayLZ%?v&r;)aX5H7AKcNi|!g?cun-1>dt|0x(N zsb#@lWi=^}WvDp>zt^>cYBDmdp=Q>Kp&5CpoTo7PC{8%Ko0G)2I)05^@toARvERRAVJ(AnXl1B;QOMEwHP()?F_SQ1#|sHBcVX#I2cgf-PFii1EERmpZbe^!RlW|cj; z50?=YPmNho|YKztx;!7g#U=u$iQQ=g` zb^IC$YFrXeC#$NE1W=G+MgBPw)D+46hSM%6*{{D!P~(!Q9dI5m3$Xj%B@(#5OX3<4 zG9+sX(4;zgzCVb1aQ{SdEtQ>0vbsUw{g$LW#@Y4Czmmo%N7rbQR|#gN{q6Y{fm}h& z3aUjm`OOx&H)$=3woY(w(cY;!Mz?1rH2ZaBKDTclyu$@^L5ZuwhZ16=z-@y9~M^}?^d__^N}-~+uGJ?|wzR7c4q zW)oF;FQvr_)|pAaELeLl2h0Rz)fjk%)`iH{@vs9UHGK_mUfXzWw@;dqwJlWG-FpG< zzeR)%doRa*&%?^55#&Bv|384nvOPyCFF!O~5iqIHf`eY96_k{0k@Ts2u-_J&#jmNn z7fjDE@1?^Cz2-{R-plc5ndALN+B@78f3JxIyAm*u^`={)oO zL7N%|ZE#KS5ow!VlFZ&qp@>7rt|YFj^UQZ>50tL1xjos?#MaV2;v?7nbfj`_{ zrF@S_EUFJ2;A{17ze_(y-4i63uX1)guvI~{aH!icoOxEE+lZa@sH4sfLOw^h!8+F? z_!jU%6F#2kZ=xV&))FVKear zm;->9J|m8(#jHU2jFq4SW`%wUZWw6!oZ>M$3Cx-l)Xg(usrNEKED z(da9E2AGo2Lzz*7xgf-p8Lm5Q8lcsrUeed!vUOA(DQzXO=)^z0}Z&uL-7aN_`Xm6#DDVpV%D3c9NYTA32*_tn|N%{?y8=m=O$~G zmY{Qix274S5Tv59El^ZqO)cJIHk-I1AxK5zg3##%zTyc)C#iZNA;>4E2%a{iccdVA z6Pp#OXk75H+rc-5AXFg|IrO+=MJgH>gdfL72&@PnRTF0+NKeTH_baB1M37x?^@{R5 zxg_`l)%!x*Tkj<0MRdud2tdIC3)YO`p44)UVl%vF#b&2nv!eanf8xLD#AKAG{iR<& z&@ayQvKl9@cLL?qhXji>DifYRvVXI_TUuvtuz3Kb2!^Zv;NQm<%s~LI(Ajl|lq^eK ze;Ix9@VzgBEAI84W!n9zzwsx#paxRs*X^>q!pL}#pi5g^+I|bZwk~+w3!5^AwE}rO z7672~!-5G`oM>_B1bHTh?uQgP001$Da!7ZZtu)r<*rN^r2n=LM0YbL6yCw$!_${>b z?20%VjfeeC1OEB1w(N}rDK=6h1jR19)dE8X*Ty)SzA5AQ2(iQ+2 zI2j&0Aq+e<;{O86+r%M?*UNzf{lpwdw2=c$)j5$vTYy63a8`w13swmd@idXA!H%au zAJsNoT@+;ta2mC%?ivXcd)Oy39KCAM+yu(J<%bd|pZy!&^i#h!Fb2vjPN+N0;&gGO{i4(!)5IlMZ0zCRs!>NKN%I5d*8wYMtE=-t+BQ{<0k zD{$Q{2W2G?%F0~DJRq~JOcCyoG5N|{??NHRr@ZP_CZd*3irfRUq{!QT5}4nbd$aA#yVAviE7EvG__Mg^J; zEt+kHGp9mFC#vOCD2m%kP_oQF%Sm9VXq4M?eDe8cYK&(%0%BFwK6`J~L<7DG1M^2i zZ5z3%e%K$FW8vq>oZ|(wb)lu*s)=8PR4bW%zS3z^e0}!<5YDAH{>Iv^?4du`N0=MV z&0D^;Z`9434}bLA9!%B4qiue#p;BaAG@SF)%pQS{rkcRsJem$$fdXGsO=}x;Yik%} z+*gFI2M?9xq(GBbC3_Tf<$?&QILAQ9RQB4n8Y|{>7$8|XOaS4U%{2?bfpi#FMD@P@ zF_V!>@$Sn9RUs)hxk4qGl5(_7j!xvOp_b6l7V&A0mYE|{uQ*;HS_0jus>;;at=uy*zE5uY4Rzz|{nD6p<*{UG!8TxL$Gyd#2bX^cX5H~)v}6>@@jwr>y@b-K=4;+WGofnG@E4N~ zw!RP_MmIFSF;rpN&pbq`QdQlr8Bj7#k0;a59OY8}k~w-BGDr4kKYd=|=fCZ{KKFy+ z6>9pVP4XMZ9#Zn)#%r^~RT>#(N2z@Rl-{cbpg8`XK0oeta@1kh^{N4qCv-t1Dl~tMrpUIwkdyp? ztt)RG8WGT7(4_7Kl3XBHjU<|T${?}`K6Gc!IQqpLu{-zjB`^wD}+tqU~=Qxsc zWO^#BO?s!~x4{9^hJ7C55{Q7*6jz ztNY`WtEbIY@(FQ&CZm7Vm*!@sj^w0SUx)7Ih^}7T%^P$#k)lkmJyMjox@k6Vvk6o` z0a9l(_t{(c^!cX${$KyPuYMfBdd=N=HOaGqR)7I6ufzM>qz!5LieLfoAr5ZAanu|x zSVp@e8z`D1=Rm1Air@jGKO_+ejx9QfS&)j|1&<&^Q1yeZ<3Bw7s^Dl)7D+e0&Z3I! z4PpQUOK3*3_`<%jsLBOPT@h&ehHkYU2WbS~%=fGggh6KhSV;^S)$8kPJQ{*7*n1d$ zxC&O&j0>sgPbb*L)HCrVFtV~whRYPF5v1QkDLv*j95owK= z(`@pLQiZ_NYX0vs8ttusfk4ZoeBuP@lypBwdJWk=ymOvjL&zqnVSQo|vLSZ|(iX}8 zf?XZL*P@iNS7*W&Ub{(P-tw)fW%BJCp8ck;_`b;)ZG|X>W`Yq_8-!Fb8cMSQNEta7;OiN80OS4_Hle_!*)khqJTxDK|2R? zIYz^8)xK-=Uki&+7R)i)3A5caONS|$YV+b8s~C-;x=Nya&~~fUF&Y?hL=q@{A*sm+ zubQknm>dP@VB}cY#4}F)M*jCabW&PzH8~QI=!Z6~R5cXKli!=qckKt>WZ&Xus z(1^PrTpt7`M0O2Oo#YY?*oQC!kY%hR7)P2FbA@JK>Rq6FYdKMJ1r10skgG^|g6868 z#gs}1C5UtkpgM%`M7!6OucgA$yEo!5=C2@K)iPL}d(${|ekAyMR(Atfu1=>jSVY0O(PC+56 z#Wwq@Nt}YJmVjXlgIkgJs?LYfn3feabVlM7g}zoWCr-QLj&$-6L|cRg<8kPmmjgmi zgH70buz1yn&S|-02TLYa7xTtiK|$1c{_Z5RQDAjf>O$n?Zc3Xxa{v=9lALfM!06ll z7%`^)vq@lxUxS1ssL0x5SBcXjNWc^U1bm?KEynMbh}hROt~U53;I?gVj)KkX2XVN8 z`WqaQQ|q>7`@(j;3m$2*FAA>JkGZwy~k)0ID;`n zR%k{NJW;lrm^p3AJL@6zeRld^hla!+{>84BuI76(Ld?6Ku@Mc=R_VNa@%hJB8;u(; zh|ar3i(P;ICD5DAx<%*owtwymf9>ymUm)ex$#T1HE*GcR%?ct@~t6Yx$fm3|M;JHdvJQ`LVYD>t(o8=UX5`T!{xTt zOjM&40%AChuZg+CPqtQeI|5Oe8L5P+21ZMje3C$TRe}h>0OTyoMT(Zo6OfNS|iRoM7 zFsjW7dY8}&uW+2J*5};kocnxz6cT^-lUGe))zzHF;Wrqo-pP}Tc8bNBo_o6lEqFy z&{2A%krT1cNnc?Wq1dWz8S3B)x-hfqA^QrdLc!#pu@OCszNj~b=!||5-1h2hx=0JbPj0pOm zMFdUo6Bu;)%QWIA48>>v>|6h*&-koJGU)_5r(>{rbbw2se8Q9vx_fQ%ON^?c0(HUN zsS%1)pe|@tCSh6VjZmZlb-~9t8Sznu>A7Z7HFHCuN3MYsCD$a5Zc0W^{N!jnv|jsY zJXi@tg?ns1d(qu{n<6JtfkEqHiT|L{S@XQA0CZpC$GX|M=a>uBHfe)g0BexY*OhEKX?|#sZJ`WC4Z&3@-qBq8Kv{x=zTt83H(<@IS@oT-K=lcVaUD7I|IFDjSuaKT^&|!}; z^OOWjSYI;VAw10l{_|G?*suMSs#^q@zBt^l2V1Q*q+coDBg~T}HLvh)7=>C$YS)JU z@|Z~=SF~R)4FaDeWqh4Un*Hnew98Dfcs9?@f6+FmULWAIPqmBOf~1BFqg-{z>@eHE z*jJok@5V=W0$W*QoYFDQ9p|b`q8q6`#?DV{ca;vNXNK?M_}nd_{rYZnugH$+8mEio z7&Wp@MvtRJc2!kfp0_Z1Lah*)e>UoXOr#i1b_G?af{{`3oeYal`|mkVH5pw9K+(kU zWjl`F;e0pg_!!>GM>kLb5UBU(cI=NItlAXS>!^UYJl!RoeeV)m_%%ycQnLxX0Yc>S zd#df7xh;z~ZTTUK_alGjPyE7n2P8`a+T&>na5Si@^vJiSzO@!rbTc7qui&H*%xMW! zMVF%fHfZitbWjN9v_vtD8!(Nvht6q7<=@dDi>$~C&r?g-$HOA5gutu{l&Z#AJ!udu zyXA56)rDvJicE?;L#vOY z$pQr1dVuUJ2v*s#!xqyNA&8VXdW7p0tL)ecc1o=77IdYJAnkZLH26<7gGU5$BS<^$ zf+f}hO{#&q;v8wmT@c_eB9S@j3q!w}6&V#3L6AEkBBbELNT6dyvEwd@bA;>AVZ_}6 z!vLBk#g2!hK&vT9KuG-qi5+)I1eai;qF=I6CakzQlkK>M6OqKz?Iwm&^WCYz9=7GW zUk;%r+jO`0{&&dk`*;3#NJdZLWLghieo5=iM*Id#3zu+tt~Gesp5+l6&`a#iD1f^| z0Zh9^ZKyb#>6zRS@CS&)AsNih4-aaVLw+F`$x0os*hzCUHA!~vy( z`bV1VB&5*0!G5dFHKgIt@Q1!%VE^($$#%L;*DsuxB#wE7WUI^gUzPQiswBJhlJ#YTk6l7%nfap3i}N6$^h5Cjm^OjW~d_cX2y?SbymwpZSIf z)1^uu7EE$u6tn%pXi-!qS*aPJ`$qxLSWU+0{+-o?bpNd{kiCoIhMYt9Z{f8)f=E@-Dz~ zW*9@{n>CrA)~xOh%Epo|Km}j?kmGd!N-@XEJuCB#7nHpV41Lk5fb1==AC1Z0h1&8+ zL4-BOOmhA5go6?GJMDKa1B)Dm^T_SPE5+f^5#N zwZX}rFD7iuicl6x3eh14+$e*r3(%j1GAbfLbhye4m#km1uHGNOmO(*;jy7G&4h9vR zBSCA4qo9w<3c*TOKcVerqdJgELG(oVpc*I?AHPuDV_@%xxs0mPfUq>mM*n>XwxVlI zi?W(U%Zc)w{J$ZY_%}+OCajnl{BMxKAB4H-9Y<#te%%C3A;151uqgJ@ovRY(B<Fz^#1P%6tEVy-85U7`>6zV>hm%4wRORSVA>kO*1ob@staOh zn&FL*%{_^UQr90v*KXCLS*B_B70D?j#(uLUhP3X$%9to%?`&KTaG^CWX}Zx5tblUR%+z#wxFUyHSX@&iSK)pY=)BZSLFszl+CpxNPga;a`-k;mC zKSS%-(jUjMLYLSCJ=OVWN_)O%3-;s^GEKWhvjw}IMBqog@yq|(?-?yKIw8{-YjGq3 zms_wB*9oL5xGaglfqG;PE>F@M=iss=0*C66Ik?RA$Q}=J6bp~y8A~D%M!uJ@tnN=q z1TH)2B#DCLs6U{F+!BB{KKIkygf^u&OvS9ib0Y9OXwXW)KVkCOE>gK15U5PPY=@CY zdkCz0+W#gKflu_@&pAY%L*&;7o5`1b~&i}%mxhY|2A@9(OVV3sllG(LnBjJby~_u&jNnjK3wajth{x~# zSC{e>v~9hZ(ZxdzK5{0_|M-gjxB0r+q*O{qw*mV+72$1|#&#Bavs9wPj%&Ac1nX#w zM9S%|W@yj0bhf3h4_o>ZKla&+pA2Ff?dA1!vvu~OA-OO=EF=bx?s{#(b-|NUVrffV zFoqp$S7KE1isIpWW52iV>;aZtpyXDSCllPlL~+|S&1JO z-qaf_NJj*7YyQ)YIRzZy08$iXha{n<)p zLW3YlKp$|u<~6LYTH4`Cup2!7RRfw^Z|q-=!Dij^ig`5i>#$j~yk*^o0Ms^SR5tSo z@bi#N{2L`sTizz;tx4>h^p*!PwbZtJpU85bm8EXy9!Ln94=4{yIv}^h)IIXt1Ify# zkaux|W#DTMa<@0&+%)-A?8~&}+Y~RcFJJkMZ~ZU+XwrBx0@j-qH?p;S3dFn|SjJ&2 zd-KfgE2teQnz*3Ofq`ImFpAy~ln2cO_wdJsbN~ba2Hg)q(RePn?AX=FTKnF*8beSt zo(b;IVF3L^!L>D1hM;IX6SM{l2<{e!Dhxrv+;Q)48NE| zeKG+*5w@?wj8bn-P#Of;`NMo`I!RAE?Bo|Ax zrPsfa5`)-zZjcs&aUn$+{Ek+#xp~DG1c{jfPGN^$gtR0*Rm^WD*Z(8*oZ4?maCGC`kv)b|_uaXJtAo@_B8m z{pD#1XB9hC&MM9qCB-;C>NS@&%x2|g8AXT(kzkO6e)f5w{cg$RAv;K80 zyyV_@-M)98w7Y&qd(eVQ!T?N-y)GzG>@JDUY0!%>P2X$t8CBP+^ImVYaM#VP&YqiM zZni0Au7xXS)>Y7F&0V)}z!x>=*i60k;)AO*2UMOzz4Z@&^>6-y|M!=@;SKlR@^siY zj~v~KfZT#H)NnIxu7zW;7#TQN3kQX9V`{SnE1Vu|rfsY1RV{qmfw-P49yUD&4!V|p zjv%OF#tptLhL6;CcGS{E(6C5>$Pwl#b@)$?70kIQevW`3KHZc|y<#Gba6{&Q?_KUM z@_1T!K2`EXJn8V;;lxzfX(YL;I76e?O1|>bTTUx@w^f{>k!sqXk4JLskp$0i29fAh zoY9*eT*n!Nj^{XoS^O%_7=ez{(~PsJqYTN{pC4q{lX_c0#{R?C=Rj{80A+x@L30de23|l1{`whK1Yd8xdiQC zguK>xn2zJu8Dw-uCYU5c2_uRx;fU4ns=$SDygO5ZNXJgz=IHqj*;pk^bVBnFpQ8N3OA?^0sbi-o zi7ZwnIjZ8W!Z1vQv5W0Gq zB55w!sFbXcErIH34$l1W(soX#T zcO(tgb7R|hYAy+n=e8=Z*ur7}9XgR_)ejDV;OJQ~CN5B_>PPl#bir2A2CQO9@k0 zlN-F28x|(S7gX+^a2Sa%T0214?Ff<5(IFKk9q#W83Xx3rKNgQWw ztO9^jtc_WRom8J$hn-ZPS%+;gs_Cd!%sT9(R?Ir=q*knwOefW1Mem4M7p%?5a19bH z<|NYzg2lG08*{5=P13I^@F*-ym1K${{sEb|%5seAT8$@cEVXV-N#3}=UWxu6*q;?oF8>(dtssjmhh8v)w)AheXLaVL}gvB#D!Bz}to)iLy_L8o-dMFm0sG zTe6ZV%;9?*i5i-MZA#P#Cdr@ROKg>Iw+Mo&%?XN>FrtZyl%mzZp$W@BqMrU!@B6;L z_<_*Vir;SG?Us=zY8}0-^4dDkyMedMP&p{{9Z39JLF#GS?Wye&SQcvj-4>blW<~1h zBItwdFulgOGUCwG(=Lcv5oDyoitD9}9t|+MQacvGbw{uhX4gOmsnLlQsi$4gnvTHT zFK|h^xgkhB?Sk87$LXvP#Kb|>Y9T0kx<~?=gz_dOJAsnsB^63)*m|271Z@3!YyHS+ zO$pOoas^UduDL@xo}kleO5PL*>GqMy@}re0j7YGuBQneN<)+(X(-U)*7mc?g(-e zss9Q&OC)O*FO1X%q&Xo>hNSw#WMC8T+4)6bdy7UK>TBD9Y0D4!>p$}SKlgXv5_Ui* z@3ID0`#lYnPYw$d}}6MT@pDP)I&vQFRX+b2bXNN zOYQ)|5!(XoE=-Hnn)&X4I2}q|ej?1HtIl(>ewCyF^{0FgeK^3Gu6lAvxt3ic`=E;$ zbFgi^m;gH7JgSRsjJAOb<^N*6_>{jNIB;CT)#Mp&ZQVecLX&pv;Z+*_%X~>yY8+{X zQhgojkm?u!t(54O;$u?Bb!MNy{i@Un1$LG?7cRy)J|lv2O1*8}L_7m8G+g4>fNwcQ7)h1~O zAL-@lcm9i8?Q3p9ZYygpw@u#_41~NqE{RK$qr<%x3ZLpc#jQ5U-5TUQy!IVB;}iwu zztX7Mr`A-x+jptsyGVJ9O3i9lch324`z_Bd&RH!8)Y;Du(mZIiz zIgNV8a#l|r3SMRalSwt>f&t)0dZo_CDqV^Tmf;>l;7uwS7mQIH0IhJv4CKpM@G^>H zvjp>ZBbc+`WfTXJ$Aa|EE7nylL#e2a2}T>3elgyLtlA9zZ3_*CkrnQeDUOJ=oyT1jRA$7v-=@82)ygp!0Z zuZo}GrBKDoVSLT?RBFHAJ~xXUvg@_MtBRii{KQb;p3$k)erek%j|DPsw}bEc zY{`<#7PxG@iHL|0EikGeEr8@y5dqAxOnx zTTdOfXh))F2{xVHp(RW1D=vkp1|_yFylT-fv7%(jT@bxS;{T$)XBFKLm9DqI8Fcwo*tlsQnQ@?U%6g(C634HS6;`k_EVS>CWDPk>!Vy z3}5ifD_`=;c#@$&?T{>~Tnbf6?jxa<616Kfe~%>@bT|nF*DGX7bHrK0g)u3NDg@Ps zu_Ob)2Gab^Btr`a%-$w4$IOmOKc|2Wa!Md48R$nzrHlQXn(nphgetDQO*jNnNZ6N}?X5!c>}?v{0%r*dS^hxzEGusl*$PtDFd?9ZppwivAqY6s8{HaTWVQXY&;MEk)UXoUwK{kF`5r z``T+eq8_iUeWxuyqV=^7VE>G%HYHt-vY`z=J3k`wA=4C3L|p_!6b!1sIw1isu#S5*9(vN`lo@&tk(ZPduS*_)g|UO9`@cC$(Y`JTca)qXay`KDQBS9%Q~SCkhG*`iCJ3uy zOqoeC-ySMmq3lA*&#Iv=IjVg_Yeuat=}hb0EWV{`(zZK2G+f#h>elpb%WQZqd!lOf zVc0XzEdSS>O0!AHSpnxJOkkPO*^&bITL3$suYm3Gy)6YiZTTSu{JvlQC6}L-VsZh= zmzZ3($e;;*p`rG|n2G{MB{8`P?iR>Viy)BB>IjCQ#N;LjO-0^q1n;Yj0h2>eVsc** z@L&~OkX(eWWe7@4URJcC)OM|9p)4pdxe20m14qQ60S)j7TEBw2l$hKE_i(+%PewMt zib8(qQ7xp3qVEGHb#i_lF{=fBZghcc-js~0sL4V0%*}lc98HXJN)igj#*>7`&3(R( zv4``vbj-Bnhjh$$z3*p!{cjtivxs8)W@iA*2sW!A4sW9TNL?HYZ>l-w*jgEaYNkE*SrRroq_z4J|hd~V2n zghsD=Sk&!xxvVz-2gAkiqH& zc?zn*=v*s^q=zv*;1_6AWpIsG2H8smhlZrK;_1V9vB4ZIJm7FY(fz8)yCj*Q|G0LP zx^+#wy3KhiHqX|?_(F~vz|lASVe4N>+8q7&EU8ei5F^XlNLKeq`BbqcCKR?!0@}Uq zM;9_vzOop6U*DtYFU?i;(qJpSG}!XU{rF1*A%AK3@zhI$@2i)F+eezS>Vq_-ImH<&A3P({ zcqabO{Fk_ zbu>zgcgrA(^UXSzX`PDaKmnYgV`V#Z6jgR?>5t<&=@OghQVy+Bz1hcf2Iy|=v5l8o zWczC4nshhQmZ#RpY&z5&|J`r>)^Gd5H%9a+j3ZtwGWG<#wT6`fIMVuv>?^$IVzHg5 z1U)|r;2hwb5z}OX76P(Z1osb`BXhKf4R=A1noE8ba3rZkXF)MmCTNYU0C0kIfSzX- z6su%{z#G8Zg&P5D5C>F;Lz2;~R3U0PW?%-E_u8O(;uu?Mj!3>bp!=TgIXcJd5 z{#3(@DBMTqaNO^m*`D$X6 z9x=r0yIlcpFKB||_e019)tG+2meRjNOqJ~?Vjje7X$J^YKE7;+#q1DMCQ6Nwszm8EPPKeV{Z=pB<`fHUOv5-aj4b3xc4S`PwNU`HB3 z>a!wPA}p{o)rTH69vXWz{Gh6{mj-)VSw-0X-jD%tUNv}~grOg`xtmu1jTXBxP79-0K#W`R5-#qhoK07ibs*_#En1&X* zN_j2e)Y<$jSKf7;gQSB-CBTu{u)PpWZXzfsPjG8iaZa~1PBqZJsn&`WbDRUF28&7n z8c}3R2*z-A}2d>@xFm3rEHS+`S|Fp0A*zx9v zHCq+f{Ge(kr%iJr_GHGEYR0pnpuu4&4_yG;PPl&aia8Mr<)UNyz(OgZa2Bi*v072b ziiobYkY@*jyG1qQhsLCIW|f)R1&@RrAB6CYDw2HH`|?Dn&Dv53ZSXmr`By&V?)VlDT*=EJrg~C%Od&PmuR5luQEcxIqNqbR2J@i?GthwIbhsX3R+ zT~u-$p9zR6VXhVObo?e^UdO-s^LS`?iB0fIeh_7xzw_h2@8A2SfDS8iz-v{8BLFA> zP++Ra9l8m$RWwixlCd-Fjq$7?VX;`-l+F+oPs{{OmohB$=F<=qPs{|3iTR;B@_SPd+~6%JLOd}OJfJUDaK1=X%2epQqD1`lIpQe; zEJUkcaWPu5@RM9HJ&8z@9OI|)PTI$dvqZ0!7W2}Y8SiumE=H(TQTa(S-yO8?A`a%2 zqdT|8JJpaKMDRUY|_rS;7M9GdI2s7@=C&gVP@8xx5A`|eTA@wUVsap zr0t{^Py`R~6S(3KZ700|7d%PZNiU!XKB0_oqjtC-<)|@^EOXf7&O#dxb{@I`e%NOs z(p83nAhq3|C6d6({;AKRd@S4JQCKD5ZlCD9jbPaGf4#90)d0wqe9BM!TVKA=`h?>LHU_;r!ZlxiBv zXNWVe6&TrTcCKlzYHY(uAKD6ki$|7k%?hD7f8`H+*%$uAuP9I)O8Z?l8UD^Li2Tk%3TvB=lQrrUbMEMX$s~x$km&&`P;uC{ z26ShYI|2kplq^7jGQ}_-I_HkIsO3p`umOgzO$k-GqwYW!5TgBBpy8-iQte@7u=0}Y zzh?Zz4Hdt1;X6V6x!2$3V(@OvN$PXdphV0`4c$yF%wCQWP0&kH&oRK=#Q@W8QLC)y z7~p1NfM525|L8}5N5lYnGg^apvIde39tb_y$xq9;@4?%%!85LV*s=A#SK7!15A69m z@kIOYYs;7o-mcqdCqD!QDSFJ{ovhPjgLkq{lZz$B3O!t>sfr~h3pG`-m>Q!MDm1%QUdE^eZ;W=z?S;qh)?3Z*U{oPrMH83HoG!EI zPInw(vOO01aEDkYuNk1PxS zDgPJW#3wzAA;^y&s_vil?%BNRz=30V`9z77-f^Ytf9KlD+mL~IOjE`uXijQdf8XYq z>pd@h{;SWu>-mety%(SRg7;i_rx`Zx$5$_3z3UzCjxN`iA7J~rcfLC$@ZDi?PsMzR z0jU=#HQ^RLLV|3Lxo#%r`mLY${ty4IKwlh*xs*;E05t?vwX?1`Soi9!jgLq+P{CGS zy*dak2v{)bUlE+aRGmyRy32v-3Wk-iHJT)2;jaP1hmA`M!JK47zaN<{B|;K2nPZeH z=0Y2Q0A@i6CyiQJFvnbFs08|>jS3_VUBz6%cn;@8krGLg4uWm^ggOMJh?5kjktB(} za7m*Vq2$)sb6j%NnA60m!3=}9>-s1?@8be2o zswxC?ly4(O5RotX(^|xcd-M#zC@eDjV-5t*H*t23@(-Z1)7X6v>aSLkM%>qgyQGwH z$cy$Tp60uwO`gmgEJ*^}9KE_dOW;c-$FSNVucA?#ma-5hc?8@vu1J%M9=P0*|EoGO~_+#S18H z-E}PgqEh%E8E<9}L6bC7GBL|ZnV98*b%5{wk{93q52D>wZ$?`OIG`j5!v@1Y zFcm%9pdfNbNwm%%*L8rBwFk57UU^>ZIcVUOh+MS~4Xi#r!=F8b-LgX(K+GTDNsal- z9)iJ`U?*3c@le~z>>*&_5XnI)2#2Q;%pO9F7DG2iD_D65WwaPPBx^$P%O177#bBvP zG*esAQ)=&m*s^Tu)KK>9Y!>0?VqNXS9mnFHKDU@WH|$I6Y=8%~aV*y|`3$C3gVWNRNKp*~@Gs($x}&$JTMa6kXMzyIS?8`-di zvj$NFBB*q>iYsflLx&2T#z2S%8l{k(?9iELkZoQ3BQen42JE;_P_(3N1;`yG(O!`? zYq&yq#k!=TC1b^`;mW*Sbejo{K`u*X4HsH?(aDMtF&HhEpJb@v#RBcVwRRQoh)ZrT zpj+tG4lpjgs$_aZkz58xuqfg!`o!8b^W6#EzTE6v-QH9>UAbFwG_Gh=6RQHgn;1xs zg}kV1>r-0VW&H24sK&qN-yxa!H%g>ZXPYmbOP#k;>bx`UJ3~nC4nk^r?@S9eXF_i# z6Z+Ag`lV0*U_eOqX0&lm!8^fsI*oVgmgM7yPlopM7RHplfXIZd`=gt(7qHo3%!H;) z`=FyL&Oz=FDN~@67JFj^vnLCYQ}zPLi>bNQ1JI<6)y!Uifw!14^+5o!V)g$Y;%?s-f6oa(K96Jceh?mg?BPo zDlZ6Kd{{HPHI)~DIxa@Q5<}JP7i}0S{0jC<01C*^PRO^oUk+3ROOq(`Ffj-uk4kcnL4X@bk)S8vYi4rzSn6}qR$l1rPm(u z9DdzI9@%Uzg&hCR9E{r!@Ba9kpZT6u;8cbcyS3D^a@CL8asY8aN(iM93ihM6O0j## z@lY_96}$0{2<#M5OdSwq#lG3^bjAyipG{S!R_qu^1Pm#a)_&VlT3NC0yACXrqTN<9 zZaQn>O0g54D#~rSmsv+4II7$jA*k*Mq)4UM(#E(}%aO^k=%nZ{7q)*e5~MOBB@TQA zVj~y!zw+(T|DjYr?#VeRWF=6gD+4xQfIxqiQ$&nlbBd@1Q}0l{^sUka zgyK#+fN{QXI^u$%NN|3W$&`;1Zg~75U3Ee^1;+WNmx-Q1nC~RAlQ*P zcmnhQ>rR^g@~Chc&m!2dfm{R^(!`zxX*^vJg(U2pYM2RE;m`)h98p(Wj5WirOp!`H@a~pikQ6sMw zHZqq7lQ+MDs(bS=*I+;1Twc&G99bfC@vIS$V$9X;Z=+H~OR?yB6%z z9@~*j8mm%yh|OJP(saEn;Qwaoi#vmjE3Z(q?zd%g^^J_(>mk!+iy8!~4vS zQ1NBo7av@`2P@lUaZW`3_<8S|l-}%l+b}E6HCp(SKEHP*9fpmb4 z2_i+~FgIq%El4H>_6f#C>)p0BVE^U)H^E@eT%qvTtVm7ZhYse_bcwCFIY@wy;2CDSy=t0uUvY<)P8rS$<{2bh z>z_x=dXdyQ5?B%$+4k6C)?E^Wl}w8wPDEhNnsB}xNp`xVRgaN1z+hVKm$9$#n@h6O zCFw!Ip~01-Yqge~Y}S)0Pd)Q$8_(gxOzRB6H;1Ba^(^kRUlaBU|MzST=4ZQ3imV*W zKZ0FHvqyT_5zOP)-KJn3R{su}GN)!B?R^SeUfBVk>)Bc?0!%*eH~;BB_}LFDbX-R= zkOe~5OR=f8^+H2H=msG1yelra^Fk1?bZGCc6N|h7E{Kqbd?&cSp!jWp7C8fnDR$QV zy;!W<6;qBGvD2D-Cj`2$EiAKxK|AFPBp`cx38H{W@1a3*26DStkO>Uuh%lx-$GWt1 zSd;LXPwS?4l2vJm-}pC5A>nDd5y?2^3HHCVIt)AQHe-1B zj@U@x#*l*UmF-eSoukWvbfkSk`L4}>=VIK&2G5^XHnDnLV#CqX6MeD6v#-wpWqPT13%buGciSA4 za%I7xpEjjS^fodo5j6PpW# zck@8R6e=PM!n>&H?JGD`q9^3#U4o(k88Z9ZKcwbZOcK66y?#o9YowAK^^epEk1~gD zN2iT$2Ue>@3GQQ;e+b7~_dz~5ue&fVc({53lD!QxsQ?l0jF&8LCZc#GV`Z4b- zj!#(a$5q_;&G&!fGd~d>t9mn9!^@$C3PpgqXe~=^csbsK7J9qvnaON;Pfl{ph8L)8 zfFHObkj<^B%7*vk$k%LmZPK6`zt%a(hWCIHfPj=?`-}o2EOa)!MmL2OJJ4uVMiQwO z382iJqT>qVq+w1Guv!WumaGgfnJjQ{mS9$vk95gV!%Mp<_VLP$FY;|-LgR~=JgtIb zbWffl zpr>`R!UK~pOO-%#p`TunQgP_4@XCrilq|Fw5Q16Z;aU$kN9ZvO5^42VrSO2Q*7%|0 zIgSZRy&WPo~Eo5%FI-l zJ8Nx`09~MShyD$A=wG71kEFCF_BQuyypcbJ9B)*<-A-XsrPDr9|IBg4befept~g@M z`k~)zA+C7q@A{!%`pL1WS|^8P(abve>UM|DPL@HU3X4VDK zq)A{mnQ_~(C6jETSdwC{)Zp1ft>#~|88pM_Rl-tqbeW-oW7w?tG{x3Uk*QbgcL$ZC zIqcy)R;#LMVz@|E6O-*If{6o`HrWdlH8Dh)=roEl)&!4RES^2RN0gL1=d;Coj23U& zEoy_JIg@rXoCvRe@Fu}J^f*TAg4&%H51Azc*4kOYG}7YvIR=jr za(GOT<%WM+JQpmSLz_hh1Y0dgi&q2-<~Z-DzX&(R$3GHiSlP4z4^T6aU6zpN>D{_F#V;2OVthFmncG8C;gz3f!$~<(Sy&5oel9^s z`otyE{Bm?|H&-J-B$s4|x2BD@NhEa>2vpS#*^Y7sx^BRzAN!-7Z=}VH&r{l8Xj0PtsQ;hC9*KrD z)KAj>XmLBIV{OSdJ5EP`o@h~8r57K~-uQJvRwTa1wztVt)?qH?G$VjMC_vGsDMzYp zZHjI#<=jju=M%o=x!?b(V`Ep@|<45@xOB==VmK8h7vp<7hw#Z5n_#vwfBh*USs|Cpo7+}QYyX0iEtFdTw z8R>RE6s9rj*}$-M@=0y<5Yw5i>W0_0ZhKIz8`}0S4DX!DJTGLI+)oO5?+&t&25`43Xx5II3?X+oL6AFU=kfE=T4CZUTAyvN4HrgC!xk z2a2dUcAah4&N{XwtfdH=e<0zE^EEez9U0Xj30C)d%~A7R!rIgz+?wuUuJwyLX4Zep z8SEmSqg*r9SBc&H>dC+eVcbVfBI}6Tl!We$4{6fe^Z_cKElKMpp0Xy*`^YnF+pIZK zzh`C5alYG}wMN#w;@J`+vUL6pF_};cCKE0Ww3~3W)TNhPY&M3mxXr%AZ+R_Za(mLx zHR2O|1X>k;IY*Xn4G>X~|MQdQB z1<2Ft+w}M=i5WGk`8Wp@A60gnW%WEze6$Zi+9ZcP`afLvG}l8r#py7jm02vz#XHVS zsOTPr95kyzK3}2tv{^V6{}$(|QRTx-`wM+!vOCK49CVGcosPd{JuvN$=;)l{rEs*y za%!}a8cz-r)cCE8zO#2?MD5P`(&>KxjdxB@yG5;KoQsb)Q+)h4pZTOu{>BK+G)_LA zFYOjK-p~SS7_i}d=@{~}#-u`d}(1a z!R(B#O|0g8X<;%!yanc}`eLelsZForXRp5dLl$Rigkc6WFMZJU|1HmGQ-$0@<&{*{jO;ue4w_B#$poReP zROJ#YQdPSk2KnM*DTp$hB2Pk)s@es2-iZeQr!^9Upr~q>JSL}N7_dua>5`Kb zTcfyYBB_XD>TFjNpbUpTuD(RrG@9csdt)XZfsS97o;+i3ZiYKA!V^QDA|&ypJVLrQ zNW`Ag+tOgumLJk!U-{MF|9k(Va5fbw;dKDRO*|Dtbl@VX2D7hBgSjBe088Ygk(gX| z&5RgD-Me6n@di3fHCU`j4d#LXk)bfoL=6W0@X(oyfEug_Vt|LH*q0nhRtr*txnN}N zF+@jGKXGVkFc-9QBrFZpc~LhZNDWp5L8~XK4p%J`-7?6oLb_o98%c+-BvSTm*ReR8 zzGlJW!J8BGT)u93MqM%hc}P3)r>rFT_`2k#Ao4(|%8EgCo-{Bni3*7!@-Q&9mK@cz z)Q&wZt6p3K#tThZIgTppRHHE+B@-2?%o7LFbC|q)lE4ZeVUy>Bzy&%zXS`P^K=K?J zs2GSLgKlz$in-EISUq_CxrKs9h1X*|8J@rx$O3qMHJE)Q!-XF#UydZK5_yq~i#gwe zvA zxt0n+cyT)I%yz?6$0ZL+8Zk1h6`0fJH!Yq{NnOx-oFTY`XtQEQH0^g7q9A4u><$|8#Gz?PeZ|wI_Npk4 zNlfAw43^rfqP%uL@hq1DGmc_6O6|FSc(+8|Q%(~BR}T`9@6PG+eRX?|m+wa{ei-%u zIH#CpoN~2iGk-IZ$vmf2z3q6%*j_z8s`z`%0^I+4l*VX!J+^ z=a2u_|7a9tw7TOVwbgn6uU!)43sxdDr?%B@$@%)+4)Fd-N^`e{m6edu)_~cjrSTO{ z4l1G}Py{jgM+4x)3iE~PnlBvz7u>9XIb#64$Jp<>ko)#$=m-?SEfR|oDQ^K%YgWwl zbDQCfNEuaejpl8Qdy}kTvVJyENDb0ZV$ZD(|2Fm}e>L!RI?7Ppx0t+;yV{=74HPHC zW1lv8Gx7LM6OX4ppG2z#u$dt93TC+8oKVT7GV>SWT-!Kdd1}gu%eMg@3&p?Y%RlZ% zzb=9kjTBucdBO`F`kVsKLNSMK=K5xn=0lYh8ie^k&N;6It2AFJ6nBK>)`C@a}IINA-+CHS6}#-|G}^PoIpc>_zrf~bBMZyWEq@on7}1i$$fH=ePw6}7c4!D zyM1TWf*~lOgRGCTyZ11H1i(6gLQp~n7d-6oJr9jp;F=)|K?xm9kfXxIp6@}vqshh) zl+eLfT<^(}fL^!-`&@_~2tf%QOc3=%`W&1`is6WjAkDPxMoS9EfP$-q@$kipVy0d4 z0G~tn6u{0ZEz{uZj$30rfm?qxlAve#EAw z(vMO-S_~6XK}z`vsQ|jV3K~GK;GFQH*SrHb>76$3;Cy%Kf(f+%lqW$mB}^-{7^*nJ)iOif7L&Y z`EZ(s@QBD08`gl1EX`tLcqz|U)LjlSPI?0fg!u6xD0juuInio>=eF%2sIxQ+5UnsW zK*M93Q^{ExpzNt?DX1DJL-=!+2C?nZ$X?dcsh$OMmS($H87mCzOMNk;nn~aGgi?@f z2la&Nh~r^Kq(#auu3lpIy8^k!$?({7jwWdhDA83?Le#qFC{eM%X*o&4xDvXA)xFkm zID6Sf^uSz{c#K7fX}73V)iXNbX3zf<98)f3GVtaYz93d??5Phy6vJA32TkA7VI%oBBnB)~m)2ya&Nh*7B*>4} zd<}-A#_UUzyi0;ANLvdI9ofwD(MPR$gsu&SFTSvW9cQHKo>;r?6}a{?hVj14}7ygYu{ZkRj zC?3&OuOo>uhLzYQlA0-9MMnK*DDNJ{6sQ3Lt(m!J8s640)mv5!>PU1LHG)*VE?CMg zAYYaysJtTYlMC+GfI%_bLWiZWFK0ojUKiYSD@6%xzzwNI68j40NYz^eff0cA19c>I zYjciNy)FotmJUbQY?dpAGWpP=dR-FJWGShfWTnByI2R%9pQPX z>VXBi#a`!P-FA84gaVF^Rm$dEG0Vj|GpFb=LI9_+M3nz%@@k_%}+VLZX{!{|iq}d?dRG7v*+sJAhB%Bjx*=IovJ)2V!83X9`Z8Cc4v& zP0#ZMxV_nZh{qK8-uA&)#%aB=7h6yPtK@#sL-Z@I!X!&Z0xf{OCtBGPT(S(v+@X1| zy`uC?Ul`Vh&-6~XRH|!F5A{V!$)gw12w&pbm8rsxZsa2fnwB6MY>uw1tA6cE(B{b- z1)#0g1ANVC5A{`fsISUHjeoO;8vj;4`9qC=JL93oTJ1y4e=@Qstkr+0`H#2wP`{&v z+?J>Mvd35pK9SR)6`|M&SPvbzbLLyFKsZtnfFSy<3e*sQLQW_dZbwDBTV8a?65+|h zGuqvI8%AI^uVx8x!c87~~ zb^Yt+`ei%qkMqq;@$2QqnzcC;-Ecn^_nux0iY_i#wqt+%VEJ-{89oSHI{CE<-T>dO zp=RXG-`u;zx9gl%Str|l?-E-@7- zxi*(t``XIOQv_d_C|4HjyLr0w)$_FU^8f6-gPYtt#<5+?SX0I!?7_SD;&Wf{9xP(# zhOPUlTJqp3fA`=jf5-j&k_L7wUsZeYLRM||n(rB`3NdhkxU9=Wt~Nk=i`3!FQC z1G#|7cZF%FDR*U9uAK1DPs?4b8@Lr4r=2_Bn$cuceluHDR$ zgNf$?P#pk{9 zN=O|wnqe}JTi~$2wQn5)~`Ho)tL_OTRagne`Vj34#{z+*@ZX(w+m%}U_|=7H(Z_L%l2_tB$&oYd-=gG}=tmfv zxJ9S@LVoAJFUTC?2Hcx+i_U>>y!dzj%CAc`MD0>=2gCb=Qlr&UENFD-82aB@c}m3( z`3ga0WI+iFOfWTCDgR#=)KC_#w{rba8I7GdSHpd=K1MJ1GCqg5xZ z3|UYjLKC!+0Bp395V%@VLT4A$femc5+E|@4HANxFC@opI7*2r)t`xOa!XfjqHKFL2 zvnN)Q0q+8J+g4HnN0S7}BU{lRdDx-S+pH-eqDk7_K@7UktXCX;)ES7{-W@brg{M%+ z7kT{rpE?O>v`VthJ)u4Z8m-DnKis`28;vv4Y*IWWCI>u#8M`r-v#N6ruc1b(+2r3{ zZ}qfZINHqFp|P3Bp#?CV#hIb=HL42`y6= zJYw>1s<+xnmeGtXBue?vmC1+eAVnNla^sK;1D#F&0oWRA!gknD2v#QF#}=Xq+c+dc zP;v^kiP9<%NMfFsq+70k$lBzCr$xvi58!81%u-r2n|#z(2~~w5???*WteH*zMl%{n z8!!9rla4iV=KzdV_>HjU5~Pmpn%N}-zds57;lwPL(z%&`b}fmy6SGERKChigpL)$n z4oBE_)Qr-Gr5jKxQd2*Wc2NJ|jv-5xQhMo zo!wPQqDMlHno?DRfIz!LQ#8PI+NgoB^ZRtss&)Vrahdi934PU?4uSgk+>ROs(g4+% zNHk-gRRcrbATOssPbp1c6MF6Ztb)Ck5zGyStUZ`gm1RE>5l>sbHIGaef7+k>VSZ-1sr7XyhY>{cWd zsZ*vvCNP?dliVwtFMd(LWAbI6uijK))yx&t2cj$Z!T;-Pww?$@Rm=KmOf19tHO-dn%@;t{t5H&Zp-C$!I(x}wn{$5^U3-ieARFI^v?>`6ulX(r7eY7OglN*MjrLnk+!!|ZylQJ zl`U;C$wU2d+0sV6^|0(2&}?brDa0~R zTR>h_Ar^y@I}Nd97HkU95Mos@IpF^45Uq}>2s6w)I1Jg&ff))oHAQ?i4-S4UfDj#* z_LipwW9U!yr9u>ow^MIU2)7ub`OAB*uQOqJ8sCY_w|1J*+@Jp9%YW-DBT=VUeSI5K zz&`^$*p(7H)q`E>FjV=VZ*cR$3f4wSl?ppGjWTPn*NBZl-b-Rm%*hqfm(ZSuT`zjJ zK#ztRyDJ-0phrV?E8m_Ic5AZkRy7)p*t%_KY+$jA5|$6DD<`UM6+Rc>613r?4O%zG-5?wdgb*60x=!cXC zHcNJE)9nbs%KtCJhe6EW%S2ZGKdu46iR1(KjZK=Y9gDVcwMbo}pC_|67ByJ*eYiP} zK~U+Tgq$9B80WyH^M9fIhCWg9G`gW{2n_}8kUUqd7lr5A14JVCR5hqbbdnlOWIJ3v zc)B$WEi`iFIcmB-9gxvu?9XBZVz1JmL6Bh8pwe@->074#alUyZFg($-iX##v#&Y`e zL~%j<`tV$(|H7Z|OZEC)KThx^pKMok{qBGH%RhEZ9iG&3SskV<2RgzV<(1VT6b-^x zdY}g+8ydl^4iOJSXHmHsdU4_>81@cW@G!s|h^Uwu8Jij%6Pr!-Zk z4GkpHZ_f26c@W*x6sfAl;R;7qi{=@rwDOr|TZo z4NWRi-e3J@03;G`w|ttS5Ol4_?WxVv(9ZD_#dan?tZG}vdUw^-X}74&($3Y?o2jP$#P@#N_k40p3RM~?&vY9p)KmL134Fg0 z(vF}&Q`_i*1>m#+!Hwn>>?^}96~QtQdxa_+ELmQWw$TM+Aa=J9vz!HK8(lEwVZ)>; zGBXZM+vtMG&R`)1BwMXh#)>y#@`}%!ZQ7%lJF|OtFz?zkwEp+r<>3N}p@{PF5+aHZ z8U#`AIm^)GF@Tw@XcHuCujO-AphwUtS%Q2#@tRUrg4@Zwv~8j?tS0X-K2qAB+i8EC zZ>Eg^q5#)GD8Ck2lDvOYk83cFb&f=ToJdL_jc~XI9>^Zsb1m2e11UAKY0J0Tmo>6q z|DhlFh?m2w)`fbSgD;sHTZ5qC7xFasMixO?t(Sr^3m+84V%-gmMbQz0q-P5UrMuVwJ$|^u2 zyh?>&Mpe?0b0!wZU<|%^cD0tFr`G~O+OvGF(wp7(yK~#8-J;fT%x?S5xa}YQv0wj= z?;c~P0rCMoN@Na04!+HQS6P3|frH6FwREjBHFIq()jv{Wke3D)f2}Nv|iS#=dYghi~3w8tguM2z=pf6B~d&(&u3EV}|TaF|tR^rN;&S7cQLm zD0Uw%Kn>1%3!VoV`vBPTq*zsfY*x{V~vE#=_ ze(X*#I=1y|O%5hEO`we_(rhUpS+K4`Q=vSpcgUe3yWjGlS7J*m3Phb;8h)W{M`%fC zW_A-Kt+X*dbqkk5O`S9-|}}AfcDi@pojH%*Ur?$md@N z^Z3E?>FDEC++2ai7O(d$PYWHTzy6olDrs(#G=kg`)2k)T&Aj%4T$Rx$L}V?#sHSMtT8o-;DQIuD#GCzAkVA(7>C6Uk>@1?fI;UA_OIj6;KXZ%5 z`si!%oj@0NbB;;1a<;is<1EnBUOXBqD^7B2l6ccJfj9h zU5HaH1z}ol(vo-!B>fRRhpo42JK`!1e1-3><+%70i5HtZLhwKe1Qicr1z?i6Kj{N; zLXtk{500C9eyz&H+px8gdSS+`sxs zU;dv=Xi~9xx@?405K35)6h6UZ?mAdH*v_#g70=Xz3#B)BH`~r2G1x77({C%=xdFgg zvkILQ33B?OvYpF?4i5m9P}mMKY&qLba<6K~BpM;xtU}HK1TDgHixKwOcGkfyIQyBQ zr-5H8^GiO-9NeOm(Q&p1gT}Q@>588`2esQ#;z~Lsc?c5Fx`*(3 zYf|~D@BYq@`HpB(*JQcJHD0Mh>T7Co6rQ~nN4GNdJJ%EW^81SDAJj>CTkOExQWm6c zc0tRgBF^kq%eqLYsO%!R0w<0rvn|I4)hqHAyC7WOC6qWv?}3Z65**b#)CJ-0*J;#N z+vGq%_KE+|K%$*X5>rVWupEcF)rlk?b@X-146CSeR|2ERp%YDcXp%Y;^LSwub98Zc z(S>Q2Il5>@ns`?2cIm1A(tAGPO+P=@S5z`{qckfeGxu0&R+{wK+n=eTi*An&Q2OmK zp7gPgMHirZCJiG6VI3R699>|nH^1KeCtE}aq3M4}U3(b~8wQ*4u?KO1(2 z^F$X!o9E1>Gq@lJ(%VpytOogtMm>k|{H4Y+4PT}1mO)GQFP?}DpbJg>Ya9!Pi`+WqRW%Oy6U3sQD7z2hk0q;GFMyE!3w%5>Yr4iU($P0T78sNeMk218<;W82!q$fXE^PZvsnf|x`u zO2EDX%7A*M2trv2$Y8f#3hQw`v=kAowFz;E&H~?}GyPPvl%d;Yc%^Y@#-Zto`k{#v zl~`zdSoPYk_)NGUy0ZvoMOT&diczD*it51HNg78n=f45A-dwh1m3+<3n$udj<`%zD zD@ncYl9*or;!aB9C#Zk($@)}vUeJ_8$W-PQR3@Kt)K;RsMn=`E0}T4` zf&wmhbZCKxW&^5o?j=1B86oaLQl0XyhUygDL=>|p=r1Y$*t0z1`%|>ysh_aCsK;r` z52?rB`QER-|I%2&x=;WPjaMf$_)dj9}_>-28AFkuM2L`PbH9djJCJ&sUb*b#|3w*%}P=uTUdQH(IH67TLd>S z`x5Fb&?YP9F9ey8a=|ieWV?qxX#{C`U9eDZ0a|7zI##6RErRP6jwnF{;*DlSvAiyc zb6g2Zd52_};s#<#vAiLZ699NH?8h~F85D>F0o6Sm7!uFM^$3_qxtOurs>kLND z+w3vY1IY#|T%D_!Qyn>Pvs-ja!Ow79q$=Dvbk5sgtQ9iLlD;5)SAA#!6B{k^0` zM4n@fK(q|`l9xyFUpIr>SesiLgk)RcGe7hnJI3n&HzmY zM`uFQN+DJ=Z%#AK;nO=DDj^9=!VCrK|gb8`U0#4~ogkrrAcU zM61T)Q6iT)HmP6H$@w&7a6Qx*)%S?Nm6IofRB_Dn|$2 zIGAf58kV~`$2vy`ie-r@(Df;kH*$Wk%F%5X3orC?bl6#i-r{JN4{A^?KL=Kdu+*JZ zYO~L;(kS9K-g+_1+`TOE1Y*WeuwUj5wwaki#&0Fqm~1CY%$GQAir4Cf8J0M`{UI}tS~zGzy9Vo|KTqi!=rArD;tbCB;k>F-Y{4e z9XQq#!(55O2U!nBBB*i*LH^DzxLb0BEtD*l7HZpLcvLj?Gl{@MyGo1|sS;HQXv*{(2Rs$G*N%hyD)opjHL2Z82c&Z=6ox6-OmsN#;Am z1_gytp~Td3zzHJ5j}AV0#*RX~Bn_a|n)x1COvv-xN!ltTM?*a|1(9MZ*5E4AOCmFk z0!c+jGfXP>olm(y`Zw)}|6xOO3Z+VX9nLyPp!-}}8E^}}NpDRoG6!Z#DIb4wprIwU~V(P*7Y z<-zThu4<+BsMS-}c$>ox>}jI$wgMZ?hprSJviYXQsG;i>v%W)l0F?%{3JrzZsBWSz zO6jI$-Z-N}!kt|I+c?AN2zSD>?C_hpL*n*`C*Sh4B&>>>!4^8-i<*JofP%r$w&+GoyuX@89ko2V&prKovgtBOcas9H_ew+eSK;vb=D49*b zZUrNglPt7vo?D7}wlIiVJ&6QQm4qrI1UI0=YF5lisFEnd92aR)&WFxPs7Pxq*1c}# zoP;WAt;2zYVfDq(11lE;5^0G#$PU{IR!Jx*FpxgOwxTLI~juvxQ_fI&bG&o(Xz!X1v-9J&%YKsmWnRFJCWWGO}l2$|SNUwV% z-=IjVS(Y$v(5PRbG0**nUQjQzV!~!;-U)rOBYD;6u1o{9Tc@vm1Q zdldo?duOA-zwc75C&|8W{Ej5|C2cgX39f1^~Qh;9N1qA>A%79gf}8HhIwD1v(0haSwiVs~m(*ty~d?VauZr|{N3ER6t~+lUt#g8dhn|9Fcp@(C8f z4kUCrrQU&54i+Rhk$R+dV2#Txex%K-So}KL!<-M`b|e7ELI;Ik2=&Q|SLGuhQ14&o zBi5jX!;2dG@5vpPP8b5!{<0m%U*ml9*ga6ufod-A-z0FbLv{XjN`1Y)Sm*S}dV8_1 z(mQLu+3Dw4<9v7N{MX&Zy6OanK)XXe{s!j@{aUVny}Q`p`?z5csP>obxc<%RVv`B} z*N2Nub^Q>i_ph&igY(Vzf3pOeXLWr3KE>aSHc@$JS-5*yG4 zZosciF)#RsN3q`QZk3 zD_>Q6@kAxEja6T|dg;}dt{%Kou17AdT+-1Pc!6`rZy*;i`K~axR-BXGFE-4)7{6>e z;h~?FOY^Mlp6D%#ef_sMwkxlr?8+;9&EFN~Ex-8_|JPsm#L>LvQZTVBkm)eFoIIpX zu-F`an^V9HOxDSH%Ys*iqi4aDr*yOd$X7fZJQKQI^irl(-g4!GG%)yBy`osL%3JPs zjICHnQ|B#T3>CH?8Uv5X6$8OlO94}ly+BtyLQc(uN)KmVQQ|sZaI z(%QMCb*7`{hRR=?hLyO^B@aPq17LN#X1+VnjMWc=*JwWx-JO*?p(f&?s z_|!FucUb&Lc!nN$`CkIPGcBNrHWuVzVpEp5|4B-!+Lf4yr?CG!hp#Wy< z&)|tbe}iMf8W01mPO4Rs10~j;Wb+^P?ax#isQ@JaYSB@Fam#okqs>ZAU!iccsu+6sI;CUhL_<_7YwRH1;A_8 zUQGqPNSC9zlS*aN@%Nj9`X3SuRPG=WXfIq6fkhI>l>7mvsy29+Ns3t1ld83*#HV`D z&~6i$n01jMxOt-t(;|lb-G_&j5&(O#iyqI-q|yL}Nvqr{u{g z&J+Ha6lb*x8#&jzooC;%b{{7U1$f?g0bzqq`-4xp%0x&uEnTo|hr$)|>9on$HsU?} zT7X^LnMyqx-$ZnCJXnfQsiuY=4l+v2Xn~49Quit%;^BQu(5N9}1W_kr$K&zg%UADu z$GaoueE9*kpL^%KBe>L{R>eGa8j$LTfAufnAswSLS5a~D)rDu#iYMWYZcz##^&@=y zskewbSOlpb^S+=y%i(<$jLUca``#``+An_2FMQQo0%)$yTi$bJtz1a|Em7$~RD>dt z(XrT9hN^Ty{3UtkcuVST*I5_WKm#dFyRUdylX#hjMgwWvnn@kuf~f2vEl-QRRh(vY zW-1wk3s#+`S{$&ia4|IPMG!VsLcAR^>g}Ov+FcOlnrz9riz`-?SkNVratEZ3l2C1$ za!-AgRZr~~c)3+eF071dUzs{}L13D}l}vRCou=jc z5R`(VuqlUh)i&gI6=>G zc{tg^is~|(Vxgch4W>Ous#9AZ<7L)p?4|149|UBW`*B5`y5t6pyD$#$v++SyU!0^w zN=0(D(#yg49h)PVB&B5H*MmB=tcm_&n+@QSqw$YwDX(8fGOALPs&FC<((Rk09-|u7 z6WP8P?LeGs7N>EAuVl-0uKx{5=(-iO=CoJ3P_&DEOPGg#_DyIqB zAV)oQIqh{uJ@#MyruTo*cYnwjqReMyjWO~9My8o{IAFcDJ*r1TC4knW<9KX@qu>^4 z(Gz?kT@WO<1VSSN4~AOJ=Ga%z%v6s>5bZ^xqtL@6$dTYkvR`;9;R zzJKK_K5Rsfp)Sf2A(LwRo_gZ*FW^8dd$dMkr!TU#4pNPC+o4p0Z}jyeb}SNP&~|7G3&8X$iu_Zqu0)Q zOdk(X%IwpwA#JrDTf)B+9g2Ey4d)wm$*7xZ)url)I8ghP8?OP$^r$s}WQ2iUi7O>5 z#sBzHh8HWF$?r$)mct67N0B=yKt3M6?FaPe__KijyMe_9spEk95Eyp z>}^$$W_<^+W_p$ZCR*v_D8vQQfSV0t#t~m&p10XCbgj1FN67};19q`n!qmyL#}}l1 zQqtoLDAWQZ1AL~yG~7GS^gIJ<#BvRv=fl27GAd4{A01d%4IQBEq;IskqVz;xSicpH zz5;}yI?wAqr@%1Ua|(=MCI~3cX%Fx?@F>ZX2f_TDax*=r3D;=SSGWG0p1?I~xjO9W z+^2b7pQcVjj^7VRJU;6IGX!w7a$tJXjhDoU<{kK+B?sV!ryFyma1P`ELj_JW9UlVK z@nt(45Wqz7+NTRXoQEsL50?Eg4f`Blc&WdZZ(=$!rQQBrVk@`35~2#=yW|r%9eG8F zX*fSzY@L}ID1l4!)tiG&M$s|bRW$tx6y31gNfaG-DRnB{n6Zs7U%c?r%g?{(`IiGu zSboHuft+?%=w@gnrh%ZeBeD!wc*em!spRcsMd$Rfdj zYoS=p*baI!E(p{mn@FHy;3hM#rjoZmfL592XQQ3z=-Rg z9_$NrEwY~ou_+T+xN!%+`wSA&la20#1^O0o0OyVh{6+fCci4 zfJ0R;0=FOKMK~2($Uu*9713z7s$5;^(Mzr_;hhzh7Svi*&y#>d>m9N)i4Hm7LDK=j z!BQpHq=hc+FWceU_L{ulE1!1e`52}BalW}{iNOJa)D#RzEisB5($y3D3&l-r_Cf_* z&dC-?ts}NTyV|abEf6+AO%&+y<;jE1u|+`Jw6mvx zuKMj(<*N#+HTO;R`ELvAjiDkpK1lkezV1K%`)~W~s9q{8;M(AhlL!k@$c9Kb37nv& zagf8l>o}Z%=(2r}@m$h3wjw7>s33?@Tik@GBL_pAf@0A7@*jGD}cx(CJMn^T#Rz%5@nl4FqL93LvCsK4Ad4|*hN)b zw60Y6gUglVg7OcU%Vnq(SqXHrP%58XE6wFHK#wsu*2~FIbW-gbR-$!qJMcrNh z=(${mrMw7=&ZYW_CTmz#fq_fY?WGk$TM|B1k=_OAB~aa`goSl>q{@v{<)vXQ+-mI5 zq48;oOf8-AAOpI$MQR8FEd@i8e@M!x2QfqV2zNfBCrKI9J5=ueqe9FOy=96Dmd2{+ zWu&nN(OcaK3NS_$y^JuJO6DeM-zr?WB;d~D6PXT?-fPr8(znwIKkePWEzLY_`612x zp1=I!Cw|i#OLBPwmAQDLdik0W?7{hq3&PLn95PnXM2M2hatfNP!`PuJ3ftWj;r_!G36v z9hso#%3?)v08J45$4si>L0vAuy;NT{HM8yZ6c8LrnT#Zy^=ih7qM2RN#_e#)HFl|& zR42d>jtO6)Z}&@dvA2>^U2{ngkxAK~-6EPLOh>Qo&hkLOix^lVmQIrS_LyeIdBVbK zmM}@?`?JN3mafwM%5#)SGT$KN(>aj2ru9wm)7E^g(hT?i++KN(2% zyn|$JVi1|G2t!~Wa%ZLd6Www9@JdNWs_-!CPlboNIKvYSs;KYt?x( z6#V{5OMv<3sRGFY;O9^X`h6ie$qo@;9-EKlV>arxFx5byI#-~P4l z{n!Y}hIQPw>yYM#FOSrfOahBnQ$sRWh9qf5TMs#^*FCInrQ=*{?tyczvoXqL<|`Jh ziHXXh_i9zXpin3C)(ZO4+xeoab!W2Whr!4ukG)jD=~b5$oBS zw>b>DnK0;o|9fBe_RkLXIxWn#W&6aS(223kqyv%{^xC=m5-6dY5wcPrf)+^&x*$gJ zNuMs}k7HgFZDj~bR?q}D+ZFnsV1BooPN*-lpd*OiI=xYFsO)EoodU<`E0C zUX-$jctnTy-~zLmy*o2I?H0{u_PKXGf3dhXh3pT&y$NK0%LOCxH@)>2|Fz#1W>z_r z+{`)!nN9fy_I{^5D4EubZ>bCJFiZ+1J-o#$jH(suX8hYYeL7LejxCWDx3HA<6GwXuTM8t!@CayeijYHGS zx*(cp;CxUJgL>Pm7Bd@?GUkQ39I&ZZzt5E!24gh34tyMrfYCogSJ`ORvtM`E+9ic8>{hAEa)#z&mHa zZzco&tAFH8f8f&s2&Ap(4g|8sbVnH@Y{CH3c0>p*mJ1f#GG>YNhN#e=D1xwo0?Xa5 zK)3#a7!_$O_P(aKQ}*szMN5_Q;jj zhaRxAIMK6SC{b9$^=XFPrxNf@_bfOJB0MRBzw>@s3Y}VnJ4jN^8QTXr2Sia zEOSTl@ud=y&X?P1f1Gb_CTE4aLb?k1TRuK5RP0Y5Gq&`{aqEUT>HOLs$xHeQNbX1; z8ca|SzE-E2t#@v`JXJSOTfU9;nDYCi&-i!0_{+uz2`)e)156F3unWL2Yk{m+Vt^DB zP|LQF^$I2+Yf}QaG@21bjb6d%1o+lqB-!-G&sBP*OqxPNghoDo6C1sPiFSwp$Q%TS zexuU(pxACt?U7@Y{N-i^2udTE9ul;RaJCgx8jwdzjZ@;#@_6}@R-&Yq1pjBVq{JyM zxj~IiYH;A(F)rb>Ch=iK5*0$_SHWqtnpfUjmprW2#;*bbpPppCJs6#c5!KYa;974l zJu}8U6~nXeBlXtIHz=lOtuUIaz4om6x9d)4f5Svr*GRhde2a+FRAYi_skW>n$$XDu zB3H-TUmLQ_7=sp&g?9dJSD1WWNlvmms_2h*=;`-U0xy~#mF}f#vsDVQC$}*JJW`&) z1)Q?{~b6ei>Y4CHz8$+KcaT<8idgJ0f(L|c3I$zatWL9{Sbdk=p{67; z<8B2frIpM>3}fIR7HV@qq&mT6kME>)m>KyeY%0lA$53t?f3 zIE8hdDozo))Z|FWcG_RkfU!TK>azxMwOK~_dE72v!b`bU+nYV|BWiuFEIr1`(zIJN zTi)rn=p4}J_AQ_J@H?Zjq&K6rym+g@wIX(MXY-Bhu35ZoTSPsQp&Ze12Y5$iFSNJ9 z1(AY8yh*{8QcZ_p(RkYhjS&Yq(}AhL+461xNL4H+V#(I1Wy_1kPbLq54qfhS22)&2 zw!8@3nE{501_GrusFmdfjt5r_!L^3^`C=-|i{P0AkGz_#5CMhcquJ9ENfy&MNC(}^ zBo>ouA5KTS**2FWHb#1i%qdgqCe{DWpwHJf=yPfj%ih5eOWm3Q7O}o+^%0+taO>Jc z;3~{o3P88$lXy4qlSMPxSDrGo_NVA0>-vLc#VIktpnt^xU3JW3Mad$VU>UFrwnfuS zAt)(B6AYf%Ju`juwnI=-h9(I1SL!+_oUY$TCMiP~L|sIx=;(D5Uah>M_=6_6MXg1{ z5fE#ru8Tu!%FvhG3N#Ir1mGysP{<`mRahveCLD+_`jwHaP*iao*J5RzQ&S!Cg8bYl zuwE2$OeXfDM8cpAL<4hs*m==}U@;@Okl>(DtXq57HS%!!43FrTIU1PmYTh``%yh}f zEo#lcoQJ!aJlt>j=!bvxXCoR=T&7pw45c}pxfm}fj1J5c%1|qf1_HrNQ(9I8$FU@& zK;hftSfMF(!5z|cii=+KDUNAMT@YhdIm(9MapJgHeTzfWl)B({&Do_CTq?6n7NjY4 zK^QwuWCbV!qo+=hu^>yQ!@+l$$oSH4+99l>MasAP`DIC=c~jn_7&o*O6viu z#X)kw#2nUE#z~S{>!C3itn<*#Xn^Xp`j8|OOBx1&trbIoDxTrxl4Nyv@MD@yQsU%% zK~=X7kavVzi1o5umajRgb1S8)s?&OK(0h{<<55;#)tl(L(VcU|WB+nW6RU`qL*jT> z(9*8r{cl7u@yWmQzwyn`Crb6BpQ4AQYz~BQlm<{*I9=L6?V##lLGff}Y>!%qaI&8t z{n*ocuKt}-2zQ45*Jzvf%UqMt(tYJO?P?Ut5ObF2SYIX0l~8f#*Ee&SAM_&qhd8o>e|ih2OQAWqoK-1djRsOvmX$ht84c8=4+f} zHPv)A=|TC&Ud!ngihmp1ly~*)7HM7hRv>&Hjoy4>$KaZP7K=_gm2?!s4U6dIm z^G67ujC#K{<9YMJ%V+-LJHGDENARNgv$pzSh#&k8i`6hW9>&Q*AwaOFOp>b~y9H=# zw9>l}{_R{@$kh*&ZMTB4!nAB@y~u*O`Y~8f$<+^x940v)QCpbREh@$<5N~>8u72#- z=y{=bLv^E5Ps!B}{5)$d;O>^j0~9M()epoB#<#a4=RjSeQNXm-NzmGaoEO4}{g#I` zlJdx!NEgoG)Ak!%$ zw`h(nrWe0X!CC#p*MHl0KR-GX(y3|jeh$;zua`)jF}A?qMJ>WdBv8c`i^Wy|S)2*k zMglpuIDjxn3_q~J9lG}_w%DUFlGYc-w==gg#}=T>Q|pViSfPc#tg^BPIg{Ms9JplR13UAJFTh)Az)Kb5 z^=efAQ@6 zDLWWj_fnY5eZFqJ>$(Zf_uu`;KKx=V2D|?HPT^2RSO7djZIH4TFuA^iS`6%}LK6nP z0I*qbs52v`wV~d_YUcXR7RgyzaSIp+P2pu;0GtKTyK+Sg$FA!;4bEe*2qmdfx9w%v zS1)=adjW%Gs5%BK464^yhbo5nJd&xMa7I0V6Jn{bwDC#J{Yz1p!q4qoJ=(JxW=@$@ zb8c1g_hIIgS~VRob4xsyQ0u~El$cYKV~;vj+KW0>y*VL5k~FNnQI}}#w>?Bi7`@AVrT89^7{1qVk) z&{cCma7Z!}BmH((rLtW>rBU@3K_JW^h?){w9W`VLLEa}9EP%M(8uMgDnTH?)Fc&;- zz%eZ=0-V;e6;SoMphY(99^7=;3K)PD!S#l8XB1?Sj&>wYEdiKI;u*VqT!pA!mmHo~ zLDgF%F^^YC1296erUHQC&v$3L0HuL8SR&`#UT3~NKt`w?!@!P)UI1(6`vabG5GThXCDG7Ot$A$;T(xLQB`7AB2HpR=%o_WCGtZ8Q zxn)GY8(xp-{4Y>&b4S!^s2g!`!wyRsAq+1zuf$GdPyDHdcWSscZTZ${FkJhlkNwL3 z>?_9<-pw7uysH@h3sti?LyfYON=R!~(Tb@;PdTIp&<>BkA zSIK9Wn9~5NUL%3aB@es9hswt#z1e~sNY^W+o_xGK4C(ybPRHYV^Kb>`%qkCG1`H$* zU$)cnwTG|2P88;aq%Eb8BmmH7U7*f@A{MAKk%6%}L1{<|$)5U0PbdA==Rf)RZ;0%@ za;ka_zt9vHX6OTy4qoHTy38bn3&O8K<{SvB0}wb`AGclb)MA!y72056KQbBCbl zBo{<-AD$3NsTFFKPc1sh1i_7kWQTY{;B;)RQJgSeafMh;1<`%k2+CtlaB|2;7+q2(*xtiYyBX6X6CVur%b*zflI^%Vt zheKL-Sf^%IyRzdvC;IJQhFUX2bH=B<=&&x|Uli9K+OOdaZ`~yy=a+Q!a?+KD3&{VFYwZM$_aKshnL9m{o@RM6Irts-kQcz6_PK3&LS! zXFT*HTIbqRQ#rdJ2SMwpLH1F@Mjt|zsh+q=?~*D@&$7$#ByayvWrSU#jHc+ij@EK- zvPWy-VZ<*O?xh5_*G!A^T^1jFNx$u+ys^<=#2zj)Mau4(0X^ zlo)?Kf2{9ndft`TREN?IA5kiu?DB-=sfhgQMwai>S+9Bb2maVU7}HsU;EfDB%;1gY z?Nx`;U-KA%yJ32-)`FGJQhpGqq8^xO)>*;5v0Td>jZ1ZwQ*WT4H9VxZuw-CbQwv$C zbXFnBz!cn8P#ntgwaY+VYWL=AOPJ%5WuPv&n~*5YSd>vcSlp-TgQ}pYuj%%>_)t3<|oK)l-6s|ul2KOux(9y;M%j?v1z(LILhu|^OvT0LCp04fA6PhbjL zd+Ov*BQ@t!n854WM#edW-Xo7_u{Hwz=F~ZaK7dix1mVv$f;ogn+-yNPoY+oa%1(6kupI~Rn0MROuzNTdvqLZi=UyP!&_?_3aB zO=Q7X@4&Fn7JEf~R|E@8YD1R$dPV9x7liU+wHhn-@al_)=aM@>h^0YtzXq3Pv!v*| zBDr2Eh#0-3qVMw8k)}(R++rLbqHL1&uR+mJU#2|GE_t1!YKr<&=dB5zRX?sR<{bfO zP0@II7V{Zx<9Z@#z0?|xH@%8UdS~p5(J9 zdPLuK)aeYzn&DWlj6R+p`d_~6XI_j-pw6=OFvcd^a_p*4Er(ML%P~H+95WEq^N%Ug zeE)sLl9dKik>IcMiZtskh};UPqG_#HofWAgilF1RFp{P-W1ME)1#RFhRs`}}>vp!w zlFdbMztOBTK+s4g*Wa3E-32j!hr|#_U!z#rt|(@`NFLX804Pa9)OtxV>qYXg($ENH zJ_}=P7Xu!q5?#`e{_=gm)7`AeAk!sxNZ4zd9rz6m;L@7a?J1Ps2qHCjbjd3PQ4)u! zH8uyJaSKK)zaIMNK(jk>)c`!K1yRhkK)&CpDsW0I@Gh%;yDL=t?D0L-5ty1epSFBo z=KL#O{crsA{fMhH-{lI})3}A!61^LS(Xw68203$HQtT|@w>f0K;bp}YDJ7waFk1|u z)5|mGhxLZk%_MaJI6>4)oSF*QSKNc@0hkR6ZcGn_V6OI+{>URpJ=-E)uJ%QL>jbn6`XtR@j~L)!+F|%Z~|_sQJ>%%R0xs z^=VjQ)xr-i9N@1NokwRDujz+NcS@(WlFK@nIL6cy+#y6XZEb}rv7CCp1`d)dE|60a z&pxg(mvxXGB1JUm33d|D~N}a6SR;jA8P84g{Us!$dQRS&R&5GTL@>>4=ykTBb;Y-KtP*Le|8OA+X z{^&4N|_6`ezpbO9vCno#UyP`EigjxIo83aK6eE^HI-ReF50MjDyf8D!?QM<-Uy z>2Z)7lNkkq=vr3%j0=vc6TX-7CaS8i1`yq7^S=HLmt*1;&bxYcr!(A2Kb~hr+P`=1 z9?#$<2VNQv5d4%N(2&eiN_3*eUiGspF`@qLlmK1KD>WTvYTcvlp7;rkpvl=8Yi^o zMjTRe6u|}HFCvKk5!G(7A|0zDxB@et3i_Pb5ad(hf`f=deY@=23_=dYvGO&CF^9Ru zZWwcz@6G_`5XCwwc0c2Yjd--x*{fRwA6eE!wX}ov)V5nYyLE-LUlP`>dW1*qg<6J_ zng;cdTrRYK@whxYpR()xWb~!3I2CuU(huED=+>p1d)MCM(7R;F#VriGA)O`3ZlItB zFDxAZWMkErBNey$fUKIyq*RSXLD0LePACsmg7R=XR6CiOvSB3uUe&h^0X&rH_}VnA zalLq+ilJE@$i<*hZCI-U97la!#RtWJ(TEt++1>)5}i+8kzAsMT&j|*mJ;0{97Ph{+&DYA8Md9N zg@Vj<$wIDGbuAs2q#RpYfth|M*$M;!XpswD4O?*v3!wNXaXI?) zxUNPrHof*p#^TpKL^8J7#IX#hPXN?FE5Dw%W$(eMihVAd&SleA21fLw-v4Jm;p4)= zP*0@|Wl3^}hykJOaVhpD#}+wQiVimPE3`3hj+M~hhHeU2d8FT^3plTsLs_7Rj4cLU zj;5?4RLY@jVvAW~Rut7m2#QZ@LCvAC#egiP4r**HrU@3d82Bz7TA3Wm7VISwd)?4W z{Mk-Gg}}QL&P6T(TKup;Y(_U|wd|1JNR7b09qSKDYKXIU8EEp|F(?(>?<1V6<|nE` z*Pv)>!%xrqNY524HFvVFQNSIaunLbwzh zw5d)=UyK*NLEk5?J?t;c=+M*r4as~Ye?i{bKXn`Fe=oCjzMp@uDig2FNEAdz6mnb~0Bl1ID5`fR0IA1Z2thEjZ}%5kt8=QGe%| z`|r$?xbD9*PvYXfGKs6&HDwaladAh*e2M2CoPWui??3srI0dc?Qd1ES({dcMeY}~0 z)&IfIe&FL*0kTs(g$K}vu5iwKph(c9(n2|CA6?K&yb3qb5X})9%8uoNdr(PBe7Hr| zW{dfteRRPRl5aue+OA0Z=z=9A-vXD?_AgS^xge+&FxUq6aSLp3E65aR5k#mhgJS^N z!$@e!;DKIx@x%fNZQ4f{ET$Q)lNQ3-E@r+NK)d8&c_iT}p)Lf+)JxV)mOvLu)_=F! z$duZQ#}V7%Yhp|fvm3zK06kc*ImtS!Esp%SZZ9pcOxPIRF-E6VYS=KQfo`3G=@M305kK@STJfbum~C=GOj ziXfwqHcgqckNXXH*@HI!(tf;?>lfWcd&#CszSw+!sx@uo7G8ABZ$_rkm zICVwKCE7-=ZaVw4UeMmEoAo(TPSMq@|DCC4-au|u*3yr}O|2JqUOzI)OoG0oZsny- z>NeFHkW&P)&n~H3)N8NOk8Y3ksp@vlDb6{?S4PqCKfL>Hx z`;xD_#t{{XHWTH^=G2#%{T;%wTqm=!Id1khthmuXSb)5t5zJDu`TjCk*En4)mUz6FVF;;^*DYR0v+{ZT)b~rw%^sV$FwCK>;>Id@ZL)Yq0 z&GJoiD*A$~fBdJu@V^+P0kaCuHD;&=6Hhrqh3*KD7(+vV2F&p29suji3EM&+l#OrP zp;@8LqU8(~u$fBQg~?-0Mn@qYz9J?`s9N3uGp}knPW`gTP(g#|{2{*1!t!G@icNkj z0fhQswaLbYPl?a@n``|+=BA&@)A1I^nljU z^V)#Fs}ZCEE-Rv#Ak@XjP|pQ#Dqt53ApMG6N%e|!cZ*=b z(Qg(FXP1@;ilD>j0e2RzfXrbc?ygG)jDEQ>`bpb$XOdzQl~oi}(MF&EQx#?OU+0sY zO&*^AlO@hyvONBPi#d~kod(XAENDtBNkr<2C87BO3&m~W)u%d<_9BdAruv-7|L&ju zws(wD!~?Ct)^??cXULxEH~0fNR|Y0lGs=Y^ikI}evLL+_7X*(7NdMraU?!U6)w3XV zm7_yU0eue(e`uCBLy-0$g=N$RCT0f>WdcDf9-Y)sXBaF5Gv}W_H&Q4YIV-jUvHlN zE<2~LQuwqddPLuK3ZHW({`NBQ({52~l4f-8^`Lt{@wM;zj`xm*CLmKM;0I}8jB;w@ zgB+S1mDpV7k#?qs(yY6WUfPEHco^%@L~{EwkF+x_Fo!0C(*kp7GDwD)Lz9wV-}aCp z(yW&+GsuOQ`->lj3$Ze+y3xnMqkH;el*nqQw2YO%OPq6o_8GNx9;Jlvc~5Iiv#4z5 zh^P1feS$^0i!nl7qF{{X*kq1Po_hQJFBPC}4N@YC=sW)4yT1De6N*R(9i8V8KtVtS z$ihQ(43rpVWm=}eb|FBJ;LQYg7|NuA2oN+86M}*wazSJ)qy`3dLJ+^uNe@9PF+Vlv z4N<`4sWGLUWwk6Q13I+%K9eI3Ra%!Mq;=K-^eYR!;+h6s`!`x{X4((HDh7P^;b+{9twzpv>fcE1EuD4m){bdXhT3BzruY( zFoz|DLjYv%*2W)#IV?do5>+4AO5lE0ZH!fgCE)y#gdb`!@BwJiW5pbn>^I=_lNA>` zBTaU}QJY$v_Uc1xM8#mL3CzV^`xg%*GVE4Y0BPG4<9b~(Vb{50g4gz#QoPUz>r|eQr7|l)-@QT!oI!p3@QK+ezC^FuzpT{=SSX-|BXWH2(EJ z@vdKwNJFtAUdeS(3DW$eH3yUiz!ti_x$}sTMiJCr0Ag-{KWPLrRwE|rVO~=UQ=xm} zE5<}Uz*!WJXuGTmQUnW>X5DQ_qh4~sn5ee|FbIq$)8^O}*rTX`&wI z;MPBnL>fghCh7sF(@GM!SR~6tz2#DRyYo*j@2pG4L_N&?>#UjYPGNOHQ<~{`TZBCj z)$NIidMlfW?vj%uNIQO|_Lj(vDOb#m)bw-U>=^%9S5 zP&b*OG%sT)&0IIRy}F5Mm=lfITsOHqhFnf+%wI0(2z=%5eCA#MZ#V+#u(%^&?h9P` zJxnGXf#dRs(Xh3vUe!&GfWE5WreW#Su5Vv4^Q?57|Eg{R;!jCpf@h_1{uK`4E5dYf zYyrtmx`H`CF?MSTE{^S&$pOmIt@iP-l#am50+gM?&d}!&HEBj8^LvsZMkFI*-|IVMS_99hogGlZ7I6V&Qr*U@n|GRR zHNugUET-Ng9~H;}RB{5Q_AM5>UQT(j%RPzCil!&SuFhrjxESGV6zNpLaeMB6XFSA> z;2~0uGUXhE8VzU(PfFe;MR#mY2$Cd6X)nWwed)wtvkP#0F2J-~)W)&13vfLyz~B8x z|Lqt4Mz{d#kGTtA-q~Zp=Yr8Zb(e)!9bGWGWp)c0oSY-rD%jj&B!qzTFy*;jvC0J~ zY6B=f642|@4(T!APh8LxX66?oy^McXstCa}l$ zqw%rgL3m*>ipSn4XlqWgE$f={W1niazYXwbf z(mk@xGmIi9eGb>`$-SgJ$(hy5@tmQsUMHs}xkvHipVvCBQ zj(@!3Q}O13Tt}Nb76Q7QY!+-lN;bC)b13{)vo~~jOLahIsO#|2gH-)i`RH-IdA{C5 zayh796Uq7&zD`BhCj|3}9-p&E5R8=UK8m*|%^q3HbdhxY@r96G-43EEloovLNyV%Oq7}&&bU0h5owce+82QIdT1uOjzOzh#O@ztA?RX*uk9z6ZD z@Dr7a?^_J}qeOj0uNFZs8($(fd3Ab9Q&!J%Oa^?<_ka0s{8D%VV{`_$&SDgF2Dl$u z74Q~(O$ON3%Y((J&>4)f7~w@j6_>B*Y9pq$qDYW;)v4YO`<13kR*I*pBx&u+PlUXI zqz%wxEJ=%y9JO}pfsC;j6<=jqhnX(=2qpt&!-uEu_{3%b)q1^#uhyj)q#|lxA7z0g zWlas?nZ)S93lBa7iC;}8h0W@v^_%1Wo53i3`|(#k_+z71zYGDzyh|-Nhw06B#W2Dk zFirg3da=-i3e&xfQ!DD&C084r znhao;4MR?njB)+shFGB0Uv|75#qZdfXt+NJfEzV~4%bpmVuUVLiJP%_^Mz)s9|eO{ z0%)!OTmra}5N9^n zhI?y5w9V^pk#U8ETRp}Od1i5Qx;|w`QQHThGk+0*(k(?kzzPHK`3hFQ=G+Qq5 z7CZ;^ay7401IKheJ}!|T#E{J@Kg)?Khn!#337+YAJ{;+ITrWCxOMa6RRZhuIi^G?e z6R)nM4Dn;F@D`Mm;#7lYkMeBr9^Gb}X}4%LcsFD4e&}y~`;WaTMt~}+zzv>Z48Xm( z!z?VArvsuyWu&oPsBhBXxggq8h?XMg4FInSEmdRL;N*~`5pfzUq;deoiZpn>Vj&AS z9OfD0=!uu{DH6z*U&zVP<{9HpK55|gi@ub&I07frujx?^7O<^{!O3}WY=2RSC{zdS z5HczGrV?Lkf;%-`qra(znVeqAyh zfSy}fx4yoO)bva+beh*7-e-!`iJ6{b_4eK_i~fY-Xq5qEph=fA9Y9c+DqA6tC|~Yi40?HI z2SLmi)hIp$D>J)B`;@G>UK{^x2xc=2usVl_^e9uP9yS4a1L z>x$?=Y+G}`KbE{Wz8Sk&|9d#a5?9^uVa0}wo z6qloxPI&)0h-Zfx68(|*2i^^svy`BS554L9rRV=f4GI74xOJJMxCSmP)^3&^!B%uIpl)mZ%&nT$`o4swUff)Oa|j-J&_EFx`ne#Y1?_ zzy065_2Xkuui`Y^Lon2aMb+fH18ue9$8DEE16=U1MGioS@%AL0$?!3S2Dl&~aA1am zv4UNf1bRl-QE3!GFi>lk@(yT&W<{zK7qrolSP|B52n$yXKZYK3+Uw~d_=+}102JJt zb%(}|9zqes1S2FBkfvCz4=r9#JOr2A?GHNp7qPlvEb`YD51~kcl1}jGTacjEKs*rQ zP_4TpK7Uf>uC@*$c~U+3?O%OKe+wWLvr|T|6(oHUYRe;bL2o6*7&5R zzHQcyR&B4y%Mp5xtF~#oZtOAR4JHp5x)FxY7DWdX-YF=$jvD~OcdKrIpa#Q@pc{Zr zj^u_&qA;0pZYMKNUq5Y15-`lTuxpR zF$Yl=ZQ%~0%cXYbYbG${|9Fk?_^5}PQzvH&JP#nm62U!tVe4zmK~zC1qtRy7u9$-; zYt+RxuD0N(s8_6lC@_I>%p1d)wG9fe-*|d(($!quf4N+jg|eX@Qx&y+zEFn9?&klB z9!o4)FU?+tsZcHix(d$ndTCQxhx zJS@zbV-(xQD#7-fMJG1NAxFtxZo$Rfy7M{Yz|>{T@Ig{?3rYzZ-t5iOp8A*Bt~Uzq zL2M$!KC@sBIjlv9K@2&->J_Vy19?;F=-t9rx2LW`4nFHDxd%sSvt$)=ASsAYjA0BW z8FbdnAqSGRq@!ZMgMo3?npX-r)JQJP*7j5p-9qxO3g_TM3}qGxF`saz2Vva2$jX*; zMA?S64mCEncjZ2r_&1(AnQ03*@aq)-@EmSjW4Hls3_8vBrYR9eP+`ibpR0@&we?1# zh1rO|ghqVYEt-w^^jmaF-tZT{^`Cw2|N2p{dexoBUmx^?9V1@mWbD>5H#^%s2E}e5 zjWQ9|zK29Jz2BUc%_0|#_|C|g{MFa_J-%WXaS$Q4lQ(K34(e%H5k_w)Zx|em=#y&Q zg4&3~dC}ZvclfN0Dt4<3TDjiX0zHLGf*nt5nIO4Ef7HWNpODoQ#$8ZNv{$ z6Glkpwx4H0j~_mlRI*Af)Gdgj_Uvr{F7Hvl7mCsyodF{Waw=Ji{x84tHklWz=Tv zo(eUkUuR)d-vU(7zEx7?bVvcHsS**F`FwA-UTMpF7n_ z=j=)GoY_2M} zW$LP{d_}EUACME!WZ47`qLjta=Ed&R$Y(>KI$pLTflZdp+|>>iQ7GknJRg~KejHGp zAJ>~%Pst)$mDPpiGap|k(4}ggE(cVb+w^tT_&OEq>6&kET5f~u&9DNnh;DFw@%1*eE&D=vrPpn zfIxjbx8wZ6V;Y+ZMJ)9Q{k44a@z-yXmb`NpTM1UcP5jo;Ft|J0RII01Yy*{BC<+LS z#HIP_ck7c?wTVJzTI%vq(_kP`%t#S*jMyb|lUJt?JZ1GP$HK>NeD){*b3Y$58WnBX z0h|LSEyyg)NS3K!8L2GqjlqwNnHqp|lt5Z|xI7>(xZJS6oXB((Q=sSbit;2B!NXE2 zpZf)-AUA??UtF*N&ewoGHG=XonP7B^fls9sq$M=ODIBu}7}xQZ?~h3SG%Jd>vK5bB z8$K-7l6A^oMtnS95(AWp$|5}b&}nBAAI~L$NF%2jB!SmsN+;GFHCkd5F2?a&YCBe9 zI7ImmSO{J7Q3Y(})Qb#z3`0TslS)x_=vUfiZM2b9s<=gX$#$qB_(T<8ilybsvfVVF zH?B9E5_n!G0mj6iOr|8c^1R<*C8^9UWP| zO$<=s{n*d`xgY=90K;gRz=K*V8lcjT|HtYvhCQL^kZnscWA6C4EJ>$cT>V zf}m-qEsj#*xnSYRKy6g~T3G#3JEp>O!7@eafKd}|U6u;Z1$Q_j^cYT!QC35hUW}|I ze=^Mc2IG{PFGGdrE5?M~)zWp~?gPVs>3;gk&fkRyq#@h@9BE`}0_3*66ue@ak8 zR=zV@_CpjcJ5@2;t9J#({2BlBhri;*SC?#Kzb9GTf7T_p5eVo}71t3$$`=O%|{7vw3#rf!C+>!wEm+S zpwl+b_Uk2YJv=KwW7|8LyF|}+fRRc7gm7d<3mSm{)=W?G*&aqrtQ}aWn~(vL=}|r_ z_8YZb!+xdfOi|U@7DF#_c8P(y-K^XNO6E$W`0Z$DU)|@P%qEpUG_-v z%>Lh1W#TxlG?J|o(#430l)ND&k0i62vQ3@z9%70rTQkxGg26G!xTF(G_AH-J9-V|f z<#q%iNCwu~LS%5Nm})Fri0jSSsuj{}SU<95NdHyYCfQEs=UW|{?Z_Y<0hE^$(-U6@ z*{V9dhwNq5N-OEC^n*9)Elmf;?}uz3vS;~(@Cw%-}jUnYhHQgsEI`Z+A1N z=8#oPo0ZQ}GH%zEn(Hpu*TBQ9Uupl*4M5$>v7Y|B?9kHv!$S%Bx-;1JGt`lK|D7+M z`RST&As$wCRn4lrP#FeVel>2r@a*}6C#9iDz8ZmS@|7+f8@kXoCiw!B?@CTpc7L&) zLg-6Z6R9;DyE?Yl zNC&(Kq9Si;+ohlY^Qk2>;*v-Q@!U)WV}^Bek&+p4$?cx}VPBA^1zV04VW7aygY#;{+9+U?jyQ~ z7_M0oIyH5!OWy?bxqh>QhCb#zS}F%tUEX?lNBS3FJ7~FFc!aec0pLr8tjxl&AD_7vY!kPm4&@sx`11GED? z(bMzk_AHO&RcMjk`uy`DRTxDS5H(gKBygaSO-}})!pZ}J~rzGlr*o9hy#Jtql+lI;Fv8=FY2 zBf7DC(A|+2=MMi*6;_G2UEQ8n`qB6%oToJCzwFE z2w@nH)77o5H=+-(tZt_*-=}VW=A-}lzyC)9uc2na@fv0%n0VosHTbna5wt#A|)k)u3 zI^7*RoyqRs`nX(K@ zE{={*dH-eW=!Mr#ojY;uU%VFcNSn%~8-Zwu2~HZQcIgnTU1_9^J)AeCW2PH+%3vJk5>6jsnkaZ0BxCv;$ia?pQS}W@qM8njBj58g#<{IHm zZ_HsPCU3Ao0D|Zb(2`vUR#D~w4Rj(1Kemn9b0VPdgu$UT+*+(yB?7KPZ536bYM>_i zrpnNNuK~%SV9McH)94}ITqh#-Pwsa0ae6e1_O9F~qf7VeuQoWH+u$FOHuz~O=E`2u zwB`FW*bn}m_x;U}8|OqckQX@-TSykYB!>|N$P1`d^=SfdL=EPG0rG<4X;-8MbHM`g z0@b0+!5sy8?U8&_zbj5n4dyEb$jjQW@`}`8E*Ky$Byd%O#fsEmE?A1hWLeY*I|N08 zxnzL6K(k19a{|Zj!zkgN16Q!yS8RXTZ5E0PbE*Dahk(?lX36AJY6J-+S@Lzdo|oYFa#N?RITC3VaPlE18zKUSj-jZLG2d z3QwX3E}k8P@FaTR;tX;88jB~<2N%x{LYVU&%yxDV!kYI$wzGo(Y_+p^dC-(hGyyh# zk(dFYutZ#>Vc3!+qiL7iF3GHhKnzinFsD_n1hdvf5-2k%$%1DYL0v+{i2PP8C7WAgVG0KSf)ktSXAy4T%-EB#x}Fv8pISISa^k2X5V( zl<7@xq;B?88)+kXZE@~qMG4-Dq_9vinTGD4_V*DSic6X~gyi~w#>o0AE821s(b*+}NQgSILDM5M>quj_j6WQ|*NQvE@6V(PTXIZEJ$_hf+!oXK^{0|pwzU@(RLA{ zCs=d&S?Rta_oR|bQ@2S{3QHzgsvKyjko06exu{z|yY-6W?4WLGFH@UIY)y_b6?J<+ z*HinWYcq*Ug0@I%EMT}XV)S&K)&1G+;8nvCyL(+-XYUO{EMnlEv}V3THa(8tXd?|z z)tdPh(Y}ePIYLrRO&o^__)D$}aCZzaWms#B2h!xU}?AP1Bn1PAz z5tkvFC7Y-?&8a0AITQOm_gVHJer~f0>+)0S}fo zi5@%E4YNW1`vAa*L0kx6jw{TItd<!JmYpHL;;6Y` zVMy96t>Yw4Eq z6erDBER$|A;f`uCuc(f+FIgttqBl_WVw_v@7Pbi}IVTX~>+I3Sqc!v-$(*uF9yTN# zr6hiW`Zu4f7*%OS{x|8k%L~NW@oUs$-o?+&?zH@^aDNP_$C>l`v@C|iTS-02yBg|I z7#7o{y!0vy%o!Pva0rv|^uc-d*x!^Uowj_RCjF{U{rV3+9-35fK(0v*6@+{jxkTZ- z;U5faW4la~x*$n21P$HSH%LwDf`fg7{P|sQuy2r>)CGYSWb>RL6^%#AcHtVSNnLQT z!H}A?2o5zEQj@yiaDO3F5-vI1Ur3YglEeLlxf5}?zmSfAulX>2d+KGjJtg|Dmn&P{ z?{T-++#0>ALZ>$EO;OfUWaRAUH5zh2^UnF-Ys~*j&&XBg3D^^TQ|ffu@_p)b@zci- zdv0_Xi{@iF{}Hng;d$k%7GGE|0|o1P&*&7YQy1K=4j`9dK6Ve!Q+zqw1?5L|>Vh_E zgyhtlPA?zTsSEDtM~XV_sX$Sk7C~(=W~oZaX!4h#I(5N4Qjt34WVz|o$*4|UaEFwG zo>~&4_0Llk)OHx4DNACWwNT0Bb5{j5v^FzOf#TP;ol-gKZWdQi6;%_1tNsWeV)7D4 z^xhQhG(|=)%My*Yq2pKd+V+;7v@ILj5=xlo7-C*x__)k%x>^LwMK1}GQqgPX`K*F- z`FXv+W@4X53r)^N;7 zLwW9=dvN|GZ@&NJ+wQ&i?Au?EF)IRHz4ILY`E7+Xr$>qpGRv!X-u;okvI^s?>7_Qc zjhdqn;ewErrl3c5% zJ<$D}+8#viSE=p7&e}0cB->~Nh1tG^MUqc>7e6<<(^|KZjq&0k5RpyL%%CfqP%@=O^jkjS-^|AIW+sq*<0rlLGyXx;g*623CIY9j9V|Dfq%dPR z#&!_gWh?8tv*_C*SKDHpfRqEwK@PJW7|s#(t^+Dw5(~$QRD`}F8kVgSd8=cMGJ#l5 z1VUBu%ODB70VP|rVon4e0qX?f2!fa|)}C4-S3ft3*CYia+18;$$sqdCB>{j{6Oa1( zjZiHlLppAhHN1SOaPjI~)VVsh%k5v-VXHmJBWnH1 zMdWGAw^oDU!n=>(^H;`;$P2hNs>l=)nX9Dyy}Y0qbm?P7WMx5zA{#9tvo1@GH(EsA zqa+NqLk*$&$*#&uAAXI6E*(YW4skR!o(t{)+mmz|inJp|>N<2r^7B;WJ*93XQT9RR&OpM&qd^?v^`n;Z+rhn6m_2_ee++x z|C_GScYNuXvMS$EI~~owG(}|2#88)T%Lx<&&xBy*J01=SLI+c8hak7|9r5$SBNX4U z!`)N)j)M$3jqiBFG+kHo9bJ*B=0m%W>bZXKwF~>-&~Wj|_xa!06QA@P{l25+bYNN> zV?FzhkHl!RY0lkC;5%-A>ks|hO-Q)D5SQ_TU6CU&P;F{he$3pOG` zk%30XCZ5EKIn`{9lPp>T9Nw%b8qdErGL7KaW_cU!qAey|G@eT$x9^Se^tuVLGUALWYz&&JQ2)&^qdHPGZkV><7<01sWnc?;pxsGr#=R|NFcC#8`tZ3>~s0 z>~ckdSR3J^k5N)O)|hETZ?|=x7thuoE~<$Mt^C^*V$=?MYEV>-Aoem zZ#jdFt<9TmYcpM^^4+x~1Con{bWg;0Kh4ciKgF_M;oFSD+4MMPh3{{%Ek2ODKK2j& z4Y0a%D$l7(_chnbo^!{%i7#jWl+VjcN=>%z1^HhoJENu@HST$i+i7#$UfE5yU-yo; zeE8Rlg@=f^$gPZFZ#@7bYB~>Uf}srX-8Sk=ZY85aq`@UT>{6KK@X#z9Vzh-e{YRLj z16KXihQ*~IF0zWJw5!4eQGr0C8`Jy84f-&0;MFwQ9@aYF35~$kBIaJP^6L1C7;?#i zFHVgDXnX1^Jlr1kIyVU;K`nI_OIG3GVYTPfP*?&mEz}|BsNST?2z8PuNweB4Lj2(W zss70vWA-oCMMDr-uK469_rI~H?-Qj4_2HZlyUyqkAgA<#!`h%!oqEjBu7;MgTkF@I zVd}YLkulkE${?s@^c)17flGx=Dgz$04y1N+g4z+l;%VS&2#3rM0YwU{2fa!IC5{0TR7yZ3hp*oVGoBrY2oD57dKUE_u!#TvCatLHz3TN5yEqOO%IN^ zJEypAG|KGU9uSS>zgvXD++K4%?KOY$fBc`kK6mo19F>oPjw?nW(c`Q)jrSaT@x3vYB)F=;(Q4+%qP~WLTcGb>Zf^DNA zln}63#Phn8X~F5a)j!e2D&o3mAN2RKfRZQh|E?;d7gNJFS_A8b7lwA`jd~P#qwLuq z(bJte<%BY*0M(`~-ZlI zS7bXWf=9W_nVu@NT!M#Di+RG0tixsRbdotc{8YDVPXEv8|5rx(|F8VOC%yQE*Eg$l zLxSo{>I6CcKUnC`>Hon(f0h2<9Z1D51%*!KA*cUQYzJW@aw2GPHaL|lnYt{0IHJK? zD&wdqv~_}<{y*xsMhX4sWVx|oRhAzF8B}HYL6m_|8`~++1wH^X5O|nNB5MSgx|I4k z2BNOH2p_0R8vQSSBe0!AvKoYz@6UD!yC9Qa%9@vh7ek_GCa8<=g`cdf$KduS6)qM0Ju22>lAdiBDCXpL>(s$zQO3Q)wThU z?XvqKlg4sb#1}l=mldwJ0-%9+wsLHnNX;fe`O8!<#KTlhotV=P+j2`Pn24olhx^nC@ z56)jq9Ci=R(|wSAzAiS>E~T&;zD3K$bPlrL{r}6K@zXfBFCw7h7tW`1V&=T z73Wdaf>n{EApbz4B9pE1l65QWc10Wn)?8wiYP%+bFaJL13OEYBVZYjSOEmfJpw&#V z>KJBfXhQ!&lZ|}I52Q%{Lyk|`Us5yc$mf+l+m;G#o~OsxI=cnjPIjCxbK2x9o6Nd6 z9jBXhdu%V!#9o8%WIN}~!R=)Zrrn~p=rv;>t_S<@_$PnjTYn7VgakqyNMehy7YSv4H*O`|g59Dnz{c(vbhQ3xd=HE{N%` z7+lB|G4xPpT!kQYgbQMBA(09INi5Z7dQ7`L)w5}TbR+g@ zw`ex)H$!~-y+8HEzvU~UXIyVaYuc@|j;7sbNZMVqh~2ip;3Bh@A&9v=t(nQD9dYOq z2p(E&#P*eysZDzs^Ss4e=yt_y+QV5mt}R&**O*N^E^VWy2IjwAF`IS(FUgRKYh0~6 z(?YUoCqz*VHp1+V873yke;+XV5x4}?j=|BbqaqQzOCs%{aX7sxP0PpEsZ2Y%B6aE< zh+#2)DjV#^w3p(=c6Suo)ylERw+Cett%tz7F_M6E_Uw8U8k^l}v*>)Ie1o<}08jP1 zFVI5KTxY&RieSaB1o9r?u&u5$-y#gdR<<2{qwepS?-59dfMMk?k2FpDD+xol|MJFL z#CwEQtLvQP0IA;)m@VCo!i8=}kGXE!1k;OlYKrd7-IK-taJPwnRm|%Zwq?wTvPFKK zA~>Z9M33s5tJ3FB#lJP4Q8($)mL{~+kdO`19GG(eZ7l({h?8mwXusI!S_EndhpHCA zM=GC?+mU5J>oFA z(!(D2Q$3@pDAj4pw^p4f)n`BLiO+aGX7Xvx#Vt})7{N+S-F(E%`nIQxKadN?z>76# zCGa-qUT>hAFZ8apo6$Pw2oQs0#o*BEd` z-LzdTMKG6O`7F91COxxj0D{ZIUJZ@yf*q!|bHN?5yvjm`!B5n7`CC_IYLvS<>56^k zYonWuC95*^c1apHNNzEpN*PCzWCHMjr(=VwF^|VC19>}JZi7ET8Ty=j`u0FHz2XqxRDiK?tJ~+j_Vg- zL)zqvn^MlGQ}3>zeeHd?DeXIL`9AIY+TZfyANQ(A^(iZ^SMYRe$?O@MVA1AO8h&h- z#REl9*}maRL91%fD+Kv^yI>jc3_%-P5Q1`pjP!a3sE%kMXgK453_-~mm>{${JBU#7 zLc^0Pj1ZKYXo66wd(kX=a4)G23PJG z+&ot>_BTUT7H5^(klv)EHpI51*ACjg8mj~RDwKVw8L0SAwgyDbiAw`eyR$Zt6sNP^_q5zS~Wgby{@O~^$VZ=zNi1Sz{@K8oChM# zMYjVc6Il(gBrXmcEfm=g2;?nttJG;6gp#`r=8%MTmB&g#T2^W5zJ4r zB645tsl^ofse$B>%nM3Y0*?v4Jf*SnFJo4i#CmIsFXGf<3T;JTL_nv3K!hu5HYEh9 z*nGu;E`@tlL-IAL zmnFytXr@_GV<GE6Fo>U6WD zC_G;ilVwqzVyy@eMh(%@nk?58$s^{qs^r?bB$H&mJ4n6~8^|?}(wdNeOL@@CnurkP z$Hdq}Xz2P^7pRv@A{^#KdZdV#8(*+*44)toBU(+l&iY-5e- zft4xq3?j_S#gZ6$sInM;6WUq+>7oy&7&3jIxNg$wJ>gW7?$jzzif$x5nWLk&j59YB zMi~eR+4SCn&=|iidT~T$?>d|KX{lRI?mo0PW<*ENZ3@#JdyHql-XZSOZc%GS=Y;L` zBy1o1|32#8SB}MAyCo=orFOXkhywN|2N#kOw1@#MC&cRY4uLk`%4lPEP$3FZvt3q1a>0F}ja_XzO~lkm zE?8(|;fKRzr~qBW>6$_Ld$ zGi_|aI>4c+N)tDXwdg?7ge)WlFJq82TyHMT&>=siG$b{~l;IJtAu>F-%egq?F>Vi! zVY*}_0-N3Y=@Hl|RzHtTK&5dBK!%K)cmIS}3L0 zjO!<&;}isjB&3s>mQdWyG*Wh{oPZo1eqGRnd&&;KCD0mkj_%p>dqPVE%^>ts^tSCI zn5as;EG%G!MyjR(d(;@h<{aJmTkh2~V7JH+_IH+_^(lWka&#C-iNaushh+;8Ne3)~ z=U&L;07fy6{JO#FtTRg34fX&$jSYu|eP~-RH5&WGi!sugs1F+2|y+?8iEJp z+m-uRH+n&D108GxHWt_utWZj|6Q{>-tB)uoqRo4Uw?$W0;-+GzZdQ+t_OEPSugr#g z@*DEo)!hb-QYBvS;Yblhh;L839&ZGD>e!ep?UXf?cqI9exLb>n8(Jm@-Mi;j$E#Jb zFQF|t3!y8jw$Lb}c~A-l<#<@B7S8*|gLNfGOiEQ3dFTCNnfDg=S}{TFFl~(%pU$P8 zgNg`YV50dNf%d+h;7epmaT~*M_g=^D#IkkZvj{%OHh4WYfXRXG!peztu~u0h5Dag` zb;7pM1$rL^BA#7Ls|t*#&?y%F(XKwkv9=6InZ;TM&<)Af7$?YNe_koAh^6apE{Yvy4^wk`v}@>iaV|p*{9_EUo)qM(Tv>MQFEZ zG_M`!mxx7)j(r1RsGYiXkd--6${k-}(L$r~ReXz)XwFJjEvtxG;zEWuVz!}%YRT)> z`KnZ{y1!r)lS35+gHqM$=ks3Tb%9amX|?)x9OVVYYRU7?2Nqj7xkMy@cL**rSt|6{ zFs+97%G+ZqwIkMCBn+fuGG3wASoyxnC++aL-*=7&r^t(y^~RH|zA?s{+UVIkUAQfSsX}qfK8UsfMz+o#7m&T{y+~#25u8n+?QHed)4ySVj5g2Iw=t|ARGDe zn4xekOA7x5MivmP;oQKsXqnY^@4a>DBH8Zr{n>)m+}hY|MjiMW^S5FR*IJa~PaaNbdAflsa9R6-5tYePa7EDCqb?Figj?vk-xt}1djz0EZq#T(*>bq9UMs0{Ra(y{HG5NF&|*rNXD=)5Pj;fp-N+wC0h|gH)J$3l>42C zv97s6tWEC;M{MsT29Cy1LJmyZw^@n)ohYMksJW;z< z?1@qj$vRZJfSP?C1xeOsW`vTiqxGc-EWP!8v*j7TMV$V8c_oS0qKJr(ww?HqwrmwF z^F47Bb3h>=Km-iyK*}^ycp*poS8u<|IHzOuB~T6>9s=`Ng#b1PP$Ai~l%2#4u*4rk z$78%CLMSa0|9C0k{}j{*uYWTEm<5a9v%tjf``c|3o+Oe=Qa<3%31%n)bS7y@YZ4_X zquhJDm$+Lw&a7AHO+-&wLftvA_i>*C_FLb|F6^gJ5bm)ygrL&-C@0I9_)w^?7AXsZ zwN*wQdG-592byVwLqHgH<(`W$F*PtjWf^EnP{yC=G<{m}%`4!6d7=LX=Bcn>m$o8R z79QIT9eJAbu9bDAq2}+~4W(powPNk$<>bzS!qEgbz0SK#y&p(%9b+R8y<)-;bV-w# z)@hMW&`(Cm=5WfjEL$C>STn}bwU|m84?zh!vntq`Nt~_>a?}NO`<;-7m|9oew5hBP z^s0P->ywN-#ppw7WJC7Lp(KvppR+PNK-&owGBik1!fH36;hj~cjJa?F7piLbCQD1m zOZE)_Bbzonxyj9sgup}l4fcXput+PW%WH?#WX%^~&$)GX*QToSNj8p{lZ!sabZ|KU ze@YwS@evGEm=8Z;)W$`1*=w~b1M>T?oLMwl!!nQHjIme+<*r`_pd*7VT{t&8S%_U> zk?>H46Dr(VTaZ8*9d%b!ZjJqe^G?Qprd>LW+FkGy^YqTI1f7SXA;KpI;(>n0iW;uX zQZ|;q%muU;U#O|!?ANPOMGRGStOjX{kn^DH_7)=8r3xqoRFy*I9T{MBzq|Aa-{df~ zMi63$k+GYcK!HqcQN-*aC#5YaqXBrk6%dYg%y%1A3zjKG>;S!ms){U6 z`TOQVXdR#5l5dRKZu`QoEakgirK}y!c6K)?)MVTvAONvWBU7z(tszy*tR`?+=B4s` z0q9cHmThmmhJqUC_}Rm!BP@aqS5yPX(bQfgV#+gaW5PSF0Lw8}=f-u~ zTUTNGz z`k$LenhX#>vG_BsbY>%M@URsN5M=O*?GW(Tw`s4^$6CQFzhQNG;|w)m^D;J~2O9kEg1q5K4|)`yL5I z0Sdf=W{&vp{rjpfBe@^G-23$rem-$-E1eMY$IcD&aH{Hl%Ro0uoHDD9=!Jgp7aTjjb*eQVc%BjnU1v^PL;|FP-;K~roXb~T5pmYNMjV=6EHVoscM9y{0z zh{TnH_(Qo4fG40!@j4XjG0hK2+cm@N=s<6aIfWZ_I6WV&pV>_?kV2uQZrY|o6KvEl zvXs0DgXlX-DRS>c-QolqmUqP|^SMiXGDGW1SfOJr`HWCl+9`Qdc$dx+!Jl45rJjw#6_pdBlL!Y{tee z=rWEa0(MIcK>~tUKa7tyC=UpX0w2LoVtzG5#cPJJA~ zhZ@UWw#yr{7U7xqT7TFAl(iXsg7Xri!_1#OaN$eFNxOT8~)G-na`;^X3jh z@oGeW!)XHajj@grHHze%`ue*%#msr=jL}U|_k(yEwWSD9O3KpD8uf04Pgz=b(Tg(o ztMlFjY0dTLgwgUc4U=K`POM^B?R~At@qNS|-I!!0&d^YdQ=Ylx>G*?XjJx58{Sv@V zE3}l65yYI@)S0KYAf|S!NPQsyb2$x3h(0TOcj?5Wp14<7F{c(S$w-hT^K?f&spAb3 zE?1&c(YLnm^F)tZwz!KJvmK##OE}Bss9%YI0>i&2>3J4IAeQSu()1g}a^&DV>?jWN znU+8N*xfvSZ*1>C+GFSVz?Cr#g#+-@zr9(0dszAul4P+a=(^&&0bg~HPEUoP%yc7h zu>IuBD_kW))E%G%ZK&y;l$ncZh~T9L#Gkpjv|PB6uDEu@J*z%DB*sU|j@SIN5Rlec zVc}nNDmTG%_Ch7szWu{#wX>6g(TyRdQq`FmJR;lHeu1NRpf(d>mY_l|l86NSW@hk7 z)Dlr>-)V)CdNt}=JB2GGaTcj{rC`lsP{@G``{?+Y(6#@|2|n=rvp#z|?qW)g*@ABb zG(FCq@WR?|L!!B+Xjj?Z;~mIrm>%$D+bGxyw0ZmI#0G3JVuX8x0)@F_6;owTDp znf;D$cg<@o%&dz=eAgjpw2Xw}&yNv}hy{@cxAHD>7<2!1!pRnH2ykZmTEiv)XWIs| zS*ZxPMid)m&_&pEOm4Oj&M(9A=fA4U;2@(0afxn&c9Jw(!knXsC$}M`RV-?30(Y8R z&EViW1|i>(IZxFU3Pkhf zN>2+HoZG|9?$g|$FiF3+MT6S>jRvVNG?+B`Rw*;zsX1j&8I8kg0$rD1S21L5rKjda zM=-PY$>lz4D`}d8qCnxX*srcH@wSBqNT;poZ4{5Y)J$i&<(F8)>=95ndu2{H~npv&&)2p*OvS8AHLwq9`nz%Z5G^% z8!Un27udw_oI8_;9HI3c7Qe~l>hJ5b2fCsJz?zws3T64;#fHrXHXy|qS&l1Uvzmkbp z_H=Ed$K`M_9GG;)sc#Wt?A*YqWA1MUFi;YL@!95*qxNxi_zs?D#kEZ>Z9R{O^+Oy8~-xxp6x=wiP!$mfbxzr3a2(Z{POM=71n< zOOcJ-n%s>uk9o?Wl6q=1NM--3`iL$a)JyQex-Mr7?-oox>r4O~CKL7x0EeOOr^Inu z2yLT!l>2>DwTZq{i96Q9>+ybu&3{IEs|ivYbAON8R~n=JgWNXuZviyB-s^8+>N}6$ zYjDkXTw#16smOIP_R*{fT57HtlnsVz8|Rle%}7Gi?4gHLfRx4vJ=oD6YhR-ET3q~h z;B}TJT_MZ{;H)G3a*6yY#)--puv zyNTQtn2Cog7Ts|k<$ljWm4Q9>QX#L$`^D`=WYw+Y=Dtfk39lOTo|{(|343gG0qK@x z4{+T5J4=b1{V0@YmrHocvPk|4tX!(rvFmmjbaKS4sh4hEKsaet1^BwKE_Hi+hJt8( zY+6XKg`St@9)+h^oB`xI}4!wYjcO$YW9C>QNeL zE=@M!PU^F|t5&UI3Qj65%GBRhzXtyL`Ua)k)mz$D6Sk6O$6@^n&0u$yeUo zrEpw2h3otAUuPGMY1`QiJ)f6PauLe0G@QI!69J>S-0Ng(;zeJI&-aP%14S3-c`U3a z)*2p`DeUz1XkIFjQ0NDkh`xBUTd=-3yIVLB14#$T$p6{_`LNgeYi?L>to`Hm!@MLS zPm?5EH|F~-EI@PhU-JcMDsUd-<-=A@nl?&>6&6c+I`v@P={{==>H5-BX!${MsM}GJ zGU(WKgKP>COn4%qYE%LO6;wh8lpRJXDhG_(!MJeE_)4I|!M!r#P9BnUadjDx<&_kK za(k)hp>-=dv%Yz+jiX_=LvAkvcs=&|8ctpYL+5Y3az3_L$`t&PO99+D-{gmIoMVX* ziQ_t!Y$KKRDEP9@HM;{!gxyd{>JS1XoK%xsPls<}^=F3L-B0~~B*$FuF+|yp9G;&< zjvl&L?`z=Z|5&8)@j{{Qs*|aw3Hw8g1ov$}uMast5rlCI_qit`ox`z}0!b6SIhV`I zNE1sQ8Jrljmhknf7SH`|M2^C?he5zpLSfP}azv7#CqaT8c|!Pi?2#XTlc9vpDKL0x zIiIklBDRnz<@V6a`d|r0Y-O+2JfM?TZ6}R(vpOqIK zDz~GVOi6i$g*yNfXDCEzUPN-EZA?S}tLE7=+BDc`Z#cnto$9Zs3k*8LG(X9XvyR^V z)w#uJqG*{-w1>uLD=v0@7CEdl>inB-Pf%WJq#z_~!rYnI2{kaWDVoBWO0CJh>GFHw zPR=fP?o+A_&ImH*fu+SU@_^`9V=FEo67qIuhim4i!9yXapG+)qng2~&!$dc2G-SU@ORA2kf!Yau;I9D)I`R~j0D7&5V-vvza?bFkV|94E(O$#* zN-J>ZcW|~%0#6}?4qdL})O-or!QaZFK1`fgt)^%$eT8t|&bj5Iu7kzqN!XKd(KZV% z@138R%=2`PT~-wawK~%ZvX#RU0P@Le4){-RO^4jz`Y7aN*ry1ycrM)Ae+7rY*1;Qm z!RP9B4|Kdt}L>Ve8+mUi@I z13Y0j_ILpuUV3OZC@OGY(Qqln18PZTSTed&0S^^pFLyt3_wpbd>KAJ&+J z$aN5VSysG2Xr-AD;Cf)>2%8V0Cv<{Fb6MK3Z8GbMLbqU!-3l~PBG-u)#bPDEVV|SN zS?bKdcK91t>|oZn%&E)cMp1}8={K>??0T13_@GOaxKF}-A({)J=Hf!I6r zPJ-WE1ScAber&@xVD>@^=Zqj5+-VAm13CpMNdB8JS@~_gMO0pu&;1 zV+~<6gP{w@Z`&$B30w4@$e>i&!XJE+=kIJuhRa=n4jG)dgIoik)mJSKcPH>qQeXLO zrCn!yLpmz0E~^ozH$E7VX_#SnM8MJi?$Dhb4kO7N}x+J^uh=4CiZavMdX!f zkYLbAdnl@-3gxYOje?wkC!_T(5u$2;Od-dlxdBS7fcYX*d~i1pq2t0 zJME{*pheM}L8;a#P)v;R)e_=`W)B{m786Tbm2N*{RL6{7buj);nP}QAu(9+vd-za* z^iKVIt!BqS@!$3enbbvC_K7MPnxDBAqvyuDheAx8K>Jq)jreX9SU6SFl=0<-&*CGM&>3n!K2(a*WPTYD-s;bm$eSr!m zq_jjIK@JI_q3IMFT|wDQDy4RnI06o$ngBN4{xxPj-Uruypo$!^>UM~;c!^trkxLGGuxq@B7wO|Z$0G$8`y#hfNWL#SQZ85 z!Z~B9C`5aQ#^a>3#1YVrWWPTo3!-FN^zd}q+05LRtD98g?C5WKtkYT1-9$_E;LE<3 zejlPBe0M>yvN0``WRjdjIn>C(Yy6tRd!IhVGA!u0-8u{*pDHw0d9X2I;YlDVe%TMg zT#9ICPAVr9J1lpeP93g;0>P^aT_!WnSPp88q5sqDcz@zBL?&xMm$oo9L_q9Vi2czz zOufa+I?^rA!2{{ML+BkClJXBX!<1n=N(Mf*zGh+S_cc{3&eBW-gKBYR;3o+I;w6ID ziS?M2ejw(p145t8zc+1wlG$e1B*cw>iR0n6egorZEivpNHrSBqGe%nQ`UrQDZt zaB8uW6GCt3zs{!D7J_{s?uC>k9H-P$PdNC-UaxUv=_S~Afz-3#ZE>0st&+}9;43NL z+6K)0u4^sHoqKtY^geMF1byyRKAgitH%{PTX}>q`4nzdpK}Bik^sy7RB?Fs)IeYpu zTXgn$$@*m?AQ)Hj{E*hx+5Q;y`XXlQ8IJ!$hC{SK7){`cFmf`-`g1GDo1`$>LIse@ zDG5W^!~U_{|0L}$M+Vpnlj2I1Bu8Kx47l+JIn)Y(Cz+n!+OlKqk>NI@kKMnTh;M2+ zHweEdQm_%L3>h?S{v)RZFO^Wr&DI7S42Skr79?{}PfopyvK7i4S)hI=%l}4~$s^bA zoFG1(C9T=jC)Yr~d}cT!`u&JEL!x#x%|*}C_*iK+>LP)tcI0Fw zxdha34}=(nLG78T`Ly<6Zs76!*_ZUXgg#G=W^gtSJ0q;qatI^aP4jqJvYF!71`tRH z&>__2uzuIBr?{EV>*Y5#6`kdGin$-I(3K7@Ph3{dT7UPh{H*xjNf2A-{}G$f=6qcL zl&~~qAr^;Jwf5|U`Wwp;zc;%x8t+Ukg2CE|`LWP=oCto#){!^Ic)ysouu>`MdK$#j z+cxMMq(fYpNVv@zp!pU7elGmTri)nXfqa0}?tj`itR&QKXsk1w6?D9~7(x$qBPP)Y zY9IUS`l$uozE;vo2R}UlW8dJUMvtu?udkiTz+9%j$W4w~#vm!DL^^0s`+>W8Ldc2! zm$dP32k||E-H>DMhbt!k=#{lAT~zzol}!S{7TTc(v=W6zYjqAuXC9k<&>tl-uJeOA zU=?#~FJIB46*Xw#pR0W8(<*~9ndgD~-#cOk3VN^czPTQ;s!}bQpd4Mdk5H@hv@Oe$ zi6?|r4rBN3z0S@kzD{bIME#k6glIfy{w{>StjQok{A*E)LN5f_Q5$vu;$*k=TrcV5 zZF3paKsp>k>Ofq2!GO)sI zGN~va=kii#8e#wUb2Z>E2wPl(vO{e?kmZz*cQXyn7$hZ%_|syGL}R-Fh4fWONs{)I zYf}xEltz@Y%2n!tgXmrbeI~Wrayj*_BDJ;xFWO&%2w~OU31iCq1o>TsF>;kn>*fV3`7Q zUa9B1p#N>%`ryc=!`parNWDEiK%u6~+1#vqX~cR~)!67cn0`3(gabgNB zYd2i6GN!QHs;1_81*$|PLz-@zGu%p-{%e*u<@)BiY%yJCpH((V^QB06XoR}0P3JhV z)$_;wWB+64E_m*}G+tFA5nB*#OkytyQq;eXDjsWXE8sg-aGB8r26GS-9jDpX9e8Xj=h3jT#lWcg?TFNub&eN@!u@uAv?&Ozwgk|dVCzMBzvj)&q^v-X2YUqygDKRD?|Brjg+xAMr(Ki z=}uwTl9tM+fdR@8iBhuU9_xp25UQANBQUGWi?u{zGx|~ zYNQZ?0kqYk$=MvJs`2$s&+zwGhhh#;ym*x`&ex-m7?1yY)V^pJm(UUu->x20DR*h= z@pf@YUNLCVb;7e-e`E4!Ds-qS`8lqr|8?gg3vI-;!cI2z$(+9pm4zmH7cNhlkonZW z1d}TObXoe^)N+>|gx@As6)aFlQv<2@=H62CW=()1lqjsXRe*DgmW_`|LK%jQRn`0z zAe0ExuQ*pZ|2WVeY1^P@HU}`6;yW^lU?x#mzQX>Lin$AE3Nk*iJohnDyOJA=X}e$y zrgM>}#~_@hSj)>r;RoR~7b`l%)mB{d=83uYk$7P>o`D#pW_)w9(E%Qus$UP2Xo1?Q z$$-ETF?Xp)-P;Ypv-}u^E0@GdZxKdmZBk$t8wLHBFxpfHl0%Gj+Y7%er6~Q%D(xj1 zS}Jkhxf&Kn z*l~5WRJS-5K0v0V#0AH{WSz`HIp+>{d zqF%Pz!YJ{q5cc%aeQPXXZ)s7T$@fEpYWXm$yZCKvmI*s12mYlJcFh0n1x&oI0(*&v zO}Ymr4HWgKX-yOe2IJ0U!(t-W3g-mz`57DRKWA@Gn#k(KFQc9l9KVl)nvXyGg_eD@ zzN|C^Aj!bOZs`i)ZB@(m02`!kU$> z8pv6+lv0)$k4Ux>pCaBdXp{q3*ReRQzQzmyAq$l)&2>xGaP%r7BZA2|f{>JSCgHyN z@(g9`X{BZ`GrH(sMP!+OCulP2d9cEFi2cG&F`d57Daifnfv?~krZIuW!<+rjACxFD z1}Dlv?jU^4yU}?H34m`Fp%jo`yBnYm_eAyIbYzrfx9B~l{WiuY*e#C&UCKoTlgClJ zplr4Y7-;H=LW3BWT*$zW6KZ(OX1UfWtVN z5g$sMsUm5A1fv)7)Hw+vz#gg=-%0zrOYl|N2jW>c>%3Z4@^r!PeS;r>k+i}Z_h0dj zMd+qy&R&;1HtSy>|5Ho&i*s8?c5Kn5@QRsbFKAXkv8;$rk7qy@N*Mu7Xh{Tdvyzq% zBS=+*K22wpE($YfQ5YbMGRhCD!pTN^ygX5b zj0G|qbX{A)k$MOgWAaDL5IgtY&o7qGH>!!>vTb*DQ^m7q4DEwatgXZTLtwJHQF7?L(U)iE%! zD*kHy%RdFz3q=I4kqWQVxlnQy)Nkkf)%S>Uw~l+JQcg#x1RC!u^#*QY1nvR8R3EM3 zM9;;S2k`X_YW&xPU&==Z*NqYg`o~UmBH#Bf)jqnIi0I9PZ9sYX_YzgQzunMje?XqY z7P@;a3YYBxAJ58IjX`|I*y0i%Q5&F~3dyUZ_Owm(0E9vVw+!V4z8*bVD{GvE%JQyd zzPEZ9z795Mo-P(?$IAoOSvwQDNKwZWE%@>e#s(l1ZvtOCey;~Vy_k>dUKfAL^xaqI zhRQMq%pQ1&Rz)W1Emcv#q&V76cjxW&oZHMx4;D77cr6MEEdznikcZHM)}*%W(M4r| z=R&Ky)ERlk2t9Ogz^rV?!Zew9#v~rMjKn;0tqJQgRLm)`3fH(YQG+PmTY@rx!puTG@2BzMlvo?9D3D~iGLl-Dq2 zD&rVJbcFLytP97k^D*hjt4Nvz64} zm<*AYBphm)BNdvKWRy7~+W}$(oKn83ZfSgIW9eUtF(uNZF=2MY6+}|-in7QiIG>O* zEFGjx)yq#*U1>-sBK(eA>`?v@v(>{P&AQ>@fKt&qEE!f&!6CI#M9)PVxyBraWJs&d zQEk^*ax^WdQ@&z)FEF$AFMc%o;`nHM3>#Woz*~IAE#gnVf8q4n5Nz^=uJy(ber=kz zlPZRaYXq^%mxq)|__c0$K{cD5eA#1#!omoCnJlg|5;QyiSxR2R7Qib<=^r0@I`*vf zHgb1R;YALUJ|_Hs2`+x)^bHFu0R70jOfEWqJ~20+v)!vaZ1T_}R}eoVkv@6J?_^$I zVT|bJXr%i1-upr(*#wMch+A@1h;C!Sw-v_P0z={W@pdSP#2-qnB%heaUwXHi0iPi| zYBc;sUR3P`J`P>T3^wK(bDA;H)FJ#~uxe2H1xW*!xg~d}BN4LRt-&jFb9giNA~7bO zfSZ4E;=zl%sJiF8Hjy~(k9WV`biM!x zZn7FGFN191Tr@xv<6xG?0ZcEocL<~ztc3{uILfcPCkC>i1SX6P{U!Y@<94FGaZKEm zuF>_G;ayn@!Zvhy9aC)MCoZ#<;B`L@aeCt@S^6y0e%TEtJRzc-hW7EB1VVVqzAs8!)zB>&?1tAQ zOGQ?zqXyyYzOPc7`SAxy`crhy(`;ct3Ti}9*+ip035i$Um{9k6hYM?eyqr>|_1jfq z`m*-BhfrIn`xA5)u@||9eEF!Zm;B#S`S<)(qdo!!564kzc_4hGuc-=^Pm?)mO%bSJ z57RB>p&TX&Ey*feg;;eMSla^a%!h#2>0!)u5pBh-@|sVx=WW!X=8pjoQU1+>4aqAD zsQE(xslWYkk+CIhMBRWS8yRCz6kV=2AZQ6{%0!HtjJ}ocUPA~QpUWBN5IYDB5o*CV zIS5qkH;rY-VOblUqrzJrIRj&yksAY!A>J`_y2(K2sntfbmCIz*y3=K1p-0B2LBpwO zI%n)ZxZvTFpgT77XkgbOjSGcsJsYA+m*iJH&U!(u96M{zhf^=PK049F2~@PQKt8)B-?LvkywL67l`1E(#Hl;l z!#381aIn!yRd^;ueRk|rgDEn&rxclv*EQWG@Op@ks-`odtF1zX8YWl`RiT&{)1t}5 z0m%R#(`2(-i_o+Xn9`+1m3wp321yboW4@%iYbBsl$-YsZy~i)Fx2E0r03s9>#asQN zY&j+`1Ktk415@HS>sKR*p2QcV^siEVA!wJe*A>O?^TUv9gZ5UeuKF241h=Op@urcN zVtx&IyWb<3u|>)dS(8n-+)gF7mXD$sH?uWkv{prWVjVh5`i_uA06aoWCtV_piBXK0 zR=LwyTV-6WS!KL&Y*%`SL3)Fki_g`$_yv>hm*veP7S^*@met?VW0C)+8mJWm3LnP^ zeiw26E{znT6G1Go&K^HnqUj_Tpd!*Slfw0nqLEl^u!I|gv<)lIvn6!ad+ z3$Q^0*Xai-weXJn3i@4=Ync8GoHl^F2bpP_3{}G4`C!igCw2k?a?Wp|+R3SkoMlyL zG|NR^ofW@TouZ!V)vi;xH}QljiW6a|%uZ@lUI+sk1RL2O@|*T;yb%Fu&Iml|KB`se zIrk&|rnK>_b~Z9w!2wnrv}6O-#mR#eZ2xc?2^Z=m6G@o`L{ZRu__po~a_l1HW}LTq z7;=3Ei>dFt6P`YQ*1TUdx8@u8qggi-g0q6^2&LsKLO>*Nx;NhG&&Ws*KpZKkx!t5B zFS(+x>U^zcat0=Mv8AQUBqg&@g+wz|9Z_@>sfEjw)KCe*gp-;{ChT>oDA!>vAXjWm2RNK|Cv`m!Bv7F6P}$|&$&gYbvG z!ImFa6=V(NX1-p*)PZ-_qg?0q9RG40LY<0zL_@=+%cwVKYcY+^B$YX)hBOGH+qR;Y zF)z+6Z0wNpSpZ7oRWH=P&JmxtRjo@-zWd1+4CV6VwZ$l^EW632$4yc5I_5PDGaok% zaAzB<`Gj$RRM!hnyusK&g@wO~P5IUbV@&P7PVxNW@$s-=!N1ouW+R1B_Y$)(D7f$m z4;^97cVe}2#TN8&=Qt|t@1cJcsV6pJ8#FBX2f$dVUUhO{p%^<4fn;Lc2gxavCUao@ znDt_KCe@wUQwVTxl5U&IrpNfx*)#tveZ;-nGppZoU5b8+bQEV;DvuKRaR$zwI?;{#9`@nkV1%i`WT%F}=Oo^phzjrK% zX?%UlQx`b)*JnSEyd8h+ROK)KJ6N!mIoVmlXQJ2q=6d%)gVd@~UmI+}BN`1b6bMdl zerlfv3=3$G%EUFNfEXXNnQ6eMtQ()kojVbO*7i>~}quGN%-la@#W17Fw5 z;;7EK>k5i`ab)_5sd1%Ex!ZQZyzu;X0^Q`hi6%}()=a12_E_zZ`n$w7gOxU-x~21$ zCQ0{BQ7PJS71E>jmYQ<99K{Ca))e@%zHfi@E&euNINrVrCboX&!PiYl(0Y*q?9Z@I zzh(k=Ayn+#;zDd2;rrT{dkBHEXx>s530flzu{23IZZi6xxW%Oik%a-eppKY#QApz0 z3^cNO=P@N=?}$rbr;s^)VxCk{Nmtuy4>%7qH`{*>Zncr#Vkgwh=0m0T^dnqM6LMo^ zDD0DHH_!t=^a}<#ez?4NCo6@QTJSOYaMEMi0t)tBDr@gvj8Qit#WxJ+gZCm4H7x+H zp5Fup8R4#|&RV1|(4yDeA}xKgD`8x-Qt!4XY zc!^gdO5ia$421^RM7z~7r-b8!?a--3utCK*E!a>lTc2=rk?Px1O^Mf|i2DXg$Mxwa zRf-?Kgi;(m*E$~kd7WfzQ+Sk@%a}#yh9}-mw=iO*px}qloF^p6@-s3O;w+iW1>SIo zwPE)1d-dBt@d3!Mbb zrS881I#`~nf)xEPLw~a`L9ZqfUX!mp=s0r97?*anb$@64Ox$~svecq4C6zMnGqS+s zewMY^TX|-0OBH}MPU^*>shR^@eJAjjUb`UV@k(*(nT`gp?AL1=c?NJD+oxonZdTg~ zfvz8=oV~XLmg^VKefG%Ks-(e`kb4#=-s}6$$ELuiMK54XZ_GZO;FbIL5WY1@4;uZ< ztnjdvS`NfY!Hc{w)kpfAZ`)uLV$UPCgo!fM@aBSkdWJ zM5u^b9nsbFKkWJDy0Co3?Y!FBe-%-&bV1e%d1646Xd#F`_wLv4ZWaMKnF?@wb4$eT znkxdLb0YbwGjP{%q;Qn_{^4uL#a>p~!~kf&)nUiD&ZhP$I?%?`;$)myjpFDIDa=8U zby39Ssg@0DQHqRXe2%1Xpsnqpj3w0X+dxLq8ovVlQm+-@xB@FsxUnR9W=6l~x;^pF zp|6_K`hV*`f+k~1NQYbfNH0>QY^@|xeeUkO;{AI8Th-e*#@n)#dvx!>+ufEW1kHk) zB;pajqB&HugpM-AVsGDo`AZ2ikJ@esi?fwCRH2nnG7E09d4f+lEFND{3-#ABH_dh{ zheR$Pro<>0GPA(gU~gm=aJZ$~0Af1eO($MSZ!tMlMtwbNxsz2$+7?AxVhS^DBOr)C zEM=F9djy$4>Yjj00+m48BEt_Y@+U0immot}20O2ojf{XoY|1ik18CKIfK)&wb{rwz zp?coqcO*jE=if;Y<%M6Z3kRIG`L~8QoFf*`%4;XWdjxDLbT%YZXfdj8;B=tdUDI98 zJV!Zi+@*4N27G9?F5k}~(AfgWUv@_~d)mZTzJ79Z%RDQWGq=Zwt$^QT*_`>P>hf42o5DQ(xhPryE*E?b2Hyv=yk9nDyo^WOc^Hb{d$O zq#56ilez5F@tiK|EoDm7GkB{v#Y)saX_a=s!b7{}0fsLdg@T6-hQ)SO$I@eXw=Yjm z<%@LZ$)vR+CMacjUesBN+&q?wVu3p%WOM3E)#)*NmJOhW5GN5GEd2iM8#V#QM{_S7 z-N0inkV~ppoU1j6Hq8<<&$qQAsSUbhk8Vu}3CZD~7=2b$ve*z-sV2Un%CXg7lQP9U z`Zk>qUGH&Ixcr(eD`IH@i+B>JKIG26vA3LJnkIc=hH{iJHA&yz`D+*euaj#LG8?t~9A^%LwBI@~IIkbY7 z0SEoJnC!TX#$?!vHc=ot@J$9t$mN)@Pdcbl7Piip!|7J{Nw#SNPR^WQZC2GazKk>h z2L#(hKuc|LFHc>5?=;v~24)eJI>rIB&WEnIxCMuXKP3NgtNG#A8lJ4DLj8TT3nCgH zNu!w>JHZKH=`BnsVE{f+qMc=pC&1Lze|EpxZxCWFQk{8+aQ2uet8}DpK(++X%jY8( zUYi>`-^AtHAqGkb^h8IvUhK(v!_OB&mq(B5K8nBeni^-RPp9Wq&@Gj|SKGxB8}vJi zW<5WEb?iZ#p}Y$1^R0VA%u%9Z;N_+Kkn<1{$wi+z-L~}-xA%mmGZpLGYzwC&JeDTi zv6+wQ5BAluTRcFx%=J9ZHfR?hi96@TF~Y770$T##r(uHgebPM}L1qmmtY1cA+hOFE zZ6g+$ta6ka&ZoKhqg)`~Pbu8|PDLYeFkM7Ekar@@x8$ihuq=!iaHPItw&XEi7mVr> zHp4kG?t>@DoHy>*!EF3aD)qC?euv?v0}F*Ib$*KsLCRNWQSRD|+6v!PpR3wZv1Uif zlhL@nxu+=BupU10=esVNvbruY>U9VF%emo{z*Kx8ioTNAkd^8kwHu+}aU~w)3+Cq& z?#7#m5$pY1|LTW?Wu3aTQZR1lCwyhytYY3(tb1l_BXH2*nE~cQamAIdhmJ-u{!JKV zp`B=H9iN+K7JdpdBYz{n&O|h@;B87*$8xDgZ@5x$paEP(*&2=-96N{30l(_L`s?S` zMhHSH`>05p7Jp;*kXOk$nUbItspGL7O|=DXju5`hUyST88UcT`(rh&dWTY6(&HU5@ z{Z_`j&S|K9oc`)(Q^e((namwSe1z_I1iK`?FZ z@CvwXEPDmKwS#-a7?cpU0R}5g%&;hG;NlVdf4$3Ci(M057a#}WC@mt%gNvZz-?-t; zu$oZwuK?r+xmq;CYAD<$QqbgO>#pU{T?^w$?FFWu*a1!uYd5{c$)8Sw%kGQ^MAUHZjq*CSbtBZjH*j2&2oa46W(PUCauZ&|0_(DmQ5rg{PRR7W;x4d8y ziN5o$rWv{aD~DFvqb8P_T1iilQONaV>`d+*!152_2M5#euS7@HyWc)|q*OaRbdD2} zTxAKQZ=_NyE62*A(G>yzyB6U7Q2Ql&AMym>7P7qj2{geQ^`&SXQVvEz*5uNU5|7{_ zP{7A>g#aZzR6-)E_4fHzFY&7y4~CY(j;e3ZN)HCrc;-4J;w)wN_&D|$;L>9Z682jm z_(?Z-^9F{VdyZ+grrkaL2u?84%ndiXwYj+V3+)wlAv05J!aKY7(xGq`lE76AEX5x0 zS}X9CLwxf&Is&CIMBt@gUjc*Z#RGyTfr@fY&OD_#C*)7I6vFP$BPP&ptjR50rXp-r z7BoUfy}AhM{RdFq7WS!-n49OdQ=fma_@6vPil#$i2uQf zEV+OA^wCRSjr&KsE1ap`FOrS($N=9FTZ~yxY;nX;U7HepxvY!pGkAy(dbTm5EiF#p zCV{3jmo|ztpI^Q#i@+Z12eQRv;zdcNy&}!bw}v4hn}!~_t`5P8o}s3^(SKbFPcuvxbb*#x@iNSs8v!Xqc9fR zJ7@6-_&!o7@`2Z{JY_HyBW{1F-itUG`VB`xM7W?a#w&mBxb#{HF{qNk^B0&WeSW{M zkG^URCYHqXTBmL=)v%_AP#ASRdC$(shvLTg-zbg$4*=sp9KREw5d<+990;)U;ajjG zmQAuK0t$wvfEB;(Z{j+ZrFNBrw8YZq*TN3!RQhhpnARhVL#AC+Z{~x}${X`OwMk;mv?$lodh7R{xk;zLF?U zb&fJ8EEr+aH)a;HG7hCHpYm7y+MT3U%V5~IKtiXJ%`ss2 zur+Xrt%0CaydlfEmQ3mi^A)s+8iHaQU2wG{_y%4u9Hmw;C!4!XN6rBXIzT8^%*p1_ zJa?6B9!+#hrPnXeXr^0v_3ZbM3RX@N0jbaq*xu7i^XGd6H;Ck{Nb~RL9_PxzEJsI^;C(6u z{dZ3U8-1xOAip+JMBFghI}XW!S+sAXu%mOo7f8E5U^zm6i)ueEnJ{3_&U_ z7tD-VrnEwkO3MZDW3^6rfE%ZZH3Y?RE|MK)+DV?O1Khm%HS%1BAUlKM$8AC*XJ<+p2WUJb0&)!8WM{mQ3D6PI zO*y5IqyGd2T+6V$ESQ6T*gy2?!fYMa2bunt1tnCod;;254dJ(5Nhv%F=Fq=P@dOmm z2t9_gGuFNZ7kZ8SNAs(z>Yp0yxQ@Nf;?JTI;A3IGLv=*xcFZ~o$# zNTZR2XU;9IKs%<*kHO@58Ri~gxpE}9V5B#or4%S=Uo}~aNN<2jNd5W{%vp<)-hihm z+CCpTXDy7!0sX-z_{>mdS&+Bb&oS~KK;X59&RGjo34Ds_TG*rVidEJEj1R(mfP{&` zBjd-2ZuVmXdd=K5@*AKYpccm&b=#jBOKC3?ZY%Q+Nv;!5Jtc`xzc-HQ_@^9n6s%aQ% z>C%-v6Kz`+Bfu+NmTxmF%SamWz9JQMD}-Vjslx4Wt+-p zP@o%OiFmVthkE3tbmIn1Tp8%hMK7~0EUWay{ zA?3!dUA@k(%YggRcr%npP(F|P{4W?X7N6lfF3GkUCD|B{{;oa7&Ys_MeTE7&JTh@~ z-tw)zBWn2LZ~f`-cs5YOx=^dDM}w@a4T{1X3bL}U2FJR_PAC`|BG+GWB@wbMWYSh_ zGNr;i7^iFqR`DHlhLnuD)eah|)~s1qkA`hoSMSHdwydkiqc%eK{nv~{ZIha1@A)~t8Tv$tq{S?k z1%p%o$(xIHZg7BML5(-pl=&2<28CYI3(tPVC@Zz6dWS0MBT2GUgqHTwd_d6)5qSt; zE0RXN92)XM6>?^(ofhX?72(lgQ!&a)89JT6Y{&5>JDWD;^0*cQ&rdS;0=2=_j2sqV zO(=w&O_XL2`MEl7iHnxFh!atm++1TW%4>J<$=80}H@)qJ)O0O5WVf}J0cLwL>F`nK zLRU*$zLMA2>LYukF;x)dnN~0tQUb0F>W8+Blj~fE;|81ya_E!L$VSDbK_LUqrFboA z{;Uf_k}kJ%?3CLds(J$+DKW}X7ZMHXf=KfUX!nHf>y~YUw$?9CDzTB0zdm$T=ZYE| zN^GNbu2N(J@Vi%ytLj`)WwW3)U!khb6;(DsS=1egUtXh-y~=hx4N!CB`x8~RHL||# zZ_kCuRApQD3X$UBmg^Z+wpG6xSluEWw1eU%sKeUFNIPWgW(HbXZhw7`)bP0^29`^U zHJMJ@tE48*BuhIqW+60H-AT_$-5hW6t?226x1A^4GB9vex9j5uDL-oS zFwVE?)Cqe9y~X z=Ed{+&6^(&|M@@vYyaf4-%zmk`o3J>^YTV9kbU$( zIob0nEcyownrU5-Y}o^0gs4lA^5HFH!#?W%WY25V`^jc~)cMJt*SPN^1O;ZR$92H(89h)o*wgy4$Ug4`4CDE%i4On={djHA5k8nBq|zxpEy0}7yBJh zz$Pi>p~`npPx=MW4}fV9#)tDDx*L_%=5THjsL zF>b`cfzDrqqi?o?n5d4Pp7e`C>URWOqxHy@FU_tz8dVX{an1F%2cFa>G?PU9MM52P z0ReB=24WfdbYa8~SaWyiDL3``Y)zaVQ?pnTT`2W$pZA`DS|`6}*lgc3A?PXZ8MX%A zGyJQ+XZ%=bd&+x;b=&uh|1|QR;p^6c=RbK}Xy`q|p|AU%z019Jf5H4OQg{smk230{ zd}XgwPlie*Hy;q?Dx<1?Ya}>jJKS7Q)WB7Z{YjZqbqPVB%E-!g+8-mfa%&?PcE&k! zgasz;kMqqLVRG(NBfmhpQy-t(vA=Xa#%9aFql`M~`t8JQ#pP%zbGD$|LBTRceoay4 zfTt!$9i+^u64YR>sm@=v(+MAi=ccrEfnjG?{r+9^`(-=rFBCy>|3HPat6D>Mq7l;% z=62ej20u3S@$#tWo?b2Ae9Kc^au4p@!xq{|OAv%juosn0EY)RrI~VJ6Q{W&VDJb4Nx_e!%Rz!U(9SwQ{xn zJahZ*kX$+8p-Y?6%bsfnVR7#mSMAE*VYica1-ri5?+WX`|N7^D&X0dp;CruPjRGN` zxK3bUR=`Z~@rg&`tWiGW3q!1gzBe;6iR*2G#~s_&=(eFrL!l_KqF4@Ju|uwtSP*Es z9u=UdNM8}zaHV|!yA+*_d}#3)U2ugtrd;uW(WlzH7Kaw4Sp=Dr6hZo!dBsV$rMMz+ zt5d=eTgt5|jE+tI_$9vQ$>jeUFJ3nD_xwC06aPjD6l|~b zN8bK6u^xIMFHop}+sB)|1^;t(ArT>nX)wu{CWnD{?Sm%xXS-D(y%eSk)+rAL5Rbd4 zIeTC81UFQlpaB}s^z>ff5#y6Vk9H$bcz%R5XK!nQZ;Y5LkgvjBZXY&{dHm>WlyUSS zS~qLXe~92SriTby?L!2obLK-thgR$Tyod5!@t?wD?LR~~-s60TM!ebuyad9J$}7|e zEWEOD!1Jb=iwoBZYB4#fE&m6-`0Q09jKgc2c9_V8(~HOJQ@m1!A(dA=L8RGZ&P0?_ z{eEGJ%(-})faHeC;NEn6L@8A*Lb)X4`ZZAnE?8bpKpo*|>eX^blUOi>9LPE-5hcC_ ziD%g)=_k53oQSf&3Gcovh3Fw|&UTjQ#>{b+D!JLKU&j@rOYwhv|C_!xO?J?}(3Ugc zcs!7wijDg#Yo1X-W@V|AZ41P{$epSnk{4n;LXa+n3xX$E+rsyt#!}l6f^;cd@C23u zNpWCMn|TT$$mhugk=#K!7!S0LF5jw)xK8rK~@D0Y^$&; z?WOeKp z=szZ={O6zmK%PaB>|G!USX}Z1_7$wj&_=6hqj5 z=wXC&1~3h1^1(Di2|zWSlh#|s5aig0ms0jOTlqK_z(-VepJnBr9s-+cA7Ui(rb zBcjFR-(LIAyj!%G{Kqr#_T!gd_%FUaxKxM7&0D`Kfcg8*dQognvE@Z=>+TW3F3c$e z4+qJ-9T6xa+gu2sUGRWD8A+hR3<+Ix7NjC@L2K680CRcltw;^xg765KKt+^s+Df0$ z$-gpM1Q$eU4(L6YU9cJ%l+C_^AWgmt7Pq8B?N)t*5Twa>L4^364aC@(gXm^|h%JK+A>gG*#yB+-0=YfeeX-BE_9ttW zNk^wg=8_mfrYa$`uJ9@r9#?Ol1j*HYgdcHx29q6OvDxu1*Z8hoWbjtkb7{tf? zE!wM(oSM*F&wPtcKoClO9XHiliqe|-9uYMudFK7r#}|jBz$g9anB_nxlfQ_3zxn8A zIY#PlSaxHCbya4^XmXdl7@(nCKMzsU`5`&Sx!tK0&-hKbw&Y=1_j z(GRNXZxi*$w%`cY0&i&cd&JRC$p~(TqoM`M@Vi)l#P@-!Q=D1sFWYHkv_BO=>@Psvv?(!``%U-Yv@y$gc4M?X?&l^$=H`m$E#F#o=8E6{NB^zQ{p@H+ z)rGt*!e3J3bbz7hPMi8A)k>WU+O!**E{vqD-ex6UbwThdQ02iaU?_R3bSjPKVQq&| ztQra08n7Q(;e|zl7prBc5X|}x#u6!FIrPRL=pmTZU05WXYTksUyn!f9x& z`jVc%ne_Y*ef9tSo7X{MsL)ccq8rfk?taDUFr0}4I@`239vD<{9Tfx$K^jsDBC@8P z%)nAf&%2-ptr)3dLoi}RT3$ai0#K#$!@P>#ba)zG7d(O;SR5XBG5hw=lAgCiTRI!w zGx7?wruiJjYxi?hH-?C8gl&x=t*##$I4r_xDY%iQv%I3F=WWRo26>3TbJ!hhI7|HO z4EwzsGfFTrimqd|rljZn_kb@9Yogu`XOxyaBp=C=7dzS}-qlRz0=oT+V{3C{spb|9 zo?I^fca>GW37J@%tBn(GEoJnnP?;}ygo<;@=$c~h?sx)TpHxY}CsK$RVIT3F{+dk~ z2#Q_{fn+b>#PY5EVO06`|H&`^dw)1uN|YANqe^GO1O8FzUr8CyfFLuS^U}It(7#Sm z#-kM%X(xpHP@?+6+ZqTKsXKi|91#FSXk#!2TCf;`)SWJf>8;8W3vT%y2|abE3!)o< zNJk}E-5uw%1@6_5qUk(o+I&r)?9&Kw04|olFTUdSohLA#gg>LtAh@v#9FXe-&bWiZPN}rf{ zs(Zas_|hxYzK3c47q!0z45i?vlz(gMrfob&IAzr^AQ`m;*l#mzl95aaKS|)F15Ok= zk&mnsmf+VpD`6?TjOqADSXK=K6XZp>V3idR|EDAjiRD82;_QT>J?;~_x2M{d@a*=& zvw63uHIGYpb~EAGue|t)KQ_%;%qfy$=Z`G#UF~9k_Kyw$N5gOqZ7rB>7}ByxUP2HR zMXA+=y=hzw41Ce@AKDl-DI6v&TQEe9#1GSRP&=PJhwNh5KpZ#(n5nDSlHTcsZj4(ncvPCv!dAt zL|bcXAWEmL^%a4eA)f;~k5DFV1Q{;6;An#=ZLJHA_I=XUx*)2WSGQEs*1F(mMAuWB=gE&nK#d5-l@IMh35N zd*^AOWkI%|f==an2$Tq-+N#F}c4~Ztrc|_(A#35t=Z>_0R5&uuw}SNmj(kp(E@<}j zbEIV9cKIHz*0tsZ*n@m-lsh9o%CO7dlZoZq2#pEMUpoE9k9=8ZJgvle0@HB{N9CJQ z{3Z2M#wpNoalz7?F$AmRm2^Q)J(9i$;==mPLzVXJ)S1A$d1W_%n9Lhh0U;WA|kT?&X zTE8>`#p|<3P8W#LqB8&wP=!#_!dB89jj}<8t!mHHPLWE!$|$w15ArH!UBxFOCD>Z% zf2+Ervmo!!=OFLT?ewnWd^2E!{gf4=PcmS6|2iW^E0|UjvY*f#NMQjxe0F}!9@~jm zyO$rEt2yQ^KcqQ+_^00VEx#IFUAj=KIjkLssOYWHVcHS#R%XqS0CjlN8x>P&4wP&a zXN{^#tBWel5rDe=sfA(DSF#f!rzfD~P%+&ax2HLD)*QyVLBQ0mC&)*^S1iNCQ8ezM zm-&(z?OBX5^Wa#*f{%GTP&9$L$~x?Iw#O=NfH?1266BshE`2V7o3EQqN~DO$jko`W5nsS!HwYGk z5l_B7&|$c`KrsqfjP2P#D0H_MGc87ZG2*X}>5O0Um4D#pf7548%m^~H9Wo*)i7V1C zXi=nGsjLdlUIzXY^hj&$9)jZHn_w}y0B8${Jd>D6ZpQ@+BMbN&+e1qj;D-)I7PKi# z>>h`fY>%%Pj4Y?#^b#6z+wE>*WI^w7vm%YS3kD<0W_4=MQ8QzHlA5wWDr~(*w=mPE zagr4y%Ml}9q!4rhzEg7+Nm3x+wq{{uIiU$qTgQ@QzB`4H1yINy7ma5BeNA*y13ypJ z8YIoy^Q`WVPB|fpWM#=#Kl&^eLaeTu?lRxRHw`TT`tb7UO1>8exhuhsW6lJ=cd!IM z_PJbSyosL#xL(|GXgq}K)C>R1{fCFx!xrIu!tya6FIF^n!*d4iX82#^$dv6xZ%`T) zbl6x*%P%`5)8l@z2c-hAHslE;&v*04rRO~j6@vGworP)5^uS*noF9>V1nrvAS!g?6 zC^VSe5NL~UUyW*1&}nnT58)$+*SCE&6i?~DUB<6+P2}F%H(e=aOtOs61e>gNRmLw; zjHOE+!Qd!INBT`!29jiY;4hFMBdrlDX_#NuTu*Hjw>9_cBY6|>i#EhR*H^w86?DLC zQKa;+Cb+NKE06B-qG~RVCloDYO)wZ}&NH27zDIb+!L`eyZ!t{1I{KL}CYaK5c>|jc zV=6Bu{%zZL-{p}9kGj8@{5bYvl5*WCmWEzT9K}fn_%U9yc#Yzd9lQ`TVUPC3#PR&a zNWXDx z5T2`24ccNV57KkNR{#M#va!Ex$Ntj%8JjwFD3;S9LwPwdVrjW{iqQIYrwDO$4`74k z_hX7sSN(n+{1YcE+wp@toG-Lj?0@3ypY($vP+dRSj{R}LayC>SuToyZOeO5>o0vkB zoCQoF0@ppzB_3B{a|he)n^>wtxuBG$?qRVm&t|Q2RSoJFf<(IxwLd)dEvw`eKhJsxt#cfS4M2mZ>G$5R{`B=uy1N;bdQ<0+WG1A1^; zTa`_!L44qcu5ZZWfj`6+W12}!5^fA?N{3)Hk+ng?p7uiR?D5$6Haaq^UZkC^X(9fD z)ivafTT|Hb?D1ecpwA-)2C6y9%HxS?$lJ9c6Vsa6<3Yz27_ihkG19{%nePtiq9w_2 z0vmLl5Wson+k?I^r1F@KKu)H;n3cx^YR#P{ZPvTqWv@LR^k=C{x>90_{1D}Y72K>f zkx=UK)N`mOy_4`kX7Wb&eUn(Cq%Fpi7Uz~G<&b3*xouSi%|~XSa(m~0Q9o<2*y^BU z|Dp-m)nf^V0Iuc)i6Wo1WWig91{7Gg>d**xb(}vPnhL?GX|?3g@a2#5mzM9?)TE|6 zBq&xU4FGo!-V<6BJc@=eoS(&AdExTDI9zzN5Rtzo#z!pf$}8EF_2P#=_dol=NiJzk zr;w1dj~}Lzazu?a7ef%#PiheDD{IOsf*q(UX=72Du+l^bGA?sLz* zx!gLQl}oe0PWBOnapq0-MB6|`V3 zSc2JOcaTJG7Gy-{f}>m?v|ug>Vh#d7$g73Xhs~i`VsJr3?rgq68S4Z)+6dBuxu8~} zxZ)bYej`Yqy9lmP2#`Z>0Dfr%sjZ42^6W64>|N~;eb$#p6q!qcDVZTJc}7;261T7J zoNqnVlGQVaiam4_#=k1~n4-t9hw&*Nyqr;d-F%|Ns2ydWZ`J%JK-Uy2_L}$59hVzz zk;*{haNa?RQ^^!@J4_KQQ#JR8?J?(=GQ?Z3RuStVoq%vG9bcojIKFJh@evH86FTjW zaIUJyN_INcvzu)7Lg4Z2T`=J*;__CT)(0P35!pr7g3DOj?pp#65Dp`^3rnk(qUPnGrFGhgQC<#OS{Jk_4~P{3h$Ltu>EUHrD!FY+Afk9G68S` zB{|rqzLa8vT=G#!LBe8B^s#7+`$#qIIfnYCWYh~e1}bmd<}V$oH#lohrU_oBUBw>g z36sHWYE`hFQ4eQ8=Wo`;@@?!#Xw*;sosU2FtD#NRw6$@I&7(ow0w{Rv!RNT;gzh*% zf8b*QOw|TBIc~8^6a>LA+(sEWZiz?;9)BAX45Wk7&C#BSTtWODcaP} z62F00l9B*kwUX4PE_v8t{JTh^3P~7Kjul0lx+K^hm5QJP9ls_g)6w(o0pJKBom?Z} zsoGzZ?@xzE0`>vti2+0`eO5=$H)y|F@w!tILuJ`>WG40g9NDD>On+ z8r8?LyI-FU!^c(avozI85)5M8akWGmLonfi?>;k8m|cMF=3ZV2d5}gh-1aso|(L) z`o6C%QEq=rQ(5Ss@<#jn-@Nwz&;Gahy0`mP>cjWvE&<&2~Tt;_7I+A_NsxV?P@Po@o_GqDM`=`y?qU&m}RfS=YoY!FtWvhF0y> z)hTWLej`43&rmi7Q0+BZvFt-MUh68QX}jW5aBJ#+n?-vErQDEA{2L`w7JI<#F3$bU zQ(UK1M|z8ep$Uj^2R+YCb=V`n80AzHefGntDEjP&)4+o{MbXphj*59*^~DF6h4AdV zKM?Bxdkv`>w@9i4^KQ{%lpha5?77d_fAw3U(xMe1H_Be{G;$BdmEeETm`!32=H_zU z8WVcqlhf9&K-k*|@^$tV_rP2U`f86}>vly6Ky`goV$f$S;o@;G4OF?nEdi(r9#J;Y za1nEY)r#4{aE=myn&7@W(R#x$!hBl*T7HZKpf0%GgCSmwGGdM9$IvMIk3stx8^&pr z*V|q-n!$!kmXsS9TFkqNldS62AYL(}44(S-;DP}1H4n-qgQIVOd23Fyu3Mk>+OCe` zmURBdwVZ9}iyf5@YN0KJF0@HTqI~wKDH>d}`Ok}|Q0#pi?6R}oCAahbH|%zN^6&g_ zd=sDa7n{o@vYZM7g*-pVVU*@zaessjzGFkE(v9`XV=bH7o zCdpo{iRD|9#KQIGeEpAn-H%3xtL7cs3dU$Xx++|2XXJ1_n(EXO^rK~F-T@Z`l$8U! zSrb8_S(Jr+1ue;YM%)}a zS1<~jEsD3o>K8SUNj1ecT~)~aQjo3rq#lw_N?w1H8={g5^&p>IfB4_#>uR-Lp#juU zUWB+``Y`h@kIeYqQ&ZLmZ1?g4%|EQ01B8GHBz27&lBv)GHl737#^`6GX}Y;bVs*-z z;8Rh_18^9vWO|4%06asdFxTAU|69rQ6ki~{OA3JOs zw9B6<{%xMC{MEqM@eGlktf_DDpL{IT&|`-a#rJcL5GO@x1jHlUWX#M~ydIKE&DMh6 zP=+>RlyH^GeSP=+lGh|>@_zdfAC4TlSsms8|@aWC|0 z`Q}@m5@I-46!)-|+o-Wgt}983fdU#!?J^0>JuJ3k)k=n-uT=s+%~$95X|r-Q3gy_V zhMVj=j`w$85`K*KA-f-AUCF>4gO8KAI#57KuT3UdkX)^CMIA7=UIY&WZ@>g(L3uz; zF!A)Fm!=V<0q{d34NA3+`vvR6kSnh!kE|a$czRb-Zp?y`tMx-8p$yN6u#&BWW?4`k zViQCR43G!~*YHA{LrbpK1=l-*+Of!pgN2D<$26nWioMlYI zwpC=HbE>u)(A{D`l=_iFEE;+$ApMM=b9u1%wP#i>zYHxR!3`9f{zcH)VnW2qCM7Qr zvSbyMZX*>)5oT3pWTL~UW#fP@yj?a98QmD?kC+m4W9bJg@oiW&*$%5BeqGv>*S9EY zTrH55g(9z1^+NAO>WtGWV&CVPFD`})TaoC!Bj0%6B>MP#( zC*JkzkxQO%8USUI#Aa9q%_Y03Lyi*AZF(02QvkA63LdZ{GN6t9%0?-97oMuHgIV&! z4;|jcz!ZS&G#s|am6~|;F)PMCR?JQVD9$xth0|bD2177A4F$E2?qr+b7lM`3fSNHf zIO2!w0pD-Twm75iUN`~gagB6(E18{!GCvTRWC4%no1=ZWwx$i{gVTWWdhh7@_H^JF zN4}lpqkUJcqW4OKrucE~d1kM!@UI=$q#bX5dv%9)4CZlkJZ_D{G|LfD6W%XuGZxnG zMgU|gkX;e`mwx1}U)%(ORaPPoxd-?551tKhcO?5H84GJs{)juW1o?d6vO6NLdU8j& zo%|2J;IY{RsdTQcGoRJe!i256Hl}sn@mw^+*QWkgmmQwpY8rPy1xM63^r=z3rh+rj zw?*Cxl||A-68Ypv5bu9ZD8k;J$5nxHUq#M=b|l=w$S?MorRh#2T_Bq%i2OC(q`X)Mf%&%P*#S3ko z2kW=ThVg-)_~8dXkz4>tsynL40AEbBa&`m3LYp3fSB#7SR51>XvziF?MFtXf_~dw4 z#BJgNxS(cS3H3!rx)GE}&;K)BGBB z0sQA^0+&c7OnPV~B@*o9yBNp`3^ntxM_{+$dBoM6^e1TVlW}jDrPXmb zD+?=&xfkJob)DhnBK2tCRoI7UNxIqxK?YW(dvhSm6v*)zkRut`r69Z%gr{OmhKH|v zQq1dRu@|S|W}JpE`KEV#>+ekkVe#PFG=TB>Flg7jeU6-~Gyw2clD|HHI)YjDELf)j zNaMqN0cIjAjyOW>6gzmH8-hE95$6@_GytG(k_JHIOq(yL(g0|sl`QuGMeFv^!)XA{ zM{0gdP6Ht9!RU4d;v5~>2v%u;Jvg*Y@?=2k_}NVwKnRbp=D?Lyr@_(ysJU2bq1)V4 zZ*Vf@S9cD%RW7za3~l~u;On$}3yKa%^l;vO&dT+9r2b$}2l!v$ww5%&>mv;?Bg??J z)U%coS%x-*Wna;keD&9T?mq}ks#6ubrdsB~?9i)2y@%;7#*gUJTNGO3g4XK5nKkIe z5obIEsYzWB3_c)5$YgJGZ~Y1bImq&1mPb;(lEMak6QI!Tgt&Lx8o6N8#HR7#T7?Liq? zAV9dPHZ(9v=KFIvb{c-|*C6?<&T}&S(kLdv&XxVph-*=`cJi}$N^V9Zdv z41)Z{nozqGy%x=}y>b)F4{7x`eB~Q|=jTS5OgmFtt6QH6{64(hk~sl_O&vgQVNtE_ zg28tQt*)vy1gSP$@VEsKP?XjRJjbF8LXcXc2m)uPEt>$*sgoLl)aovH+QB{Kil>vi z<{`*C?1I6dY12z{J07)q5iAUvD-`u`j#-de-31GSCi?#ch!}#CnvEKzsG;&f)k%|3 zQay6+RPk12g`$a1HziZAm{=*>JQ5sJJdC)4L7*l?HVM_CF+c#?l(sVMfS09$VQ8+e z+9AV0dL0b#jH`L!EpA1&Q$eVK90JyH7ULT*c58IP#1Doh!TBW^i)3Hp`~{U2_OU=t8KSj6Mxq|ukB*g%0a$H& z+z=A=6v;9M0CUb1XA=h(+u@RB3;?Wi*Lo1cV*mgo?af{ge1NYRV*pkds@}LSVu)Na z#sI9>0JPRlM5YP28)E=$szThLNiRZOgZmFHLV8#z06;b25z_q zFfSk`)s9+Vqh#uATzp8Tr#>-RpqE7Ru<5}|k9}gY*sr8Anb#ESZaZF}JB58la1W5= zXde=3lq`~k^8zUeK6urd^a)%Ntz>nN@PqW| z;GJxhgA6kFqa#(M$rEr|0tr}OPwDJ;$s_u((8Ysaw9*Fh{M)7RzDRb=Z^)HLk8-u< zycZe78J_AWFEakkUS!Z_luu6R!KYo`0(s{k>n|ridUhgSWGoT?T3%%St9R(!@4d)a z$zNm$_FrWF<70f0M;Jdy7*P>8fB>Qbcnje~LZp-7VV_)aQe0G_00e5r2yTb(y#-@L zrWhfEMMeG$fqH*#$NoFeIONy|1QpQaD5TNms_f8LdD2 zt}uoxrMuo0%LvdRxpEnXE-jZfv_CJb!ZPmkj&aql2KLuod5g5|z8tc~W{!3MJRx_5 z6|G-*(~o`qS0#p-L2SSt9==ubK`koQJWAT4C#+6wY~X;GVTf2;pr;P7-7w+@V0pm? ztlg7{6-CwhiYO8(B{$lVHChb8j14#jam>+XjfJ4tGFuTUm)tE-Kp@v^R}`h~g6hLi z5Hl*J8=Py0dTy+!Q|wW_L0oki3?DIy#R=lMm{PJ9?rLlDi8*p6V>2?Wv^C}9ziXqSIfQH zd-xV1&;jb__zXK<5D|gN**8ha2L~Ul8!LX>-^6t+OKGs=o3ouI`Xc`J^c|USmMXc~ zt6#?zqf7Cw|LMhl`o-Z=XiA`$F7|OCOc%FVU@B$HB!Jjt&GC4M4JXpYxX?t4;BlQu z7h_}xr+hG6J_&PN&SKTJi`-j*@4N zJQaLytHPl7b?zh-J(Zzm1^I>?#P%U0W<0a&f16WjHYqih;ax1~BU;eL0$}r6oOPZS zEy}uTE)PZBKwqv5t#7ssp5~#XD=bDHKGWgYxa2Ed&75`fZV_!_g-*JqTaT(qg8UDXB~=IOn0HW(00A z#WnF-&l3FUxBmKfd|PA*lvTnj3(lHb#^Fp+8V9pISPHfM*4A)7L!$u-X8Qr|V=4BU z;3)U8fE8RY&&?rDB4~KDvfw{vl>1n~3cg}twjba=mI%Xt3>t&2sL|&>)?&O#mR4C* zX!_*GS1{WTkRMCQ(AF%>_85l)Xm0((EB2#cwg)V^<)#w->(^+U{5apAV7Bj(9|Pf> zZ%{DXuV97R^AtFV|Ds^F*ABV3m6Q1drU=xJh)RX$65_k?IV7FHFZ@3hE;!blKX4KM ztD?hM1Np8uyN)ysO}!!IGZtq1a0i$X$Y4qq9nQ%Juuh*dA^?X&Bc!ss)TOgK)YPf@ zf~V6F=xE6(z;^;Z|79Ii!tXF_yzE6 z*o3?gq)u`{9Qh<2E#N?rUZNo6n6!#&jaE%dxc+M%-Z}&F=El$eUTw~9g{pWYiN3l( z9irf-0AO5K-4Kd>3^YC*psJG^joDSZhdM}=e6|p5+|Jz-@VwSl3S6C`v_Bu0urO`T zpN;92!J3HwIiNPTt04X#uNg=UAswF7lZfY8oYV8Xfb%t zzVEpUw`8S5VZf9zw=mz}{lz!`_22l>Pc8;eYZh+stbzd>VpaOn3KX}nV^LtOtMuq# z1V4)4ymq}Q=QMb};z4P6331zq3C%0g;JG01dfLSaytxg`v9A!(Q-isnjU$I0TPu+u zTG_Uk^ZCpQf;4z82#6*P9>}U-#R)Udf*IKdKsaG|Tyba2Td`s);bCQCeK`SS-hv7% zWFLM4XBqHkADfo(YuZqn!4gB34{jVaLd?Nr3ik{&u2#5vULw#Z*P4p?Oy*RqWs0XX z(@>eW+8#oK+@?KzKKOi4o`41D5W~E^w*;C1Whdq_)@aNl8djZb1rxifC zPI-E%nhM_E(TU|-TR_MCC%^OG_^UrWrN;{469BeGUm9<8MjHj;(`kKZ-{`Ezx{dIC z0w53PxMg9;dH~lzD8CH67>GVhFl8uttWfhwB z7&_50vV|*RJXnj%&Uy^9w8=>b!4u)S^*L604Bvxuf~panS4z(3CpoFdhBcOIs>qA! zc3q3RLYuj=^S>&Fw3vO!SX}uhrp4^TID4J1=zoW_WBePX#>t@;9k%GO=RWxCH`;Kj zoWP+D`!Co3`M3PdDIK=ouE1u&o(QC|+m|%!F!Uqn$Oxp}(ScXL&6N(@g9ur>ED+*1 zg0&8lAry%6phsH4tiwjNV%A}pmjFF1dZYuv>eaqj=|Y7sW&>!`ZJ}omKA0*y zPzN}U!O@GrrN{u8M@IlBqTp;Yl^5=W}!EdXxqp4ieUpC3)ubz z!`-6979}>K#NPk=UV7927ktWE(er@P>wBr7i-=L)d;7{@-#tN&D%W5w1gXSaFbcYl zWmI`vt)vq3LxVvF^+YH!G#eXDa-1U_F&9kz?1*ZcUrk5M1;Kc%v`uVZZVz1-bagHt z`wJbFqu}|z`->KZjW^G^#`TX z$oOx^973vP{31-sZSQzh&^>H8Nga}C>a&EjU-wA`PG3#*HoCA~f9v+OXWxn%cTds( z>Y84nwXNqfh4XK4l1_Lce+WEol|Loq1m-(_@Wgy_aTLR_99+-o zs*(KTyyb`Tk6-*LANwP}|Hj5By-FAcN zMR23K{mwK%2=WdT!95GYBB%^t0fo|{vGMp6AEqZ_ZnONJakekL{nI46qMJgphQT4%?NLu|3*T+>UMC z-NTPj!F`_%GzZvVQ$*#5D|Sa4G8Zf60NYj^rVY&j_Wr<9yqx37>RGX34zN2eNnN@} zb)G8nssI}k`h2dZ;&!rN4zMwS6SX9)hz~ZlA`V>z*vAdXPeqc051M0F0rqK4)4?^d zlvb5|%}K?ldd3ox1Bprf-eT;_O#pUW1s1&+A+GLoF40w9aA47*gtJ^6bLbN#Q*nGi z;Vl975@3(imDYdvtzYyXM@CWWz3vlw_4ir;pI#}O3eQ(8*uD-Fgc@nuS8$G~@QR>M zbnDivyVs>`Dm)h~6WuzDPSNs8tVo6Df-%tzPNpin5Ts971j|IX_4e380;up@uuOD= zrfuffO)96N2C5IDUZ9Fp&1`dF!#mgJvg2f3QjXE6lADr=Ww~O~O9N#QSYKSh2pvUF z#T7j1bG8o@U((}tcXZjeX$Uv8(0Jp7D_ma*t~aWTJ$2g-yb#h1k17_$74mh zfi8H&m^BSOcZB8CznbdS1rJci@?(G?w~-#PqQt!}iBgEA23b(AmYj4LRgt8gPgNUr zse{@=|9uo4*(xsG#bGs~eC(fmDBn=}E|gS!YFCbL;*&0YjND^#7zYB`1t9q(03_`Z zp77|dUEG+r{E)-=iO>IrH-E*H!x%(11Abt3NsziCfi67D(-v)Qweyl4#_q_@a^~6g zYZ*wGy_{r1kSjde$Q1PQX?*HVjutnHQ(O3H)*z^94lOZ?Ic;T7>JeiLTkkhlic8b_ zX$?KvtXMgW@F?-Cfk)UumojP84DSb#s@{lJ6KpJW{xj zSJts~WAzA8s1Bu@JMZkc-V$@iGQ+V`2hK|ZrciA~OfS>2GTj4ei>W%uL&(%L1)S5I<8Mdq{V$QIt*{&Amr$OcT!u#mavwtdc zHZWxw--f!HbA(ztd2+1+LZ~1nM=#|?0Vly7U$@sh7mF?qfI|s92;JU&+@|CxY)u=P zMn6=l9~cS+l4Gz|(GJXbih!7e z0vB6mo<0BEg>!*9{Qv{On7qbr+`s&7pZUz2!mZE>fhYF8qP8zH&tQr*=VD)3rpW~h z6&L^l2wiB~Ly%h81u?LlgX0lgAR1Dw9D-EJF4zI3Ccp`J6*lxV1arZ$6h&c}tTQ15 z`KI}b7Ifm!`>nKy<#XgS3I1gC{i>n6f4qbx*$?q9MnmkfCC5v>Y}I^O_wYH zxNb#T(@4^2x@31clI@i6NkDp=D=BfBOCHt$c<|`B_&st4LG-%hamQ(Jl-zC&-(g?j zHj2@7Nmy#4o^kZ`Zc`t9(iE!u(v$$yRISb332=uBNAIw1=c4L>@tbWMof%YD-RDL! zkLmQp@YQUq8oG3Hv`LteV-E>QNdks@c0P6f?c&~d39LCQo?6~zu&2R<^rxHK$; z4I(`490V`(@*o;2Wn{3AZ>p-Wrt#DH^IcCSk#BU`lyIP^bds%m*1x-hnm~H3Q4>ht zE)z!ZTtL$=1J0g(@&0oh(2;1#Z*~S94XO=i*N;&6?j+xN4GcJY>FeI`@pnv37yX4Z1E5L_!ScJ3m`X7+$+*}4c&m26B{ zHnT9t?8t%zJ1NR7uUMJc?ZJ}0s4{De85Ta9Suh9ylgt%6#Lv~CXW2xtBx>jCyeu;C zIWLRN8_dgUdfzRbA0Cq_>?8BCVdKg_zPF6X@LfY_=o6*JV$iG8`~40Ni7hP|UeYPk z>HY0#t5~4v^!|=M9UqNt&!^MgV>|lkNJb!df5#1y8r3at)B9k*)rVtyKtaE8tG zf%ZwAH-Et6RQrEtK1R2n-uGug9uubdy-QEh`|(`Z_m}wIck#a=H1x?Hqb0o`$p>WX z(S4nA8B2P9Ta`DqqV^+~BinKPkiFj`pILH2+wNjpQG+b${hG1kJrCad-eK0@I&FWa z2u`Q>|KS_ow|?Vf^uBVp0<4?0fo&Qni(9cKhefSy2i*$B+U<%-DI;=rw_=Yzp=QNl zw*urxb&cN!k9qW7+zO1>YF8X~D|SSb<{xvWJ*bMkwM%i64#lkilst81_1zq0FOxpb zA>)v=PG~~~;YBK2s5(-Nod6SvvD3GtQL8qWkoz^0*VvRWT&#J$-z8j&g!*8Qw;wvC6~ilArY%HeFa z);5RSpn?XHSF_93WD$qXwh`E0wtz7Wy%EyU9K`3$Hb!j%s6|1Lrqo6hfm#YEVd+ok z1EwVU&}sud%iL;c6Pay^Vi>mQ7tx%n+fz_s*Z8vx zGye>ShaJoWi5e- zc)$@*Uy~iup6ZFJXV0|HHB0lBZ_N^cx&O)s|DB(HF`^S)sHajDc?!};us$mC)Q)Jt zT-yTH6@w9!MiP{YBPzASuwQZ0v7%InvhJ-_DuNCA^QiJkLM{ttm1?XY&_QcZFE)qH zD)o2(UAd?=Xf18Yj2}~}RGs<56%`ws51m!&VU6sxtO#x)#vEBtq7%P9h+~j`gi6JH zV8Q%Ul1$FHIO;Wu zls1t^?=@4CQ&nMTZ9{5Z<2@}7F4Gylm9k-!zlK7qeM!nh<^b;V$$DOxlkx!I0)#lSf83Q-c6 z7Ic9m6K@x$G`Kx&DYH)rGOh6 zG1W49ILi0h%aU4AdIWzM_LKV;Y3q-FTn1@k>{a1Gz5z8A1g}i=)5h^52~F(HLpQJ z2uk(D4~+quqMboEEer?w&{91yLG&LQdTotdNponap7@F#v6O_lfm)^&)ar>zb|)Eg zicHsP-8;#O-~|v3PSu04*#Iut9K0fUA!ogmjgVxMX3hM26prWTsl<+4bKR$c$+rhJ zEgf!x_qzAfRb%*?GIN^eiAm7C^UOC0!<-0a@BH5yyLm6J+e zwRqFEC`yy6Qk8QQndA%I?W7r{g{-IA0$)VVv$)a-_3AGt8?GNx?1wNDB!FBw z(J5(vq?amVwviV;oje9|YObvS|wcUe3b39bXQ^(#&xf)Oey z7sRauDH{#g`Z!9iUc$fQ@npO*u_9Bdz9PoW_^4Ml>a&~`f;p;-I1v<>3Q7sV9Mz$R z(&9u^j9O-bDyl1S;!1~9=72IsbrC17He$B(IaX0!2^5bQT-#nqaasI#mq-!A+^xYQ zeon=85RoFQWz7{5m&MoYN~DM!aeIksu7eUO!p*4Hoar>&e^|K<15GCCDcmm<@}<+n zzIa%ii2Edx4;SHnN&A8Y3PQ=;-w)slK1TA_kL8ghb{w6JHsnz71oD4FGVyPe9E&AU zYD|y$h0Qs|(3BnKC$%>*J?9sN-5UJ0;=@-DTYAthy5m+K^uz9GUBKxPN>BPl;noI^ ztntSt$@Hk>Jh!A@#CdMjm(52PhsSojIHDpeSr#y1;WX+O7rs(?#V^oih10{DaD|ZS zX(U;YEo*KLWTTPdPGNVq1Fd-2Yz^Gx|ATu;(!Q4&2n?Morl48Eq#)WLb8 z9-@87_7(C*!eiu;2=M50V@)K6dTZu;gf=f76NV_l*oA$~c`q6oU-FAK)P^GuKS-~< zm|VYT*u!5meoQYKb}6Zv=0$^~BgFWzdC{<=zi9mUQbL907l@zZg^5pdWNK-=Xz#i@ zYeQEu6L4(T^d*zu4OXdY1mAXh0TY%S-Q$Hmow%vk_0CfeXm`ktF1tFiqrTl-fI%%E zkgtAnw6R0AKe|M6)@8dpuS;Z$^A##=TGs8Ir_qG6tsHd-)W@$Kb@9Z*Z{NZ9#_Lm> zR(6|?he20I4lCAWS$|POPBQDoqO0S!ib8v>B8KsBC30x+!jAjmHjH+G6j(q?dB{s zd9*v$Rx>PD7JT`|XD?rR@bZHfF7HbN56(^KS4tI2OIipg%E>tH?W$c1a|?GBB;51Q ze)vPEi#egmvmd_yp%*^*vf{a(e{h+cPERw(CZsn_LFP^AGhH=D1p|a8f z9eGdWCtVpsu9SkN59KQtqO2cxh4IgvyD}_S796^?+{M^hcwx2BIPb1dn!m&Dt&;29 zd9~jargGo*i$D7fmE5q8k^=_(NNWE#AbnSGXA(*@oPOk?Doz@3gQ0>$T!Q?Pv zu8i}m!Ew+8UzyNm_qaiubx@8)h;M#b*?}K(>g85TO<{z4iPx5 zl`cE`R>@f;0#V80!0cvu={UzKE<&WGgIGE+lxt!-NhW9UE)EUKJ8|rsx->~9WqJ36 zf}sFiPCFp|Dz|ddwJNR&KdAq7^VP1hwkJLHKt9{Rw$5N-yO!hRO2zyqT*1P=y;Qr- zOVCOP9d=(+`)+?pW{&jj`6*0$yhHm}1X|fbR6zU6-Ve9XQhS%!s@(U%IkEiBqN0SP@D0{sUxI$$X*P`a<}PezN{iq>{>%lF~_u($;w&% zWEENH8j$wrMT=QQ+UPA&y4I!?IweJGxK=wIih}Zs4RsE|N=1UTS#%GTZmXTMiUb4? zc_6AYC{-n3h!rapd03++q>`xURO$@5gi1xC5n0y6j2EjSr8TpP1Wb`?7e`0v+dF!` zI|deqB*t3T$`nV>w+AD+fmaX(4QNMoo|9@0brcmEw){82~SW$rpcI-Vc5~6Sc(YC5bl9VXif44%; zkv@e@syTcnm1A)i(|l-2gT)u27gbMgtAw@wTS@7atl!T0m*&ofnd4{9k?AGzTnP^N zq6Bf zk{fI2b-Q3ReeMy$G=em|E{NDkr;VZ5CEP-JMH*fgG}c}4C+zm(@n=CA-XaKWou-X2 z^@eVI7Np^ILFE0Fzz#pk2A$bgcV?M1F#_s~H?5DwxRnoXRKjqiy@#xqnmo^_gz#lI zj||Qa=zp67H=C47HQ&A!J6+^D6oNL)7f)pobt5kbg_w$x-wJ)uZ)q8XP14Hd_24CqvYe+ zWk)-Qa*CtV&_;xWH=JGeQI>M4(7AsNH7VtEc5bv3Yf_3cK}_;R>WJtB0Gt(b5QnZ@ z_TzTTU3VMUu=eI|m7?B-%;qgHP%=RcqGQnA~<%OOa95?TvWrqElSo@Nc7J zbWKxswvmT;xU$nV0jKRPII!q!nK&6!cJ3pYvcr`t>Ep#^zj>EE3d5MJRl;Q8V`WH* z!)0eA7^vYq%05>aF7Es-qGK0#9+OI{GQ1S_hb#1RBCho;nw|BEM)}vC`i;LnT?O_Y z7$kqjdmf{50Y5=ug)70!PoIF@T03jj{^@8_HfH6nD|{*w#&HlwA-hKJ6Fj z))=BC?tH9BqwIoKiDf}TDGYLTr7CfNJV7Yirh6XAT)=Z&p}5@~T8wfzHj3m{HR-J3 zDNeF3aY$NMiVU>lHDs_x$F9*KBjpGT#XPedQ4A$Ns67pvEtXL2?<4g*mbG8eDsXGQ zlNn#Cz)KZ)WCrs)|Nh55`lln~p zFhMdiIvL8P{Q4Ju;qU&@&`Ih>*t3!Q8SQi+~o*nbc!hJym+F1hgyV$H{^)%s1XO@t5jmW!Qv${Pcq{Up!S~Jho zx9k~Hop--vrP-nB|5iTv;Etk@O`^1nVWL-V8Dm4{Wm%@y%+fN(g?tU8dhml*iEB1! zKJL_Y>wvmr3S9hkdouy|G-xU?L+w3G&-p|bXL`^tz?(wO7W7lW%=U1f=}8~&_iTDI zckFp&Cu5&e>&o6t8#-p{j6IJDOL~FRa~i6C8*<&7x!D20D#;tA)y*j;No%{twBL?* zcZ(`?b3Lox%x;H~xblmT-fG{-sy7qqDq?u44<>pu!I6WPTh0@O`0D8M-ZQjDdhMsY zXZSaJyU-e0J`KNTkS!ps`aPR$%`ER3+cUFYBdwX?0kJ>xaldCvYi0y#OKaxRnz=)# zW)-Vfj^tPqAd7azN)=4-whOEeSs$ZrSMlgGO>(zi9D(g+=yK~rX zp5RoFD`)?^uz){}0A+)oz95N^=ptpmWjj1637*p?BjnWWBY2owg9k2%nA=0dn7Ro^ zXja4O0&u?>fcrat?MwgK#{#CRH^WOSZV8f2?N8Ro#y0@&yZuSiW3~p;3w)z}#XVA8 z!gmS!36L0jpeGDL56ZvT>cv(+c>XzQ5iG<6_6o~aAc&S3MYAuP-J8n#5hF;17#~~plj($iVT#VQc)$b9f8fLg>_Wr z!@_oi;;mFzb3L>o=s2!8_lw0J06Tg`s_OobJ4y;5s65pOnMqGUjj)$LnxJ04bUw=H zTJM?o#=Pr(i0kb`%R4#D-J!y-1hy^v3~e)=u*auxcg@E$hr5E`3KB(h&z&{(w`H4W zd|h=9N=+^wkdAo-)Q`+Ik8VE{_6i9kJ5(wXN2$u?9VdKMWSV0jUh}r+HeSomUZz*XZwG;fXAy>}yrr-y>naN;<|w_TKt`-Hw=LYB+ifatrf#sQ_#DtI z)F7Iu(+`Fsf!axFN9i+;rf309Bt1k!o;GQ%OWF}bERvlUlr65Ncjeu~wM2^Z{G{YO zt{rjK%1D{%k2WUXnO9qvBtnhoNVj|z5 zB$=L=h_VZ^!}39M3_(u6e~O8MN9ElLEnkq1jb3NHKJsI{NWs~MaMT-*zS-nifkDH)Gf%{cx z1uqjrl0x5eO4B)R=tdmPv_DupD-sI`)Cc5t7zogNb7V;|u$ZwlK|W9WllI5?W_t^6 zcKUx|ufS$s9bdL%|E6lDPQSa+Z+QyT^hIagxrZ&p2*qACo7k5x;A@9+qXZ4}oP3~gUQ^YCGF!NUp{j)I5n(I_QCkmkz;ZLS{#F_}%< zfJ2b#(FHjoRn;RBAPRpBL2Ab$xI1w!23A}_k3&D5{41Ozm7@!STa|R9_%X-5jO)vS zd~jVbQ`%Vo5r?K~bV2+E*lH;I0|t+`=P0#|BH6*niX_0|RE_!AV!m7w=@AkWc3_(J zhUz%Dn6DxUwrD7ON-~&e*35Uu3^yfVMq9~zdki3oCmk_Qz2>9}S9NFVJ4F(zVMC3J z+Ed{xn}_vlC+DlQxGsmUvKHs(MxDy$P08p%kHIH0s;KFgXT6K`1}32xBk|sBV(=)XoZXJl`TxRfEt2c zuM6%le_8b327LI9py*x~gtCW{#)=zGQ>#}L-D`rR!q>}#Vz(x5{Krgcs-i9UL0|1q zPr9vqMEZHi!<26xKE68c9L1$)H(Mz!*^cv+s+i@_aheQr?@{s@>uWfK_K=>dl$OHn z?G=V`s=YjwMLLab%2Mv?T&xhzHxFE8E)FP+C{j%1&V+6ug_&}BJj_HymNkzqwr3;mM*xARlA+BYN{}=c7ls8ln?4_&MYab@VUs$taJJKDs7wP zhGgR3OgFn@+x}gqsy$6zUrm7!?V4=^wQZw}(;{E+tj zjo@hsD4U>n@gFw>UhB9F!45r3bRs|@D;)2Bs9f{ zZ$0O)UqPwzhIqvj{8>1nltdK!ln+hq>w5G~s31|YpwuPo(A^#t3>5_48~$q+ z%r)T?5-9=)$B!~NxE&1VSk;69d@!p|z^eyeR636E?& z97+p>j*Cz2%JEHn()C9xB-wRcTHDTp$xDVVsYkx6Nj+Y3=C8djk4rtyTYgAAe&X4G z{_!r9e_zoOQ@6c3_ zevT=RJe~*GAHcCM>ZDU$AJK4~2S9b#)5xb$#ODbUbTu;#_zwXldBrLZ0Gy1D5r(_p zOQ=+NfIa5LE9w!ch4uo~c>w5U!JD!wP^VNTqkGj(#@4DERy1}hvrJ^BnrEnTOuZEv zNlcDtJ3@^s!6@XlTE-BWPU^e+vihPOSnh^U6 zK?s358^G;QDMYMi1R-6nTLs48eD^syfMmltjYOjw62X1S_aV5))rHFweBUJ6@whY(QJL4%DwdT=m8_sTi2~}FHd`5%bAi!xv9n8 zW%m-K2+B4Iz>UrM4%e-6FQFF|qX)mtKq|#yt=&sW3gf1(oNF>x%Ns_Oe0q zQtjbo_Y$v$P98zEa>~72bIKQMfSCSKEje4)sYZ#QxoE5MK_#Zrm?AEs4~N3!&_MBN zj^>&pqbE4q1zbp}&&!^Qc@2Fn)!pO*N^bSmkb4VA<`zLRs_-Vf^wy1DKr%N2lKG|Q zKmAWkf@Hewig^`=BDn0Hq*^UsqKBfehp4V{Kv!2@{<6iouTDF7b{%5U( zrf5$3r&2W6qeVce^qh1$`oEAck7y794~Pu%0VTnn`Xaa;-UVKAvmY&ouV`O8s~=4&$+4VXo+#By_nAH8=qJO~MUvd3xn>jlG9r2H^ppL-%m3Az z-Vq!Q!=up5(BQIcfoYML#D{_@Y}2*?qB)SmVaMhNYgGtAsyr72FDph%Fv>Y?kS^l$ z5Q37A)ET08(VJquT3~(9C@=)6@O(w6Ird#J*$x%mtSHZ?t%zHTAwm>9Z7foa6(y}< zf_n_#FhNh>yWs3dtOQ);gQ|?H6Y>#Cm0fbYq`P|}UPSDlUTFZyG6=2GX<`qP5|-^y zLgJ{TP3eeropcX*QmFXz)r>u#6W;x`0V4C3Z-WP!6u+~GFV=4+j z1aJ+@&)8Qe*3q?c!C>n`U!?Xgg&@_83kL23r{3Z|sAgQS;69E=@7jtLsb*ZzR3SQ! zk&@Q*ObAlVxM0wF0a(}yQq8y^T1-)ygepV_?nzZ)tVqM?f&n>M5f3Of6{XtMGb>yk zd7fPI08dSFNNC!!9DFP(Ie3@s2(hCwJmHFK<7kqkmSpGL@?K(44)b zNf09WH<2rb_xs#;Z^rRATH?G!|`koW+%}5-&cueBZmCefJ0A5W+!yXT~j}t#w7TnB{bfZjX-pul^6e z@TWc=*tw3D?73GH&k)9)UqtkX;DM+pfC-5@)S( zha)F+)+C=(t{>g_*;%^&$)#|cR!3lO z>KmyoW&VpcYxl%X#-!>@H9X#v#P?6sYujhbR%a=vcYod4-c70 z_i(9bKs_;h)hxo@66DV{yZ?prA>38rd?+F>J0ES$rC})~WUpe??NybS9SUpBqEJ<& zfiqEgI|7!3c%^P&oN0uclXUWXNE{qXkYDvhWP zE0qAbtOJUJdCJy67$us+i!a+FKyA7KS$3fudRJKCjxk&yrrez4W4KuL(=gs_G@Zcr)q6PyYiy z^0gm{^rGI3zPXbgKs9$-Rt%ey&E0;*!WQi!AZ9JrAe%c{8o{um{ZP0-vH{KJu6X_c zyC1b$7>Ca0ZV!~4wsB$_Pz%M#<}RCLz!+-Voy}dQ&Fz6Z#0zo*>H*II5l?GCHI8w~ z0@?s`WqWL?KiZGGYb@n7^IJu!9Pw@*0X?N>Ex5{V`)b2VEIYa`}l!k4bx8|=!JzFPo~ z&xU5(1G|{%RA6F#&Dfn)2?TgY1b>Jihs*He%lYcBh0kAwQi=( z$pve#uqHDk-eRsx9d1^!GbV;vNUVgLIV7%PXBA|Nz)ng>Sun@W(R9!uyWAW)$Iizc z263t3_l|OR@s&r@e@q#R%SmHxe4k@ykXRFO12c_GdHbt#?0h=1^hd$1PB+Uxriz_` zL*mRbN^YbE7?P7Fl{QLkP(K=u?<^vI_@rCY+CHahmzmT|0krggp9j^M)Bl~K;q(Fn zY9rm@=OSS3-CE4-lWS(@-J-?JJ{~jsC;!Lq`ja1tPCT7v;bzumTcqhiNW!YYv9A#B zNi=M8b`CyW=&&N62<>qQN;K?(Wx5ci7-&K{1SJ|a!I&*}qhhBMiy{Y#Iqc~0zjQ!;v{bqwk;XZsgvWW3D)`<4k{idoGt5u!X2YC}P+X$Ryl zt6t(Bl@y<$1hZ%{t_XrFn8xGAxZ->>OobR%oL{1SCQds2U>WI<&Yvi(v?-S-U?Kvd zxke1C&+oa$aW00^Ug(MCTg6V_|HuD-_TB|(w=^pY8$c+>L98K4Vq%#S3JB!z$M1fL z$R*t46wyg4 zfrvyRlqDbpD}^BAq*8gFb$Qo%-@U)R_xIoWbhDv){%@bX_Ph7@?Y-CaSQN!)ZzCx>mkeqPT(9;5rZxFc7Rf?wfyTy;WWGCTstbaY_UyD7 zzGhHcAljoouCBAXKV_;5<_vVcQNBSzZLvbOwOupcp`f-v(Xca0?crp zmRt=&5Pphekz_kekl-@Yro?K+A|Y5~x#8@rs6Fl@CSxvMPLBm{yfb3jEo!a$j3~Mp zMA2XU;g9{!Wn@LQDb78k(mm%RFGd*+lwTL`{RpGMAW;D=Z#gx%D<#=^0RMmnSKheS zjJ!Z&gmAW?8fp`}Ly&gYS3IoXM@oMHl9@w{28Z=pDJ+k$x*D#y9aH4 zv>}e#Qnil_udl>!x@VOD^q%U>F;-+3OVBs4J1yKdpr^K!A$*D9J$Y4rZcf~6QX&C= zdQzQp#CG!$TS|4(clM|CfjT4SraJBL*>*m;sm^J)Xttfx-J5eNir@Jk{iDzRLy=X` zo6*|NE#hY2RoG;v{P|%VYBr$K!;c;_1J(EotXT!yx*t9K;MLT`WC&*48B9A%j4qKF zF9aF1`ijVdk@XrK_e*k6GY}5JY bL_rQDsRbFb`ifu~Fsl0n&2lZ6ZRc*YBs&>b z^nnF&YRM}2l4xhakatuR!FP7l`e>4@n*A4S$`MJ@0-a@#i)kw#)DA!N?CIl&mnnaW zEvMmm__*Xy@zMD3ALC;ukLoLS@VO5kZ=L?^VGl+ZC&PYTSpKiEyt0}1moL&MSfo>3 zvJ=eOI79ojFSds@Qct*L=t0E{+5GcDl5ty+#1pT*rMr#QmD4R_DyB%Tmc)Z2rHLUD zty3nsSeGpMdvwBf*tMh;({vBi>joAD6t zz@X7erU&~1LohgBhb$JDumQ>ZPDv`JoE^Qo_F(&PAKQgOgOENJ$t|Y#a81O;%{Ll# zG|OX9KB%`>9_-8N!N!&+ZQ{Ycj0c+^`yXuVX&-F9Ip5Tqbd zxG9&8MH*BprG00k+VK>~1_a34@hp6%6daQky)m;{g`ik8gGBcyPto*uy8P0R$ibY=rFqza+6o5uR3M*EXNAZ zCw|9&@$Y?KBt7 zkiV}B#&o0&x{w>eTtJ8^$xEa=8^Nl6u-_1i1z=fcR&X;NX|Yje#@!lB?9GZaS>+nH zsMpAf@b21ER~4S^VYLu;sNL$g=t$BR^EGi!vOd8K?qFM+lM8&uC2?}px?x%|y2`v& z+jVc$xT#0gWKq<Mq zUOrJGIca9npq$*4&C`)U)ljKo6b&j>F597sUq{oC=4Pt9Yo;=#6IMtJVQb&!oXYLw zRDQ=xpYnfw@FNORLn9xz;}!?qw-P`6-nI81MZ}Z>(ECB4xL*ieQck`k-wM_Vbn2R= zff<6C%7V1xe(K;uWKRx)wj*1c zW_PhKHz5;io5gYq)dper9vm)mBmf7aVxXXBlHvgP3d`)c1AtZe?cz(+^4ZbuSVz3T z6|YZ+#gLCjG8QsxFyam(%qm_VWq}I6U$)cnxZWJM5r9_3jU#|DMn$oj{5Hjr7rw;v zYvcAfjwrfLZM<^j`-223M}K2RmT&baefhWl^RM~j#MY+Q+3ElTu;3ZM#Dq2-m~{Yp zACReGkldpzvJNONIvO>EV(gR-*n&_;dO45FwL}M5FzbNAvJR#JnVp&ib6yp5CXmuZ zAdXq0pc1duPs%?bK}Cou-RZ?f1anr2Pete{wtP@(N-|D%$?fsLZdbfuI*|f|WObeS_8b80mFNW8zjJ$X ziV!f7HRe=H{#P+r@r_1RuWD7pHDPRzZl9P&?JBsVKtl=2Czb1CeU&{W)oWX$1F2Q+ z2BV78IvQ%J8bJ!U+3*O}cB~Kd;Cbw;(v}g57OB#+y!y_RAW3+o1Puf#!y?U@{feq1uaaF5##5SEJFB?E?gSZ>?j zNEEwE4o{fNHz;7Zn+0q^bDjAP1q`=u!d$*Z35MG0Ym0ULrHrv21Zt=Md6^w%0ORvPLhDXk$s6&Cw8J zhT$I1s}BvraG!okszLTTV#pk9E6TuLfdJV+CckJliP=SV`fT%M%iosynznpjT=FSj z^0UA68v^g4eTLrJ=1tepK@Lr=2?E;%t3>k^g5E(6)=}EI=#Z|-Mt9ypjvPj(J6oI? z<132}@}9AE;Ys-Wu=LGR8j!ko zKE!n-jy|O&8&%b-Nv|ps$C(CflPm7fCrzQ~jk?&c zNzBI=7!OJP^Bc;;*Th&4m~BeJX=;E{lg-D2#tW_p+}@IXNTX9uv?GIB{WJhtbUJfbAHe?FKJ4?s>rhFifm~2V-I08*3OWOfNEA@pC9H zBSpQySyWN00yWYBz?D>CE(F>Ga+nL4Mvddx-Z72?*PHME8aR#$2LoV6b^Wp(ak)4$ zu_)v3XU3Q+!&K&}2Jww4{R4rWhz!oe!92&hWQNm-d&bm;v<%`nIA?dnrbi?~67s z{^6Vd>CcBY)hRNbEVY?398k`}5llZEQB7_7xEYH!eJ+i1dvdtZ8VZI9u@Iy-^%YAZ zvTqcTxahVbTzJme(NRS0spa1IseM?6$A2tkcD{UWzp1E4RD-C025OSq)+!T@QswJ4oqpRw*1 z&a^7M#N6}LA{OB)bi)WL9+j>fT&N*))sCWBdihZ_!%Qf6Fp^V%M-5>(H0P%o?NuDn z%vY|GOglWjC#-o?+&?zH@! z;Fw)mwn`N5^UNoG9^ye#tjRBych!}r@kvLrLi1w!phA~Q8m;KkdUL7^ZEx1Onsf#= z-yNuV+AV6W(M+;(GbAg2=(ql!Kk=q9iyno;Y1GrKw{#h$C01}VG(;3#S#;PlL3MzH zO`3GF>CYA&Q|8tF0&#;`xwVf_S@bpFd};yLHpL?BZ?@>D8L=osqq^B@>#Ylpno626 zwR|;9x|lb$rItU+2Cy-HDt9hEFE3b5*{nQ8CJv$WaF5SCrSP1LmpFRKGKx1BmQiX> z$ucHq50)|Q-Ax(uE!m`LdbSf(%%*TQg}*X}CH?7leBCSG`>RL#dx|Lp<%h*jhH14L zQNfun7QhxV8@3^b0_{-<(i9fK=DcDX^ph8epMq$za4!x|_X2Dcb-ZrrXR$l%rmk@ID^jNJJWg9ocGGio!{ z)IEG$^~w@;kODwbLR6dM7Icc8^HW#x<-^CjG%y@+nhMpd~hEB`k(X z0Q*Hj*1;Yv{PduP+jlw}Xct@(z8R7ulthrP6|%TVlKm}`lW9@_r3J=?Ywqy>)&0bE zrpNeVw?m#^?mP@l=Q`7Ke6dGeK)%s-p$=Gn>ZG#d*NJ>G^Z0n0014`Rqx2+S;NqA~ z=W}-y#@cw>ragB+tK{bnoB6rJW`6Fld4ta#R?E*FH`8+mgiQ6^ar-*Y-2l=>8z@p0 zO#F;g_7i+?YGhL=OdNn*>D@L&To)-LWyZLE^iMzq<}WPqKq~ujJ9%wXeX$9`5^DJZ z{88jfTCT%0+fbB%frXE7Fm?zfNBY4VijEFaMi@Zy@e(1$^$T()UGO!LGC;Plh!bCU zPX?5Heo1_$^LKu*{QK$SRh(e`waO;;f=B20h>pNmuim*akiZC}N z%UV`eJdSTxsioH+(&ZcfgFp6qQun(cIIK{Tg^k*Q z47F|i;0x=5+wB1$Pzqu!Mk~lC(*=)(ZDfTSh4@8rYO&5P8Ehjxv@I2%e6Wq|x9C%$ zqDgD=;Vo+xwvi5p|1?|XmW+Oh_#NegXC35kla$wgE5J;LW$Gb)35alM;*&~eb5FE{^A#$=|=eYukSs>1i>4WZlu!A{n#0%iv498N&L52Wwv<#;!l6ucl~l? zMAf9Y0yP7}hHX+h1J=2OW8C<~tZ}*^P!tvhqJOUrh-&K0!m$aYVB^7Rjnh|*FmSi; zFc;=9&<5GVp;&aA#*_1mI_;6*7GPG<6WxB990pn&Ivy=_Mz=mb6{ue$5G!cGqc5}D zcVgNc-rV0UINw6N9&~OuKV;qF?Sn3m>Fx3`ChLy^^n!>+TqaCW`xDtv+J{$CQPnTO z|HgWKpC~n)_?^LmHxCvJzgBv>?Y%NiT zoHA&LxL1sL5PI(%Umq)G7XTCK;hiuE4MwPzyd}E;#J`Hk+^&|=s+Mzd*#)pf8%a@M zhb4@OQ)d?-ybrKww_m1m0gC$pgsD(ph7m@NF=aT(fs8^d4Eq*9SLW37RptyQAj9r} zpk1!xR^+?01p$tfgTdWxErRida)uKFm;q!KXJG}vT6M!G$7O1c!(q^E@BXwLBTcTD zV%b}|=*Zm7U3$zJu7e%`c&dFk*BNj}!TQd2|AmzjkSfoebn-<(=>vrEE&u&%(tJLU*_g{_ZQrC3;H?&)P@_qg{_QWUO=YL~Qd{U)cw`O^6%ax}8 zogt_iO!?p5@z8kJFa#Q;&c0KV5!I%3dkd-(6P&{)0CGZl3fU@}sz(=0tyya$3$k6%qg0PYu(W0YNkNY^uSoUig8OLA(s8m`km}I| zLHlH_S?J8t%0rx*>d^&DYZg*%ogOZ#M;A=3S*TDq*C^`ICE*8pYZhUO^|uk%)g_OI z1XEgZ=!Pj>3>z$iwUAj?Vr5is;`AAbZ*}#u08v$RXz4L z{niKcO!Ewg39h4Luk67+SM|;~#yi9@YVYXCEo#lzoV~i4?A2fThJX2=eBZAc!!e@o zS7?0^R}N;c2J<+yF&4@p5U?szUm7Q8uLiR?DVBvr97y8i>{Tfu5h|mF{m9|u>{VfD z+%8br7keFoIeQf)BymIP`?*B$mp}9{;MHJ0ttkXY2ID zDAU`A*QRjP(57p5T|y5@sVc5+(Hk*`5KOhSW&pVMudP!M&u{Hy_Vu zClW86JQOS#_6J-`0Zb{6M4VAN9@m?RftZJ?h=Gt;f*+WU=XM+~ZerRLU(oYF5AbUt zt95=TGc6Uc zLFJDDl4ZFA{ThcbJhEL3dh*?Y9C_;@2@(m-?7QT3wWzAN{zl}k zGPQs4Frpn7$YFtl3e{D$DOTrg=nqv~*#Gve9REfs1Sp;hYX2fPG*{x!OUw___8_rN zuf-oj_9MgEL}DG4_^X63w%&+7?5Nv0e7U>uW!f#8!VDJP2xe)<54C}nGi)J~Nw zQciGar{h+FtPD4*l0`#kqns=n%v06LqCv0`gRJtCp9ULQy{Je!f|`kgHu)vm)+GnC zUlr78IQ^BAc{h>%Qe7ROC<%E~qg!by@kz-}519Bj0;F^t;x=7i+orbqVNfdkS|`Nj zfmSy-(27)tfIcN8b$YphlS+Guq^>q6=v}Er*_(A+YJJ-BeQNz@e)y;V<_|{hOznfK zb+Z;V44`#+vlIPV!T`dFMqRw|Pb(*S!x}hzW6%#;uF1Y9a!uKZ-W}n5iXgCGEnc8< zqJ7K+gN@dIuV4Vc6ObN3iu=-%6K<>_Sp6r5V+=2wR|&4|Hh8FD07#i}<~Sq~;1F$E zt(osm>H9xmrfhwkqfT_V$~RKn?JTCRv@6Kj%m9=w`;7cgd^2~p?5 z(2FhoiM*m@#%x7nfFZLUN@Ip`f`(;FJyeNm?O-X`~%ru?8CWz8e#X$phoW{KC5~%5b9hJi_+#Z+ll9jD0uWvN`P*&9?D&szzV^2fzIzzhxZsh5`#M z*>M3gA$G??Y{ZDPjgcu6GFgCgHY*z;6oMJ_whi(=u(mWS<~-SMzaUDTS;ytRZAi>{ z%Awh7t8IoDHb3kxnJJaPz;V?|8v}&fDUE=kC z?M!>86W`jyer{Yj!8B8uyUrL{zSaAzy}b1CANV^T9<>)WAgw=dGw12gYiCV(wl+q| zT;LsA`NU1%_e}TA{=6|tN&(x*S^E9?GNrw5x^LyrYs(5&+$?2+Q@+O9pGW5rH4G=S zF`JhKvp>H>d$~a7@M3k?yyXG4Z+$=~lPEWA8*NT}8BNl;q_V>F2Oa9s6-m5)8cdu` z{Ox*BUHGVJ==Rc_{wQnEQy0ITAN;>?tfCLY=g5R_<6K6bKFqGLjh+HTnDDOBP%JHnuaw*RxWhg&SC`0Kx zPs%X8#ZZQ6?{sB2%}edT&!#HFY0LL1!%zOhKl5w;`*7g21JaeD^+V#9MzgdeH%9xq z_ki5M=jrRh=FjLWg5+Q4;p{dW8CsT`tLebGpmA=nYZ>ZLRG007??`3nf`Hs8n--g} z>-J`K;6m_V$&J+pd{tD2u_BdW5nOOe8j~B+30@0Q8M+{N4Vn9)irXyP6-61kV%gNQ^|xO4S-gHc&kIy=RKHlO9$ywDOeN3jc*0HTKNVZ+a_}@NV z6aPl3VK-_{&b~Iu*$G|_^~(KeUe1+O(6r_IRM02>-21=uYsV@?^L$3Zhh(KzMU?Y= z#?V#r$<>rs=PadYpkAcL?NApK!#9ZcWS# z0*#Kn1U8kp2Kz~9$E3fQ+qbSxWDOv}(uNjl3 z)(B6VCnPr~VA9l{O=Gz8NU(Jz({p@*lPWb>-{UD{5#{Vooru|?o##`M&Mt|UQ8QNx7$(05Bep)WgtG0W>4YbgA&T;Bcevfh}zuD{lt`IKqZ~vy>xB2YR`K&-a z3&ab(FotS&^V~qZPyj>PoD+ODgY#Lj5Lk+d+%lSJdSB9YbETK{_y0 z^SHN+eOzPqngcYpTT5q8K6Un*w_r2Vo+e;Kh9F*Lkn47VS|Plwia{R_jeCQ@sR5iV zj`(rk_&L_oWYx6Ze`F z&jMP4*`%2}4eUMO>`%u7N>;({gzP85?qoY0FgR@03<9DrD(*R9;m^l2PLAVS;EMb_ zf%Hh-r-C3)FE@}LY43EThrKUhqQvI+nWuNY@=8dZw2@&UPaE7YxAkbYenVCK4l{P; z@v5%0|JdSqEMdA~?aHx({>Kvr8J~8G<}BRpK*s;#-}vUwc7%TNW_H+!Z>zwE%)cKvr zE2dmu;j`#X@2G`;cG-E|&ddE}N_+a1 zV*gobM}ej2O}sFIVYC-+)*b$SWpp)3U`$9SNs?0-*6P;ab_+1Y*F>@rjwUcM`@Nuo ztA|Z&uSu2xFuOJ8v9xPSUDzZsmXjD3VBc{aEfawGjBG*XA&jG%MRs<~HpX~Nej|_( z5S3G%J>Me^p3xX+v+YnJsOM9@JGc;JO;85aug`POuJS!P0?)43iQls(IGFaLA)A@| zO|WT+)bgSsdATw~;^`L+LjI!hqxEI-qmy^yt?Fh?PY{7le$jBb?Tf~LD(CEZ(Qr+D zFWNw!6$9mQQ^V`U(DZfHtbs&gm3CZXf;{U(-p&Holc80(*$`+C$Zqa>%L(>z{CahP z;X(O$d91=s#{t#x$m{L$@tccv1qffuBb1KkcDUJVTyM^^V&MI{%CkbCzJ6}U@%X{= zCI-c0pnZHDj+L*X79AZQm$TViY;t$Z`gpNnbqv)kv!{0|NE&b(pa^Tx>GM^=5mqslGo1>f`J0zrpq9`@h*=Y$_}k1nT2EKUn_#^zkZT zj{aJ{`Sep;dHPgy+KPc}KL*Plb}hE4r) zgIPTuQeqz6K*DrVR*%vpZ-@6X8&-jzAms;Tl4-!s?x&Y%3I&->QEGHamf zv5|$Xp7?`8TrWG6dlf>VU{$)zWal6=~k5267 znr3i;K#xkY2$qE7hEw$F6{!tfF!GISFlsQ(~5W* z@dFn3WA3IM87VQ?O>0aP_B;yp1bUN;MMZnY8*5<1JO0n_{Heba=x6=jtEjP{Z^5idF+x_Wxlzy^@x4;`74;*Soy zKsyr$Exc90fd2l<;g{_QSV#we+Zh`B+?hDi(w~k$cQ!MwHwTQQ*Qo+l&5cBa*3^Zh zmKa}0f1Zd?Bwyl>wJ=X(6s}-rN#%ndJ8j}vl$7pl0MA^gxjVSDJpoZ=swz|t^_UAa zw^OKj_lJG`f3h00>#!~4e(Kou8 z{x?7G_x!cL8(ff@aARpw}>Ju_RH8-T&;^3!EZ8QQeDv(#qm9xPxK;;7559JsgZHx2L+3?+F0k293zv z+;D@<4G8>G$qHF>{JMvfM6WkdUI1e4dwA#_b5h6S#Y@ljJr|7xoZtQe@ec2T&|=jnJr}I zFaQyS0cv4YfLN{Z9<^fMZW$b-Y!8*4<9He0xBx%-@KTK&Dq~ zjutvqC1&WW17CGdH=qk``PlKTkAZomj+H|ON}syq#3_odwEb^m5@gf&`QJX7_%}+W zf~V_f{|jA>zN`$Gg#H+25bhK#5zzp$7zoV~#D#K@U!!0tw7qdW3zm2c()lHgk_wjG zjtV8m&}T?5>X~OXvI(L-mQ&cg7d&bD(AK6EPH99-FSe-eviH^-(QaQg|E=Hfwx9oX zZ!Qf$I`-XtZW{s*Z6UvkPt)E2i#CZ<^A5V8wbt;|D;rc8f>g~e zSelgJaodo@5Tt5$!5v1M%LH%qPgqw(2#U{LB;7mTtvA+}kR<7IyX1C_b_gm*Ogopn zT>jponu{cwbdWrxBz)=SHy5AVC6Ac?F4u{>W9?b#?D_T}uSx1?tO+`0W(BLW=lcV5 z09+psFuTRkCZeY`^9=$oz7B53Ga{3*sx|W+LK6&0p%LutH>>vH5bQET)S_N&=*}TzORQ&)W zZO1EV9ZuE;)~in`D z$Kwaf%PE+rSSxHoJDwjb*fTxi&3A%@xoCKIMZ;;gs13Mgg2J02D17IS{*!<3^P@ql z6BNSy9CesW#CbU^3TV#i&&n>)-wYoR=3j#2BCagE8h z#U*T*=Y!n^KDI@(=Aae2OpX3_xn8T;jTLjydR$@Wt(C0q&JHXza-FdKYV*@|=G(KwPzmuP z;1r_D*?gmXe^3QIcpxmZ$*OOZZ_sYR(kriX#kpts8;yD#YNoq+OLYjs>nL%je9)t^ zuVMeN2Ghw9^MVmd zYJ!>A;LL2&*yQU5w5^MSfc7jGM-a!!#ej=bwTx=laSEvU-iM1JPIcPkJG^xl1If$u z@*@JquZuA)Qke$=7{4W6*7F?@d%@0iKyE)I{*L#2_z(TSupBC6uEUh z;8B8dY}ppb77!Q_lZl|q5_}<;V=ilWU|hQEM7mYTrCB?!h;e74f?~xSaiIuBl2AC; zFc7LKLof$i#$dr9`(X3~A(%trEy7l4KgNY@u&<-29Bv_KRdv2)(|#jZg~TZ+1*JhN znL}beFsh{YdoxdQl~qWLt`#*lmFxD(0?Hn0R29f7T(qbwSO2SGUW2l&6D=9K*DFu9 zWwNs6jr_KuO&@*AJN~Uv<2~ThxdwHf8IVL~QsWZWCN-Yk`P-^N**kGtT7BB`ZAio# z)W7wXfAuH-mjKY~LakN@SqW?6RINxtHOi>c8>-)^tT!FOtTsX!tT$5R$Oia#0tI0*8!Jw1E%Ub;iha0*YDU3BTbIng)X?1wVI4QOIZh^&X<5ML%;iy?k zbhm20`KSh_RuUbhn(R~yT@rB@ndKxssIaE^zXYXnB}l~eX66uv1&GQf*QErFlJu|q zJg$FPrn^#a+%%mxXX>UmUfuZI`)RkRHA{1*?q)J|zwa-6`{#Vp7%6hV0hee!hxN$m zm?{@k;D95f$b%HdITI8pza9!>>Ie&kF{pK6$c@EDOnbgYn)V{-6I+i9CFsk7DOkr4 zR3s>A+L6$c#8wuhGWHb;J%0IIA`h# z@klS#alSjlRL40}7ihpvkK-gKKumetEKKzPew}tgc1n6JzkOzVV4C$p6Kj_>}kabL{E+LYr>4l&E@-KgD)RVNidj-8pJ7lfi_jgPBZzyi;LF?bLEASO}K`=^C3qlZ} zV}$_OF09Bm+yxICbZbhv1lp@zkqX!afzKe|d2=6wLN~1-6|f8LK*Xqmpk{0ZseoP3 zyi%-)*{AAk+Adrp6|f7U_@j+I`^^HQahYm!!AV*uX&o!Ss1nFSL0^B2ukPdZ)L9l6 zNXtdlLtMX9bx_WXR5fY%98h(ssua>bn_WXbK*>{TJw>4p%;%HGBc1PNJw;ZDGQT>@ zO6OFGB)CXlNBqL@^rVKAx_4x^(uknwo%WKwu-crUG^l9&VLn$U&H0tP%deQe8M#HR zUY`pxH&c-L`gi`lKX~})(p085qhlKvYtjV4A~6rHV=IjL=9;XZ)# zYqSe)ca((Kwh#^ljS_5MHc-z!n{DGDjZ$SB_iM$G?GHP257jGX+i2kqjOZRYz(!E2 z1-oBHRG@#GBPNv#AR5RJX@UxKYb3-)5+4L;40i-xM17SKoVX-9$=Iv~ zW>-WM^<_>n;cBoSmhuVb()hnEqv;k?oxF}xhSQi>bm=|}U8ZwC_AP@tJpSm)>)eav@?GrLO8^cTC}LDm zeJ`+P^7$@yi1QVrhyiS^hbB$0c7JhpoA9**^_Vsf*e>{T0wZ%t0741*WI(&MzRDz- z9_Aj zUzCAG$=_`T80o;LbV8YrlukI(f7i}~LO&p0hkU#|GvP1Ge5i0x*SOw{D&jn-%3p^7 zf~RzR?XNdeSUJuqw?nS+btguA?l4xlw7e3NFgLqcWApnO1Ns5Mq?Kr3gAv=9pYr zu*=k`OV7iX=0W%6A*pFsWo)oNXxMo8-k0C~s#aiy$hGWxbeVSX5tYz3R!!`73!V0L ziTT7b6+$3k2QQFr7LZtV`tb}$r>PszAyzlWef)k} zRh21z3_Kq3r!@{h?Z7^~QvCJC@h;wX_Sdf}6UUAD^AF|{?GsU=wU;DpW<^*X_OhlW zyI0xc0oJo=%eR>%s<7w&;m>{GLs21ss)Dj|6{cIP@b3|`Lo)$wVPw*_3xOC_m<#R~ z$Z1-dEHh+an%EGdf+qzWs7N{PR4amU zt-VOept~d}V`vPpCPp!}-&?XEMN%6mDY>y0d)vh*Io};Lxo~1OB$rDmyrMx??l(J( zBp>fXM_XRyCnVlM7LZqOf#FQy-CkyfmF#0?86aGL;hAPCjJ?%FoU2 zbgJ9Hr0Vq^^sJ_RJ$&pbnzU7nne?m)gPOQ;3hCMT^;vs%&(*#s-M}(|Q*-gXKIL@A zWX4h@;Ni#P^uY!^wv3y#83!dU6IVGduJ)Cme_tAGQ0NXb)`HEokejK6 zeAu(!|K?vF18N1qUJpqC?To{V4uxRvuxJ228pya{BG^;XmyB4VqHsau097^tTG%W| zFTe$_#3sr(OMyR$n09w$I8aJ6=>lTKQk-3e#VaLl}Rb@qIJ z0LX(oBl-7(VSH z*z)JPB2xpwY0I}}l!4%+2ucInCuK zv2iN7HnFnSq@(1?l5X5)am@7 zoIR)H+^I2xWVUK1lA3Dz{hAu9)}-V7C^@5qn{GG+s{1F~=?mj}^ZiE|c3qYHQG>3J z=XN@tiY_+w@hXK`{k6&__M#r{h|vewyIGdar=ODQwkZyjd{-gN3NSWZn43IrssLBe z)XLE)h24@wh)ngBD!`Lhr-xEr&2kxD+l#Bna`a;U#nXTPlioeX6d$yBXlhXv>|S3@ ziwDwjsC{iDTB$1$f;m!xaUvBtnU;2 zH;%OKN}L*kd@}tSQB(9_4i(Gh%T$3Z`v5fOzQQliO6EWoH1>#e861PguRVDc$b!tB zh)YUtyZrA}AgfJTlw1NURsZN>o&6gf!HQ?Wv8J=D@H7Xq+tr$|E363|TbpPQ>s;2{ zA(EA!6XUZwlC;h)x!a?BB9bdG&9>KB-J%^}lHwce*WDmK-y?%ll4c5A@y(i|N&M_M zHyje)_SHfleEGXed!kEP{h1F47;~#Nr+E?ZA=IR6(qBKH4$Z>{=+FD>@wwz`|I!6d z>JD$ziiIzIiWPkfcN9eU@y=Ofoi8vQ<@=m3kVooK`%XC`TnzbSeunF=*4;o@*?0}= z1thBjGhSJZj+uv>aF&^Gk!djVm6^J2WSY1&$;<+oRw1+CC{$lqwv%1tJD)b?=W)CE z^izUkvquL9!$R@yp@rgU*e^%ELQDyMQ$Fb?$$rc>1psW zS!y1$s~Jh>Ys^Odu-tIu5A)a{@@)jOO+SER*!+Zj$Jwk*x+12y;!;uw`P7Zug&@?< zEkLMBGP_-o>xXC2e(%cdIu>$yG=J0%#bo1O3}F31EvJ?EQR;U)T&!xq!>%uk=itZ%q%E|+$9bB z49Vq2W~x+@l9%(7V*sm|{KIl*Mf*6p81k~_5-@2x2O!f#A7y=++T3SrV%`EA62@YS zgJoLMn)&u%)DB^bSaSt;rd>1NpOWi1tX5WhOKavEgt-HRio#-G)Lr{?YIC1mCvGHL zMCq<9CGIqe- zlh$pSs~yjvhw3`jjwV}=%`3qH?B$p<3#p6e2}34MyG3(m;dWRsKk%o1=AXVCnFWQ3 zcKZ`#)Ek=wj-4g}W)=)xO80`vaQ3rrJJ^3(JQv&nd8hpeg~7;n!E>R(b3x4RVRE0X z5#TU_Y0Q{<+B+9KZdjV9AkIvCmj&sNxFFmMntI~-eRN!$nhuEzB6i}JA)H@_Qsfos zkQ70b2$Xwa37&@L)Z&o1B&KnIhKc>@XjE@6vg%KO)y8~W7-h6u7$(NAa?~iRQHx@M zZfC?ng*TXhHqaIN+OTBL36tz*+y9D9Z~n*k`QK)@Z0b4co`A;kBE>D87Nn|1+?>nC zh-F8Zk=hAEpkAs90O~EJ%mN>$6j`?eFOZq23IIxbkdEiW6UQqMA_9FRF3uvoL>{4< z{7zeWPNG4a*0>zW8$|gUn@BsHpVPOeb+)`uuH~I}i)PDvJC^t2cmDF1{r2byP&cSe z1y~xK=n@QPXd**eUh|${9;NFJ3C9)t^y;uQ$C@RlkDldD&LD@HI3e~k6|i?~yqydt zI!Bt=O@b6g**gQ~hhUuwfO{Z1gTJ65LsU+Twdc*RdoI`63VzF5~i951vtzajbh1+90yAV5OF*?LcPvUE(+Dx zWH+>)eZ}tV{0oh$$)c82^;opi{Oi?LcPB=yHafA{ zG!^NwPWyK*l0I`AMN)sPA2dbMX7j2wQ(JyS;Smn}&R1Rusj2#O+VXw+^x=Q=x%Zw) zwJHI9d1}ZQF%r4;ZrP#R6bDra_n0g(a_bEc9rA7mvyC{YCRoU=k)M&WNhYij2h~?B z_|rLVW+s@8>hsU;CfQeA)P%v!52VaFI958lu#qC^UTKxtvxxvht1pW zDB@i%hLN2`j`x+0qIe|K-d+7M8tN!&6L*q`%a9;>XCv{}$+V$HRkhGS03mgCK$WR$ zvBk)=ode0ac1MzA79&##3xvsV=?iyQGpPnsYOhXQvO%fhq?96CUQS-r_&K!YD4ic2 zIaQ`{1ZoV9OT6fDJi2V?Y6c1A2npu3JCbm{@O2BxK0A`COmEAYDckY;;RmaJPI zQuRP_-enVMT(mnC)=2C{_fpwJuM;*4iDY*Z&tX|g8=ZW!vizlY=XKLU^b2qO2cP*$ zRGid5X~VSL8YvyLMT3l%r zMF01Hs0~L>OsT0TBsk_-^KPC+-`I1|;hThs=mb0^8obdr3-i=XjhKQ%V>6jcg~b%0!K6Oasw+`44a z5DRs}x@7CiFj=}JfrPYaP$8JDFAB{#qa;ZYjW>orTVD`bS*~#DFpgL;Ti^X=gRCQ0 zT!7=US+TOdz-elJcI9)rV#REIcZ&tsgOj7P#sgxG+~88WYRV{eS*S!XedC)ejknxT*|L7Lkx4O?9?S6t z$bXpTrEWaPkkm>yGQDDVl7nnqi|&O6p^{{h&Ltx(V)d^1&85!nk|i#}=pq#5h=Q

D{I02duh6`S20JJKXHj|_Ono9~d zT9>-hs(D+Nf>xSGubzWfmZDrF#kKP0$>|5!T;WmNa$MuZayMnff+!k$cyCM5Ok2Ls zfBc#s|I5GprpQ-oDX&`wM}3=WVRVkAPOCw=vu8Xd6^)e&W46o=a3OViqKZgGMPTb(@OD1rMtQk)LRM*r0$a{#L9g7D%h705ZXOQbF(}Rf40g z5gi-#Ji48O;_#@>883gU+-udF5WblOs_yKxe`huGnoW3~>g(7;ey$1t{Xhc0nrVpc zj)rL3Eo#;HoIJjn4SaO@FNDMeB-RBUM^r7^Qtwu@;Grczwe=F6cG0cjdGH&l}O?x3T> z2F!t@Q@w?>X1+aiWF*OrK1q;oHf!elGd!NDx!}3EgBxrl<(1X z#xrHVMqQJo`8oFYRK`bzGc$tyOQ-5xJRY8_oR8&k*~4zka7*l;9OQQ!WpX`5-Jj`E zR<}~#g0PgZF@b)$m zpBrB2{NT%q)x%@xexAZ_+K^pSFaikISsA~{O?>idghu?@49gGlx!j;%6X=1 z)P}H)Qqk;0yaD+hr}=qv#^iQL9)9=oKm4rEh~k0Xj5cF}&;Y;!6c0w}l`zW-f=q>!NfJW1v`vXDAz~BN~Ub`)a$D5rlcg9FQN8c@xYVEPrQSa&{t)yPeT1 zp?SH@8)PQ}W2D#?4Br5agKgTNaw5DPnhk+%vZl(I9G6DOa1GKVF}o$GzFbCteYr}X zYaCsK+vRY#ld;sfVR^G?@5+5LeU{ZNX*V24dT3eE6bsLCicXctBBE0zUXE}U1;1t0 zgd}4;QaCHy5x>ILfLV#x>R34%Qe!h8lqM}%0qRrcWJmu6!X5p|?TWOdE{Ni~j(-C5P+Gz> z^pKX+1%VLQ8q#}flumJtw4^R*q#XpVcd1IK6J5|)7opU^+M$*-R-`3$L2`N^Zb!@N zfoxGV%2h0>OM>x<5CFo_mtDYThH+|_A_1REa7(vqRxnOwITmQBOlpYVd=grq7(g{} zOU-syjn0lr5{YW+QJCS}aVATuu;D3fBQtln-PY5BC8=CUw()(IIPd4@KAHG8N(>|2 z=Mf0!^;-{)KzKmHj;l;7vUg<8oIkml^J%we4tj4V=>6^=_`&bK3{$O`4G($^!Et}L zSaS~H1jL-qn}#5I!doC5gI-^8i$Meuq9Xb;OJuuH1*fTYLD2e<0}O6@544V^+6DLP zqfRp3VJ@{+%VR~FY8SL|flP>RE#3}6n(88m4;n^e&O-ZTXsTThUIZx+!4$m0_ZG7n zD~hRhNuvHhe2jA=>Th9v3eGgR-T{s1(#3%xOfr1&Ou7*@fW|BAd?U14x?^Pqc`C`4 z9(8uR_Y|W#MW$nTJw|T-B0V~{m^}$CX7hu`dcsqkBcz@j6&}HYM)fm|YOHa)Hum*AEO;ZXpP|bw7Vt9>%7w9yQ-Xr`(uDQeO zD~8tu4=beFR1m{}nia+Hn&1(mfpx`&k3EiWEr!FcDp?Dxn!TV6Bpm*yXMZTb=>Ka0h>9%+kd)}sMx>zD1X zJnOY!o{t}7|IoqEh4q(D6i=~tj|(8<22<8qve+r>+ztuoq^S{Yo|UG)?)X6A?T-s4 z><+1y;Mzh(8BbG6lXE-r+5pudEuW4@V*4D_U7Pinx7O3-XjM$FJ9-oG>v|(2%h5>b zU!@#vkM+3@!i4?+S}cF{MwV}l8+*{7`LW-8@k%J>Q)NKTPGv;2z=U|b(YY3v(JFzk z#1)H|=edj8u`tuc^gXv{`ANm9IIfm{qUEj29}f zP@8R1RCTEZW>sEP*MUavQHZWX%i4{M9{buOiaQm_SvO0%Bk6W-hi)I#&7ysGcUQuk zs(tO%xPtb5%U@W0!>_+V?dwFwBigrMLop0X7}B#o&)T*K7EM%y9MS^Q?Wrh@^BK`~AvNLau^p?F$#udO}fHYi&-YeKD|$OLE4Q z7Q*t^7Pr{-AEt)WCl|?eXU(jAQKJKg3Y=ZA@?Td|s@AgV5%3+l-PhZ0y3yxeqi{q` zDF50WshySODTR4cGI|M98!ljTmYH=`)n)Poh3+JIf&gID2o0pa?i8VMUDp@ayUVWY zO-|0fa@lB_{vsg$N<8n=mhW>;Kj9nR^%)Vn55a^NyxV~M)8=%^5+b6Nz;Bo5S#_3aNqsz(c%RLRRF5ubgKQyq=<>2r zJ-Q%r@alLm%dT02I5pLy3+{1Bd~24$Hy!@ATv?CV9t+GvZmzMatjBNU<;F5+EKrR6P*I6^)9Sj!XG-g)ow>GLUty$fk!%+tiA|Noo+$GO; zPF0bfP`O1}o~3S8_=zdnd&0o*b%-&_IKnw`aD$11D?6w5?2dSk9qoHZ;4Pk4IHJRXJA3U!#}m`S(41a%%_EZc%H&=HC9B>FxiBmwxz5KK9LT zdJ|~Gm?6g?6+@gMWBQWm00vc5kX%x7lFX0a6?q>{`x2v3e;3wy6K-ywTAaGi^d zApfg1^DRoF7+2yeRg(E0rBRH^@*9$8`!#f3G!|>Z$eZ?Qn#5a5^qotcrhbzZNNgj6 z9j`s5ac=Lw$@c!IpTdlkqAl+|z!usIl<^UTl4g@!09R=~xMJO8c8>0l_(%=Mi2ph} zN4Ep4_{QbCfAe386p4}vx^raIf=Ey7nD|`Y2N#}U5p0*GNL;Y^Jcz}QYWzcx*4G8C z=p-Yiq*dVPyRagSuL}k%>3Y*SHEpj88oK}zscSa=(eH>;)AYI^D5S}n55d)5rtoD! zTHYeKW+FiaC5f8_X?TkukdeCLa$^k=acXgnT(UGD0Iw*+0`ijL9EIc_l!wgEAWx$% zPg;{1WS7LrHGhq-Wv+xvj+*_(n%`_UJ{Qmb^_lv-zg$csSQ&N-**`cbkQ?^!9WM8| z>c>Nd5fF14`AO(Lu%~v!5X^~;>4xCOC)`ZCMYFA*ev6iidpfJ=#pmC1D&4^x7_m0r z|M?&DavB3ZmdH3@2tpHo=TNyMG7e}y*HVFb%P_$?QpWUe_PxV7UxNC(SuqF7X!_&G zQ3wh;rw;vdoSf1QyJZ{C=P)^?9du}SgtR*E!ILeKby#xDcYW$ANZu^;8bP+x!CzL1 z4C_543~?!ik9_Vbkr7FU^+CpfSCX{VewihM1GuDgG*puL?(8vJT$2u{kkt98QLC=@ z(U{J4!z_ETo&z2KazM8Vtn2iZB^q-bX4q%)>Y*469;bJVqn_+qGsC?wVSn*+mjVTV zepNrb%xK8vVRz@HRt$a`RaY_(~WU=LgdAyeX`>-b_G%sQD~p zq?F4WFip(rM0FiF$@2*S?BPGxu1`hJOk2LSNz|ubzWuJROB2Ji&g|V>4&}hzFbWeW zzZO8qH`WCccJV-l_zL7med>b1!XO_^HHrDqH8@UV9~T7352aZOF3V_ctq_!=9kowdGQ4?lj7#&qx46$ieWbEUD#{<cS|kg7%4%zk=}9tU7lZ5spqLsAG+FnlVre?MR1x(7VyKz?zebof^~L;ev#4zPKL6V%qu-+j#JGlQ|IY1P&roAlbDKMqt2D<+#qkYJo8tF4miqzp zKlJbU8qc2V+tPT`mhaPezv`EM%isOX4TMx%!1T-E{LIBsG2a3vH&6)f|@lwl?yH)abe;M3#JsYl%r>ch%z9$j&=_aI-ul_gys{%8?VYpNcWCW!oSr$( z`4+{zvZeO}r*D++(RQ__iN!B}=srinn&j765UR*RqjfqzACqm3=xsF<*UX@)w$D5s z_AjS;h5Gx7^jgk^uj^4)?l5v|)b+#ZhGrrzGCoS?m%ME2#l5HC_aa6LKO9Xn@HCSj zjM`8|#HBqp)d5+J>p-w{JUWyrm?s446LLGu0BEcE4j`_BGqt|=%xZrguQiKTIq+B= z$z+`Z4=sJg^~-jgUyN4Tl%JHFx`q@Bmw7FY9R4<^-Rb`88kdTmv?j z&F-#jW;cA~7PU^mj43*mWFtszdMfT4|NT#2BxJFAWT!*N-BFTKIf&v*YmOYIQCXn3 z+wYO}83-LGvtO2o5ScLrHQUMH%~YY|5KU$d9fOPmpxHJUrTQ#iX0;*&hY{hrGl(OH zj>VNhgN9@Q^UqU-juEzkF02j+5oA`OV~JbQp{9Oed~b22{4xh=KdZL4LEg`*?JWY= z?Z%uQKl^#k1S7)aRT%#Wl6GpNgNH=7z5n>Jdk&AgZEq_1B!;nFhe7)|!v1R8MzWuC zOEUBB;US3Yoi_R6roU0GJ63D{Qc_A2uoc{>c`-^e7` zPyLZsKlm*%^j@Y{0$sktgqow8s^&F{#AiJaS}OE8^N-=(qkxLgYeCf5;Y zN!~O+Ay7y1B-j_r`Dt08m(&fZZb-0 zgySTTG2IZkT7T=D_1+Q}v1bVrTzp|Em!$JE-I6v1-c)ka__dJg zkKD9mvi-4spoIYM@wnw=^n~T9RQ)wOGlU|3i^1KFET6*j#YG#9b8kf4z{F_$8usfXrnne}a zE*x`po`F8I53eM4sJv>=iQ^LF6D1O=yqm;2USyIvWGSI%(rczz!+6b9@q}IgQ%O}L zlayqtk;!&?4_mbazyD6v60SGb_?W`0N^Z#ERV6psj_ao#i!J?eT&tjOm%IH*dq9{T zf?O$pky8)VI_%v_?RvSpH{r#XBA2Z<^5RqUO0*@@mZu8J%TurH|K3;sZ%>c0IvMRJ z!C@nuda4B=IKt`#awGO3bHx!(Jt3ciAoPaNgXYA2E-qkd2f$+D`)`(D{g?k5MRi$A}uZrlq#qcuwJq0PE4g}RP8l5H`RE3OnK$FP;vaH{9o*e zPkNTy(6V}|b&A6jY;oO^3)iZ?8RkoOA=wHcL`~YY@ksf~rfR_zVZQc6-Ta3`Sr{j%EKp;iW$+;_cZ(L(l|##*L&CT~)dB7ZE6mqVUD%KS zQIpUzL)3V0T(FQKZI^3d|IC6pv>c2Dy{oaJ|*)Gx#xcs`g1p)!Ku6TY7BT@_l-2|H8liuYF%s zo7BH_J!anKeE<&t_JnT+3QF;Na?HmRnF~f-+SAjW!_ED2MVAXJV&LjfxETTLX5Tq= z4mV3f*%r(zbre~Lo2W{ebA-mKHZG+e^Dnbot=OQ>=5Np)Hz9F~9e@Y**m(&K&qh%6 zm@gT@^KvJ7y!=CoTj-JzJp<;`{y>sGa>*1vuVhMHtT`G!tBPuxx>WfsO~IMNS9I9r z>%!R!Rm=-dA+>8t{|gN{H)@X|XZeaJPmeEh+N9%GJUvj=r}rFG_4svB0WM)RK(kkA z_p*omT>W`Rbrgp4zvB7mrrn~}2F=*fo57C$*jv8g{lD>7-xwdA7N(GUTO5qe#5y98 z=-~V9y~oAsqP?Zldn}5fFt~XeH&SY9o?}Ic6-}`8PJqQ#=xcK~GvkqR>N3lwhZ9cn zg0^CrWwSq`KU>UmoSJsrR|H=!CrThgib`PJ9&K%hE{RMG;Hq$6j!4C*YY z3LhltUT@mjfT~ZPwJwa+jhcB%>TuDeV-a1p__J}v7>L?aW=D$aCgX3 zQ$8bZ-0UOV4%N$-edx1(>cgW1q{9atS!$yQVe!{1iS?uR-gd$C3vSKEqwZE~u(81g z?$KbPg^LgrBjkc;K+RGeBXj3#+l zOA9KN#Mjnl)g(#4Lwp@{waCdaD?sZ{E;-pst91V--plu`b ztayK@u6gSd-aS-r|IW+=x57-2a5m{JmPj5AwjRk(t%uu#JfiWhTo0eNd}~lx55N42 zpY;R(_@hd|q|s|9@woe zE!cuWpg#3veN=Red{8CQ)Q*dYt0MV__#gjg{~LSalP-K4<>EL8&p!2yABr{mjsMJRQjgQ_7?hC^e# zksVmVv%rF4CDY}|uj83 zo?6b<``;n=q_m7{rTfn=c=rA+vji6$iNDriPJY9E)Y#B>248(w`pVq7Rm6gA(X#+z zoi6x}sX`*sLr^rD`|698`RW*%*9unN|B4eWxFQ(J+Q1`w|H#ZC)kwjORwLuoqXv)G zL2QMZqKA(w4C=#rwCKYdMY%t8E<|2~pMK{FwuhI_hnO$#68qht`rj~heV-^bR6tWA zBfC`>7}#w?S~og_B7REGq3UhCD6~u{uXdgw&7qp4MN)8uz(}XCyLd9B7V2j|V87n+ zJx7++&yeO&ZO!ABlMyA3bKNWuqiG_!Q{me)nBV@ zV(d_RaPI-OiZH}n!3%>fE=PzGrS~II^w{CzFymO9I zhnC@MJe1?t?hQ^|W8;0foVxQ~j`OdCjcB>Q2fwmM)M_qxSOX^~NuGr# zqC$|Gr3fkoF^b)wGpzeM8)`~4j)|v4C|)brpmf>jMH8^Vh`8q4h)3rq-{Ggknv}$- zvR0B-$0hf0Bk{#yW)VK9PZi%sJUW*wI5?0)nm?H&^X=JfkSWvOXf3l^Gvv9ZrbPZr znlnHXAv5pt9T$EaKl^U6;O~xa1Ymak-A8>tb=@s@pLitX-`kPf#h25gdRdzEz%z^Q zdXVS#lYh9K=ITvxIKxM!bc!l^O72aT3LT@vS*Df{65i2StiANUvNuETvhrpG*p|J? z+MDU{OQK|6c{5}DlDJ+x_r;rn^SG+K8MtSaHzeDQ`;s;*zk%&-Z0S6^pUs}{uv*o4 z)$k+ghO@iM*{JtHB_s~dJO~!;Ym0lmw}?hQ_XOV6ElPfgdnG3xrmk0$925PBJ6+r- zaq)^v)Od!c-M^crYx?v5?tk?=J}TI+HHpwot07-T^W9e~&uKN#8Usd0!R^7Q1|uy& zePdhq!M@BD`)lR7sGdsTd-HB$#hg|e+zqUc`DT#ihD#0^qW@&QNXg(}P zmU$Ncx1}V;e9LJOORmLsJT4aZA(;{LqHb^>KP+rcQ;gzG$#jgXzbb9Y1-@mKUn?_n z_dqOkvSyM4ungCJy#$jNo;r+OSavdT=|R2#otEVR;RAQFX6Z@3fUj(A7BF6II=9NN z*%OMhZ=F{q9%b>yZ1ci~2H_C}`*)k2mb8gWf`?PDQw?2{F-%YM1*Q+O&I&O58o9&j z(Zla4*4BO{E$yo0xpebDCjG+&qUOF{&aJ+d|-hbI2B5^}<6nOrcqgy*f`09 zH?p3?{+3Pb-N0Bv6CJ(?Sv>6Bz#9AJ277TU_b`Fn+uh7dZK{|{TX zctkivsAzR8vOMnYwqd4;cw>8bj+)0w)-zdo`c@nhhc!7IqdNPjlcipgTdu$(Mm_D@-BXEcBkdrVpf#0y7ka2m$CfCX#dXbgwN1wS0qE7K^)X} zp=Dcilf0`%%fbOf7AEQ}T2j+T8daFI7h1O7tTf%JItjSC+!geD*&jbsiB|FRgypFk zCoWIXygW7OZ~XmV@VlRn-fZX09*S0Mv2y`Gx#CRn2A3c*0%;`~Z z@G=I4^;&~otP!lT*Jz|xK;Z(#djmA&3B4@d@6a)p5imE@?_D;lYMP(2u` zjyqKCnYt@3x;yBNM$-kJ7!Y?cLtiUc*;?#Z)_sJ>;p2|{TX#-Qk!ix*N&~d)S>RXkEmKZX06I~G5oqlB zme(HSb1m=GNNL*geU+6D{^_szoo|iGidKMJ<5@ij#0!qaTQ54~F_N^k%Ia>*)5 z2#=!1i^{6}k|tOR#bolY3#)Z6EJh_zH9|k_P}Xi%%r)vgl0ixxgOY6vo}$L{FJlb^ z)Ocv8Y*wVkb3t_9vR{{ii{=_fl@6Wlq*JO+*xbN)lkJOaUNqRwiRtIY{YgRZte=jZCAIU2Y-o(NM# zBe$s4>ocJJW_Tig{QrK-)2U}pDf>K&Xas)1|H|aTeu7xBjmA}K^M5eD4aE~J{o5Mw zcEvWD;AAG*1B@+99#%wlkahaZlPb2+PrW`YB%O8lOtOW&9UXA=83dm{33)9rQBwfg(w%<*_! zsyy}&FAm_-_O0~Zlal+(beNtN`{dfo7npnIvipD*xF@h@&fbI(`!y#Vr?Z@*8D9(j z-tGUMN0(px!t-x?SG4>qmB0iqP(gXBf<|u9>|#v6MaxOIXt`ja@+V*Y<_~;iK*Y7; z=`M!VKYgkSvLNUrs_}SAMC*dlM33gNAz+=4Z0Y9YVad+ ziZTV)sDajk8EmoNf@DAh7pQ_Zf*EXq=$utWL1kE%k(~_wQU+U~C~FPpD{=_brxq8( zFLDciNta{?e=Erd%Oww3N(}NA&FotI^pl@&kkx?Yex(!avnrTj8TK!?X^Y(v@V{YY z`#w=>7@9FB9B(k;2oPjKQz6pFuZx6~WYG}#qr44F`1$EbdzMF(e#b6PwJFnx)c1Mmu@F74U#{Rs+Sa4pur4Ya0KH>hv`gYdk)Ko;Igl=4h?!@&Vv0Z(mQTrk`;c*nSsFK~p=)M5k>$a7& zbi*S&N89V6+31uI%S}R@;an`BF5W*7TrUSfpnZs>b)Vshe``!ox8|kk&?q6z-Lhz> zMOq?K(Xt)6XMyRbO=*Gin%C1$fz7TcHnevl*^ElHo1kVB%Ff!}YmiPKANJ@glnWb%^R5dDQD0bQ`v=6bq4 z>jD|cWTERhR$P7l_%c<24CGc?AVVF$t)x-gl}g%SMHVnRE>L&ScDXF5NkF?u(~GRR zDdBo0nQ9=2Gr)%>YvL^G_4`$xtwB`*8UID1nNsa_!dVZ6B%gE*fCB78Xfs`yl1P84+*tZJ!(SJ?fGf)h{_}Mza}$==l#gEB zh_3BZhQIa8-}TSl9TY#RRXtr{IyTS9U07Mdb~Wz#AOO2|&*7jGR8{=L^$K1j-E&Ot zYr~StJtsIq(l2a8?YPo8c(S3tTyxn8OltRh5dWR;&oKTw-=JaqcfLcz`0wf#4dTD^ zJsQA&KldyUMa3NguLU}O)sbIxf-Ui@{IxI;4TA#|xLz}tU(FM$J8G7)-*5kNQ^_V> zt{-2nSJ77h3QN}asj+T7zCYSR^GFVDgipjN9qz)G=?2u*72a)xZ1CDlH(+W(-Z7YR zz#LQI-NgIOzB|33aMr=p0%o5$9#vq9 zY?rxRE@&;eK&*f;LY;*W%t(g=7&XN0+9O+~iNp|;7}VeksQm!j!BeBLN^_VYC^4uD zIwIl-h1RYpF{lZGcY+PHP-L5p<;P-0i9t;eDLFRz0=KbbTX05B7_(x%A_c(*-3V$7 zYLWz+;@t2Jk?QP7N(}0f%LR%;Xcy$E~AxrzNenSIUQK^Z!OBL>m#ru2;mF@%2o|f**TIzKJx?&%4 zw{)yD>cIduiXmiS&^4Qx47&KA$sy46W*oroFgz5&6(=UXJ|KG#>LSJQNa5WrSD+yL z)A_*_SaId8E|?b@gCYn*RH!8-=vN?q2vXO$ z;2;eXje!g9P)?Dq0wD7SxsV1)lNjH{iW@Dz;H~J8CW$d{$zj$c8iOKvnl%Zfr$l8# z=0t-)py`UnAcHETMCNO1;}}#tm9Cm<+l3!pjDbr6EU}b06!QeEmnuo-`vbfxM+O5| zfv$%7j!#zHs^P2zRnPf4QG24=^Z!B_$|u#o=eASnQATlR8+kOZ$DMkqyO%Vz>X+bu zn@ednDK!i;Y@4O#K~3UKBwfwzP|j_$m}vH*tFPMEY)|^Rx_S;E?=FCxc8gkjHwTb6 z6F{#1$cuk%6Jxv#&@)D)KJ`bN02=vN09}!D^id%-PpS(}LGmOVqKVxZ{?xvsoa}89;Hi3B#Oy z1q}&6o(MaU1+=g;R?OMg!+NC?@z~+krjltq?J%g=4f_a~E!1f~RV$n*#rh(dQ$@=` z^R=5cK!G1IQ`%;oM+4bDgRBO+3)9zsuQ}QE-+GIuvg@&hv*kRGF*~l$PP+~FzgLxs zBw>f6%0`_dD6E1sc%I0HN06pf)^t8T z9!dWrD9nf(+S6(_l(zP#<2yOfIx2?!Jts(|0~S{!zfCbl62G2ri>??0P6eD!Tb=QHb^T-g>zMQ%83nGW#Ux>-X|tU8za zq9q`DYvb8luRC~t@x5ITEV)t@BUZCTwu|p=f?L2TrRsu-ebyfvrxxGa1P{1KxyBvF zJhT3v5%A)Bo1o1q1fCH2l~z!m3SSXW3+c1kBg>{LGES|?2VYXBlL-1ASd#kO>U*0c z+Uf9hiRj;MIz(TZw(zrWs6{Ec*))@$MwOqs(ht4Y3DYD!ea1n6qG(*i;R0n6;A4)f zw7oYqQ0ZY%Y~f>r@W<78pA$dk>c1;V|J$b`EW>dW#vx*Bl-hhpwCU`pYGNe z@z~z9^i*H$l?DcnKcLK=2O&My7yH$bHx17gnk?ED5LIt>b`0AhG@Gbaa3!~APY*Vx zTkiO(KoTLc)`in!n4av5GP#}1)1B)~kM@P}&B;3;ouK(;Q6PZ-N(W-m`4|F!-L5Hl zHM^J#2%<*?*NL_gL3~$hN~GXxt~OxM=9;TjXAY)d?*WNyUWMCw*J9UZF}euHd>rN_`p zAd}PaXtk^S76{Z`ezo6%A1pf#oe`HZvNHXCm^oQ3*O^JVJ#!`{20>=GZH<|fmEVTZ zko5^`ziqRTL6W7KfRUA(>ia{WKED3`8=1iwzaM5zZmQw}1nT2EKUn_#^zka5m;PF1 z6MHv1OU`eBl*atdec62asZG*%n2C7^VZf@n>B6?@OZDs(FQc4yBR9=AD+lfEk6wJS z=HNat7_$gE$IO^qnLXYOH1WcEPM9Bc1A%(y9H$Nyj&VO!xyGM=-+L3+`19`z>YICm zDPtbzU+JapVP)5&%d{ddRB9dNOLFVgmoFc^O}67mYJ>sJ9I=@)l zm43N$!F@k154n8D(SKM?+fTbIJk)abyq(+tUGgZw_! z5WeEzcqZy77X)h$W~9(7>KV^Om&^r=^9Gg*xyBf11jiN6TaiRAWGU@!$eu{pR!K(6 z2RivUG){?D!p}Z1PRZP6mn?1`!YttisX%!7_Hgv8xQ<}*kU23SIn8;4>y~1b({08Y zt+>PH(MyYYjzB>^c}(Gdo89Te?zVNEw&Jo~-(hog)%tDVs%g_Ehmo`g{C5=J!(OBI ze^xP_IOgncpjLkt6S(&7#`y`vuKbNoD_QMtz~8EY+~}67{0(u)GA_IJHzdvq_fMe< zZrLATbLC!v^c|5V~7h7CHo}n2VqjuVI!oey92xMKOmY>#1B4d^eg|iX|ltO@pcNc- z{@#)XDw2qIr9(N!oToKuHjCtLhk;KLRr`FuB$;my`*Xx5?Lfj?|3>F2X6nwQC_Rk! zoYIg+R-KJ@+D7l{zxP#vWzS_li>fPe|Jy7oo4(Kg_Q~iu>KPHUW?m5ZzFA1>;MO(s z`AK+t%5O{iPFucD`+mWvzWm#Ma1;OoWq}kIdH^wBx`nGqzS0053D*K(3-nNig7Ecr z%*ZY9U_+})#~gH#jL*rZmcZGr5h|C30(iR%pA^>*fZ3suQQhJtCiOL6MlzMQ;(pD6 z7?M@oNL4YPT9feQ_t~(M1JS?=voD$@YXA)Lz4mp`lhaB{GR4-!z&i{s*U{I; z*QZb8-}oI8lzGW;Q(@Z5npEn@;2 z=Bl*hSo@%0Y~%cNyU%fx1=5?`+&Z5HCm2ri`O0)g*Id5+VUy%Ko&TCLLwZKnoUqK@ z^qMBcB)O&^q2P;#7)((p1&|@t(E>@Y))}XOt%&>{@H`SI z?N^=RCv#o#LlQ?o$<3xytgkBThy8|30U~Lm!~Nu=23U=nmj}I8emdbqW7e^8ttBL8F zFju`Pz&1*p`!sK+PxF8M7oYP#{C{DTm37G>uTCUq#hod0>xI8Z=hibnmg%VZw)=`D zB;Rhjyw%Kex*(^o8o~8JjB;L)M!5(AVPvo%z`mMO(T;xwOz>WGSYTI)N_cmF+8K{E~siAcT!>JsFbTupfe zoU>V!#r&$sNXe>#^->$$WPLL1&%%ah;R&t7^)~XNtO_ ze$pL*({Lb^&5aE}9VAkR-bR08=SNEEw85VN-SiQ2Ydl3i-w( z60$l5E4+`&1t?=7feA9=p(I(s?aP>4u!Xn&N9_Xmm|Ub}7oD?b7vQj7n_E%3RMXkB zhZfEPKurp|b;&z-7R-IHVJVYIeTu_Sxgn2tK6C|;r0_HJ9N6c={x?MWK2d5oJeyOU zH=pWE4nuP7;V@Kq`(|@?7~U{hQPV`T!|>jh-~Fn31%hWPEswW~S^9)e{PbTtVHPL) zKbY){P6G$fG;AOXhOHDFoy92wK_ECfi&OYTkhZ~eUp1U@YB~(I1&0B=8G4OiVV58~ z1gThD5dA4WixW`eMo_X{j)E%vA|RJD;mEDq0I+z12VmVI1EJ+eu83_z- zS!0%T?lBuic9y2`uQO`0)mGjTX30&do&*$pYHYngDR$NVX`21@1^sWIWsZMqY_tdS z{#UYkpf0L2noRp(qRSUyEZDuLI#fh0;XJa0=WquBJ6v@>FeQ7ZU}Icw=1xL}^{k$} z*9~TAg3V`G>96IP28GSapZmS<`dgn9J#kvE^8~7c3=T3Y9zhX+8z|V+AOrg6E*KDy zp27ARj4(LZK4V3y!S>qcVJAa%iptPW&GuSt<619-At-}Ns0>}uVjXnZfqkgPK|J>? zm0=M?+aA)!1YxWt)0$VLGIYV19f{O!`}2r0bjg_Wxb8t~Fnap`*?Sk5?e?rLjA%np zP-wBSXwOt@5>k8_3r4>0ffoEe6JSS=+Ymj*>7LQ5?P z(10z0l*U3UX=rSPQf#dvs8~R`RS^SejdrB=_gk0$TI;{}`#k%3FW>p*%!ZtKpZz^+ z|M%X{-g{ks>$lc&$(ZxF*-Ge^4=&MDlU$>J4NnuDb0l^vlKJjz7YCiR%t=m_D{@xB zQVE5kD=lMM!_<6FhQ#31#~CF4u$ z{u2uBY<%8GZ#b${7d(LR4djZ2%i!O2D^jJpAfitjxDGAQ3l{%!!P#-hAno_`ADP6l zHK2Qof2nO9=(!C$;${C5y(}Y7r*Pdn`h@&QV@mtk*_uK0rrx-A?v8su@Up^|>Y zBi+gWTbHpMvnue()P!I?G5yYQcsCZXAVh?7of=3P#$QZp>{+Am9oilIPS) z2CM}QH|)|7WnfgRthmB_Td}rr z)j3&XlVw5V21kfyxpX|ry^$K>e7k^!E|QmogplOfv=tcl}7gk zC@g%dykZ7a(2EBwU*?37GuOOzte63n?O}yB7zjMJo5SE7GoaF1act4)wy$c*fC^fv zaYZRrL0{mCHx)nyX&_l8sFH@bCPyf zy&HTu-8Sm+2&kjqpPOJKD%Ul!|J7Zm75S>{v+lRiMJ`J6&5@R5KN2aoZwrUiZD~U* zJlqtT$#y8oJGX@sZdn*9j_)okXl*Q;PMD8QI=--$)Bb3SS5g4TMVHW0wqyT}6s_Gw zT<1T=CZF)F6vQp3w~n*t_Xd~u&OGC3%lE!NF`eJ>mA~$5UJXn~yC6BHV?B}B>_!zK z227)+H}Mkt$|@;b5Q#m!+5~@A8)L_YAk~Hof)k7pTY~8fo6%8gxFFiLu>ht(S--fC zt>ZB8syj6AybB&d$CX3xu!nMO;?TV1E{Ix1nkYau=<=?-+z_Nzcfli=z7RyZ5-7Q% zL?OtxrU`D=>N0~5gxbpb^N3at$-`dsKdhRKIfx}itGna@A%Gkl0}+ho8Oi|9vO#8%j<%u*8xd~hepx9diM5}C8(PqP#rPRXaFs2oqT9FB)8yJ@dnxa)*5+$3&$~cR2X-RMU3csb2SnTL9 z_u2>%9_irHr59XV^SET>g*8z(H8@YYMlK1G1L;)!l{k&2yP|xD%s1j3Qe@JL#5Qg&=2U^HrJpKosdd}PEgL+wE?-^mt-Lfq zID_6C&>n%_I%1J#VNw`2sIBbU4k9eMtnFYw{sm#(CEc}^v75punXZyg_;eVxk~WKJ ze}r|V7!?9F4C8hR!}yxVrv5x0h5>p@S^zmML}hTtCF8{u7beGmaB^{wX79`FvLyEE zbJliY+AW%0mWQcG`kpU)!}onwv|Z4Qqk{#Of5dAzkzjn1yelq?_YqtlnLq+^cwBcg z@)QF0Ljy9snUX6O(N;X%%*d?-5L^tJBoQnCBC5G7I~WYNXfRt5L}Z7ond$M*rdd;_$F8D&h(G_rhnYR z-07oxskxg#8KM4lM%9_+dsR(L@*6+xW8eJ$MW#u0ejgcGQ6U?u9|6-WGfn=7>)rN6-@b>$xE^LILC; zhRT7f^{@bqYOUQI%6aDd(?)6HimVM^{F*X0#x~(2dbDL6hO%b4LoM3E9jbx&S^XZW zcw*ys)y)&*qFyztop7aZTW1^MsGM{EY^&@w79EAkANw?J29R=ZO&jK@=pLgY#y!Z) z+`1n>&ZVv#2 zF#sBns<9K3WO|&hY_hsYcIP?$A?=1ltF4X6&mKf^Xcxsv3lJ>_uXCRDG zONx2Z1(1~Ogh2AGj?FcuOXul{7%q+1EH5XfCw{-dJZX%}F1!{9)Z=qI9Z=>^$N8^i zTys94yinZSR)Aw_aCc}r;4XOZmQCBm-dfqmiqxo0uyt%QKphpiiQe}rx<&WZZEWru8ybP8QMpbTxx2b3T!?a%Enj(6@Gf%An$ ziwj2fv>4|>dYHyK9lzRI{dwF3B3sI@{V`?*Ab!o3Erl=$zL%p0wqEGjQpJ^Z@vX{~ zr!7ydotLN5`iZ~yo4)j41f^BEv97d?DGPkmhkuOJA5dwzU@0r$>zfJgR9a1NyGHK; z##$&zBCj+HQfaxMl@&k`)d00yLGApoTce7CE239~G_A=i@&RlsV%$9hrL4eEVf*UQ zBoz}c^V27_1Hu!~<>lL^FR~H|wb5iwd|i%Gm>EyJEw|wS*REySwjq&u^>#+xS;QtK zQbCmK7yoO}y8TjDR*?cdgK7`8CH^Cp2`X1@nl-YKmg);ZK~#K63s!MofJ7_)pCtKG zx+FNuU=Z=)k#d*%@W|`zk~V>qYr^n!kD0&(O;TsAKypv|c3$(srvi~~9*cs_hj=Qm zYQjLj8(&X;?gmfA%Y97T9*cQKDZ;P2%qZ1r~Yd2uPW@4yV~kjf9$&2EV)f_>AA0u;C&d5kSg324?FoA|BUCYD<7lX2%_>s-L{ z_~gMJHe8;(I<0(rJzLc7P4%*?5pwhde&$y`=0^@6b}oN_Vb@BC9qI8Q;DN%KP|YVg zo5%y=f^E9j$ZSq|5=?Nr1Jjju8+l0dc&eal$se3*fg+EGuLz?cWrIiyNDe!9Hc5yp zE=CLv(gG|Y?+%>+S2!vAl_nYhCJ!KFx}k>D!fvj#(?5V3u}t~c859Q#7G>L#yjZWT zRwu!|2^2?(rj#t=G*LTVPjiwDa|go1CmJ&Uw_D{TZ0DyNZ+aW3ucjt^bqGxHR_;q? zVBc;uZ%8|&ry3~&;aW36fqJO!K~QS4K3hmoNZ}Oy){T_ImiwEY>#LZ9cUTNkawVAn z43GSFthvXX?vI|H?5oxx86{RiO)f`IkMDj*8?oqBR&*5gj7LEn6gQC`Y^nI#t{x zci_Q`e~)ThnZy@sPJavFFxgum?wmZQ__y>HU~6L1!**_O0rsr94`2PYehW@`d2lk| zFAs@;^83klT>oYxX@lmaAz`s8*AIcZf4%;VWL)C+LuO%9ygUfh{Rcl-{{8gv zYF^&DczGPLv4LCXDGz+Rfm`RB8+?mf*)kx5O`Jztc~e%N>~zf;keg=B(*u<{#g7X;z4-Fhbl-n%LQ$; z23K5K-CrC!17-+DQ1-4c*kOQpN(kX=8m$SyHA(^o-9%^znj{MUq)HE+RD^|+uO|a$ zG`)1)aGoH+)GMFlW{D53{(q``OaEHfPEI2si9~num?C^Bm$~+@MrUlOv*nD>INmin zdO!R4VusJ|2%mL`3^qZuxja8(&wl_X)ABPnnk(TQDBl3q4wmAjcS<32wtZpwMi09&wIrd_)s zcr}~#MgUwp=sJ(5HKRGCV+&+Rw`Wbp=sKi;D&IU3QCGH3(~Z^;Bj@dYPRKe(5Hr` z-35Uj8s4}<t){ zimnCVAlniD15!h#B#zG-{DPk-=9c!a_=$j_v7Ay$T9l1Vz)6>zX?vD$(5yMPk$Dc! zstJqQX1>TDz-D^dEuzVLEpE~4-AsSUpZfgo{L^8kl^5i69%Bh1Vq>osfk!c*S?rK~ zWoFt1Tf^l25utS_NHgt%2!p{WL|~0_L(9#@iZs(Mcz~7C_;Rt4L+7r=nS%(;qwQkQ z2NQ!$=pn?4G}FG~5okZmG#ixeBo57jG}BGcn{Q&iq)oMo6=|kjaJNCTAFjB?P%kB- zgrJydmqft`JNU8vv)61S$;2ajVYdK7QYG0aC|}O>Xt}e(o_?qm?_$?l*+q$$J1eP@ zuT`JAI2m5nY2!OLsk_X03s94cFJILLaf9JVQ|%AxV_JH+kCHt@fdCBmtxU76H=E|? zw;#;3TQr;Ihv^6NbAR#MKmDCyniX+!)9m;w4DCw#$ZgSsttZfCa6BlvsDPwF$v|xe z$6M_y5b8l6>kN*Ab_ugcKo`h!f9MR3qcNKH&_*w98R?u4UBL16ZYQQ0ECdORvtS0t z+k^r%BeyyN`$6E4WN;kK_0ZEs0Q{YlFys}*H2V*UBcpR2jrjh|jJ54*&!)KqvN2A& z{F0MQvj&pRnd!0YX0vlls=EvV;$o0(<2Fs(booLHz8n41<6w)6;n=jecs9-VXqv_N zB0F_)Gd6qbXXE?)8sBNRXg0nNV|?HFhA;V~?~VSk3R3j&^?teG3{A58P+~7ZnxPpT ze4TJ^AF>yM8NS9s#);y*pqYDXrCR&FL5wInVHQ zE4@T~(*9cPh zljGn^%}i&IT}nB8O*lrHZ^jft*EKD5BrGhAVv+= z?tE`~v*<7DpV%gK?U#tqyA^32eMMGRiub|+G|C0DuVC|N99sVtU+5kuiFaY7FuFch zU?Kx>QFkig+=UmQKTq=l$W++f0>iM^?@}+IkijdF&iMc|ORfjbEZbY*_MqZKcRzI6Jta>?2^scD^l zl?Ai*gQxmV5!q2dR0 z*j-H3zDsF52}#h3`;)BNSHpEk9&thano{24hD=+XL;J3V9fKuy^t%HBmv##rU3292 zV9kXV_~l<+=`MD3G}>fr&mU>E9N3e5gOu$BN!0gASHoEItVVCz(+k(Uc(W9(S*+)+ zB)OCSXDZx7ubX=EshIPpZR=JnE!p?!Sf^ZFb>bY69+dWQ52?(KgQekK($hMxFzM-D zF+-K%1}DWXPgU8WDE7N5=DUW6LJ~{i9SqaSUIJ1rpEP-m7WL@fN-RCNPQ7W&(MB5#a9Cn4S7$@BxwCvTvGEJ~{rA=`8@t@^-v$?l zlaajdN@!?d zk&TGmB(*aJBp0Yc>keLG!9BQQQiTTg80zG!V^_QpgsMoeGZIClK3Fr~9%k6ZW5XOa znfzMLGkc2|vVb^Qt_b*efAoBVFgSqYT=*-oS4DT8W> zR;M8cr4co<5=@s!2z7*r@kxcokm-<#Pbv)$#y<2oHar;l=6auT?AYHzQ&&&DCj$>= z?)lHhE_-0|!Y2=G#AOMGMg#HW!6Ys4T2DfI_`vo5{l9+h5Bz8_j#N|KY%2DvZi-xkf8OCG(5tJu#f#YmcX^CbqE@ND(qPaTtlq`0_Y?AVk)*vz?!0hR|iRY=F=>u z!V0Buo~ucoD!In7FOU1_D+T?WFw&`N!Jiguq>HasqXCQIQU^lgr%X zg!#`)fJA{gLfh)k~W*?|tFF z`M$90nw#y(Ob7MJ$tWUqrSfGM0$NHJe}UmB>uGZ!a-AtSwCha}$vwnETC_`3m<0=2 zW_>`@3<_e8N>|Zzps$Gj6-e$O!T_&jP_#?C?t*OnhZV_DS`L_5wCgSi3YQ74(JZYy zM=IkcxP!fx71s+%p5==XyY7;lfh~+HptFOMEM%tLhNNVkC5Te}br&)dNZuSHN6C#q z!sY1s?jU~O>yp zV}B==8ac-7f5RxpCtdv^a)EkPl@!#+S{{QT=9<%i&qF%Up556Cm`OpmYnt+U2ZF!m z+rR%8J~pMLB@yFZfVY`NUX}!8z_yDO>;>ch? z0UDd&iNSyp-Mb*@N-&#P5!2t)X2&^7bZ>$nC}S=g-373SlrS5D65X3%8#OpK7*Iko z7d$l>P@{X3v=Sv)?6Jv!!h>|lnCP$bOY;fy&5lSPJm%7!1V92fKk0(CUuU{tzVNZXP=?Z`{5%012+R5M zDkyuC-li|sChIoyOJ*?9xeJB&_WXp=+J z01goE_jo{#OgT?goe-1*^>J|q4p1_K1TPId%5nPWof^c?albh-DyCZlTi=EG890b4 zsKZXWY34vX0|#KdY4!6SDTMye1vprtyhuX#9h!c0hc3VYFbhs35~D2%fqd)&9H1*H zTb8q83AurOO}Y$z;oG(J8lxnW$Q6STm!V0b-!YseO2T97CG+iJYFmHx9csmjJ{9W#MQ5zLpT`sShwU89QzH z-hPoq{I);vzQ@-A+NvRR-D_qMX-hCDOs`pqrF{jouLJ~8Evf)V=CG7-ZH3|hSrOd~ zRh!3(C0tWM=w4PuiWUgL8m?J+#2#?4DwYt;;o8Z8Eje7NP;s3(;r5i|Bzqsds4MDu9=2tDG*Im|H(w#ts(qoPr*CO(W@~nw&#!yXZ-=0bTHBy?{ zj>>l>3=!*SHrYv^wWZ}MF1Xuo z=l~!@Uwi3rtVp}w1Q#3iJunpA2*x2uyY7Op)i#EG$#IqS&|=qJvb9e@rOCuQCcJT0u{Idl$xWc)}*UTT{uZpT5rnSp(Mx0Z}I@|P@gY`^gpkas=`UF7MS%_j=(jJ>Atk&;C^Eq@!OBJSmSSd<5xTeW>C=RsX4aPj~X2T+{cl1jr0C zV0iz4p}(W|g%H|$VX%uK4P*VN;;?`-6_9Ve9038v`ah1TJ3yIP~sYBi?g zb{tLTdhT>rX%BbaV8=Je>~$w8lpFtn!j5y4OfPwZ9Y<4zA~_8i zDY(c7h#kid*v7NWcKmf~$4gLuB1>g&-5EEbw?T}9KIi*?=0E(*Xf>wkF4v!y{Nfx6 z9p#L)fOPiEX?*HW7i{?u@C%J$ZC@d3NeNZ--jWVg=z6tWOYi5rBK4=QXv4DD?d1p# zUu6(bf4U%e&Fpwh5F8_#J3;DC7esebt)WJ_xsU<#c*@M2*kh)KushJ9MM}}KAoZuO z2ogQAL}X527=_~R_7xFuQWjluk7m^r%qU$fjG zl&tH~F@sDA@M+C_hXSczXmvvV;U!Pd&U24~cpiPb+{ozgki4DkNbC=5qk3(By`8E? zhkX#J`1=D@x9Q^JL7|?`ScBCrf7NXo_0!Er{}&VoIQMvxTHl&^ZpMo5e6E+{b`VG; z*_1Y=UbKO=IyXbX-tD6|y|_*^sYj2og{c}13a*a%CC1?yw|csNfM}ZeE|`*mT9rhRg?|B`T8E_+d;l$S>oxkVjZi&e< zs@KMgZw#->e(vHb>m#rXmZh9l8UIm^+T&ZO*Cn?R%`@MMm+jGuV(`-IEZgz^4qAkO z3SY`!wG&o49*tevziUhlju;y9=i~D^$j9e)+8^he`+l)e*(H6)#P3MURds|P3&qKi zTbiH*M90{3d#R3@TQ@(u*3HvyQLm?GCi?v_(ZA&v{`yD18hItX8Mn7}r=}GaTX$r4 zO+-Jib%Pq%V(X?3A&jcpk=cUu*4>05o?-$D#Z@DN0E?|VH3yKsl&^St3?SnvmpnZN zkSfn5?=oI*lA#r=r;=9vn$2WqB2{jzai-eD@sWCY!H2TD!$1HfP1O)d2hD8A_#kFO z)_2N)r7jZ+gcMeH=q(@OxE6WP5?^BZ28}l^?YN2aEd%_}ar2T15f4)XW)Jdgc^i>i zc?gZlQD_KC0&hHo5f(V-2i!APeA+FVE$_o*g1+kN2Y=f~MXxM{hz3Ze*Hj;1wtA>8 zV`WExR6wg(EXL-H6?ZI1=3;>Xa}mqDj{pFg zhMJkgjnG5$p_9fySbOB44hnJ}Qe83o2uNXCZgNmptw^++)E&qqdg#?&2pjp(#YfO_ zNgE0Il&E5B^x4kF58Wa=JZfRt49||LLydfwmgrsS|?o zs_ksMfIl`Vak}S<0NW}26@EvKxA_d_@VPh5&>qhcsqQm{v|fnk18JAcSUTZhrmT+S zz*xb8?4OyCAwFcXwDR+`#2frZMDNecmQDcDsodQ)KVzzUJ3z+97ytT?`@{d)Z#<*J z5@Q1`Cp!I*br|>@7QUddeecH?N1BcMG|t%#8ct*#c2a$29d=TEW*x>s;u^l7JAc(9 zRfp}h;XmmBRN-0S^l{D!JzL|PQ;N1QHjMj%gJViL zC7RfeZqr0Su8Ikm9v*MlOno; zv&9N|ycFx51ph@cX(IfdYic4$;&mTfQNBlLjl&m>SA>e7Y z{P;Azz>xG8jUW3dhIqStj^VR<(O$;Wga3Ogup*_h`d@^@@BnfKK>0AqqgXQulst;{ z`igFNOBt*VSG?=tfFPJZm0eEJjJFyUd@HnU#o56+bt4{(hF#ds@~<*cG))H^x`(@zekU;)r8SF zh?_3VO?i&n%3HZDzFAz!d#~u7ygGf*_G-4%@U%-VK?*tgsbBHE|L2eYT2y9egFMfK zTf00Alf{@MdX;;$2UWf6ojJQ^s5+w&f~aHc1!*08MYGEk1Z`I|d8{aJu{QX?(1>S=tz>5R~`YR*cyd=v=DiCIsaHHbEPT35~PdN_&eu>Or1VKSwp* z5Cp=no?@&heyX1&pmPoZq2$`|_#`RMm91%sedOymyRI3k`Ki7pY$3c5thoobS6!U6 zrf5`O6LwaNBHWVQKr-JRs2FRl4F-5W-=oZ~K-~y3G(7sCX)DgN+#uvj1Pp<`H|k(I z&wPh=NNboRoQLvoOf%jv-D+tkWCK)itZY=B+snFO>uR142+#a_`?=(fTF)oQ<5AZg_J-|x+^$1?!l85CHur($3sP^ct zT<{8)Y}oK%Mc@h02BOEoY9U$s zvSztQS|r7`2`CONN59y%P(`c4k1VrpTX0wc!WItJV6cUP9tie|znT==vPMm^b#aRV z-RsWPD1Bszh_D-`q*IZz&gBD}9Q;9JD5%vE(Vd%q1Q0t%bew)vBDz%<(aClw`96dN z+5oklPgwlC2*J7#4nYRSJV!*th!$2OMYMegTtgVaYtre+&*MhM%1`WVkn$5?oPKR0&v|b3U zF7h*H?Q_c>oHESu-MNIcnp%(l2+X=^Rs)KVF2c3=9(435ZKTbx^ru5mFQqwwTyq(#UJ`AzzlYij9e)TNKa1uKpoay1=YuL{3{y~Ol zEHu&}umrHD8Uz-Q0c3-lI|Npc;UpZ9K?Zm?C*X*DcTU3*83Q^EM`V!UG#rsZhSP9F zhJjAQ5gBAS4M*gAbP|pbB+;K?V*uR>j-XqhKFZB7w|*aRgl>toD?XC-=5!eRl3M7s}`ci&a2-55}!OaSD z;3&vPv^z&yT^EcJ_w52p*NYL)$R(lQz)s+j8}P(>Nh-u9xg&jyl1QER2bc7VOTvXh zrkqorSBJq*Dd`uNj3W2jPk9!YfF@f$C>~)}-uY-qKAg7p9n_$UIQ?u$K5Nvo2Vr>X zsh;dIgZrWsGyon_-5ypJX`?e_`Y!#AEZ4KGRu_JIoG|mpr~MEb-eiYyzL|Q(K3~A+ z!mPuwshJgS$Ns|ENSl0RTbrmH5M=a z&7&g@<)Mo8|2lo?|LlLeuajb~V|Z|cJcj34kE60%am6xkO_{GTWlC&dy1k-J^j2K8 z#%pLDV}%e&l3s$ZxnD0q%VrvWvytM8xM`IPc4Z(B#*)z2iXo^K`0opxd!Py10-u)PN7`rz@wl{^fY&n^fz!#;`|{16;gJ{u8Y#* z)Af}OsV?qU-7&D$2Z(tPot>y9cfiWRqdpTL?sz^AfgU(!B*=F zuS*!0nMy)E;DS->yW0<%08v9U!BZWGsH0raX7mD)9%(>Cm&^rE_X?tgXp%Sg3WAeK z@Wv$%YowNhbpixwP^d{O;gSuEIxcntR!*t)1!%?I@MN+qy23&nJ>MRnK&*EVQ+?Z> zMk$EM<%i8<^YCS)m!SeGcgp2>WaEEz$p`6h-g8NtS|T=4`uu_Sd%8~{{P)rl9ni;R zjSjk$5efk=YAmQJrtnvoK`Q>%8K?&S7FrOe{Q(^nf8z`%_GC+=$B3=kbW3(P7ecJP z1|aaJZ{b4J&Ege|kGY_o_m?V6%d{GYnFce{U|xC0+uipTQl>%g~QN zs+ux6IgIq#C41iR-e3IU?~C3b3KR6`OAtbYC>GKDNZ<(topi<|kiS7GWx{bV zyJ|u3jopVa-oC>f5{&c0Zb!61kiWyA+%uz-HmICE6BcN6P_dbUPPTf&%?{?X3Z|F_ zqrJpv0&fBGQSp|uhzHG70DgIEDHvWdrma-pO&kAq0G=&1d5D7>&xQ}taz6BVEc~c-6&_M;e36urjBo>L-c8?I6-wrqPQs|zMHj8uH@Qj9q1h_H|WjXM1 zPOdFD^jrvx5L}^hHjG5NU$>L-W8AcBqaksfc`!R%N&m&-uFxlR-50Rc$-AO1Xu_>{ zi(+3t#@Jm+&Zv5|uN%ng4gcUX{`kAj6-DjV;MvdzL3PxiT@#&v2EUxDOv;$b$;zYx zd2N|mgB~2KOv;eg$;zYxdAXZCEKI8H?Y0LDT<4+D&8owFYI{5Dxw37~Cq$)h5Xdc_CR!XATDkc&HpGmptqGsP}4JubR&~{(=7*&QV60)Z@9h zYDy12)*M3@bkr$%Rl8{pQg~!M;p9QP9`L`Q70p95F2lM68Vyq}_-EK|Y=Y!;c}cQ8 zv7xAE0O=hsy*E)&U;7~%({2&%UzuX~j4-}rvh`yIfy%=)wA#sL>&LwBa{?g!(Xalm zKKtK_W-WR%`jX_y))zIotP4iW1{0^@vfGTVL3WJ17}hQq>#P03#%q_e^|g{@cnG_- zwb+V7XY1QiIiU6m6DG=ntMxq`_uAWJteIp^IJNpDJPUtpjoh+BT(+Q{D`bhp0ZNIj4DgH#hKPO!j$shQ6p7v4d9XjQswI8omztKAyB11Y`I0Ai5 zbY)~ifV~9t%(YY**-&bqb8M`ZD!4sD<8l@BSXp1u>_rN%e6xTRb0*F*KFyC8XQ{>X z*m^Qg8lmUqq$0tX1Y4@l&bTvVbf4FyBlX|?nQ#9?UmcT1K=MV}!ZUHY#XhhHu-cg* z!Q2l`X4qF&C*XpI6$fH5=YsB@nsW(3!Wb^NU#*n}kFqO}n;H3sAPuz(VqPfe$2c@f zh5AF&k#fPtvq3-ArByV^#n3CHnQnr+?M8qR@axU(i9^#$H$k{XO8*zeOFc&#X%|F` zPH?^D9KlyE7jxG1(maU;YIX`~7Byf_N?s+L!AQxalbTAyvW6vVD{@u;ulxF5Wz-zk z;w87A_7@m_D_+8@R1zkFIwU))skE@8gK8&$i6z4!)jcVt&{zBPDIF;$$_L~VlK1C! z3hVpgDan}R{RK)-C(P~GpNyQGp#X?~5hF)@>{w2Jo@Pg}iG0)LD1$w}m!b?4Y%f)% zzaD3nr)Z9lS-kXDe(-y)e_zysYGJNNciuR_$~VwqbHA6ugxv9vewYiQ$0KVp(B^Wp zL+|0*ZjnmK1wr}KIfc6o@WxJ%O34NHizP;j@P*$jq{K5Hno6k&qPR&F=VmGVyDZ2@ z%mvYbb0@k6-G)*1Q_qn~sR`ncqP?~t^z|3Rht379_XXQ#qI{)WQKCDSBw(slBdG9X z^qWsoo;;T{s2Kc-%^IiMNis>-B-b15ys^a)mch}>-C3>Kv=irvU$y?|)0L;HK#N(L zO4WbOBMHX!rYbKFUpSAz{>4cSV~wv=WISwfjq87Jt9fHTu9SdVSFK5<&h?;|kqmna z!Phncx3{bZwdeng&SNSp>%2!(RqC|m$5iSYzvW+9uFk5|B}=@4gMknOAF00I`K2}5 zlj`1HU1gOD4{ReO9Mp{tG-Xz)7`?{%Z&1=(B&@naXO$Xlf>vv3%$~JXRjEfL!KqjP z3-!vXs8pB_!C0VD2lgSW)FX&QGWG^aZJ>LLN(EmH6JJ!RLATZ)vZ_=tcvSh~a(aC( zcHUJgd`wR9gV8~qYgN;e6s0OGuLCtFGdY{hA5_6w`DqH#XRn;?)N9%?PePJ^B9>zx zVzf)Bx%lL&)BnadW1l+p=xWjbBCjRG=>oY?PIY$R_%LP%P)OEk2e_!Eiw#`3euv)U z1Ns=8pi76%-Iarl^UWkCa+FHVoMJKIvC4LGG5Hp!P5F7;;{<6sz2qRF;MYZorqpbL zbpq9Tt77_T+U+$^p8wn5f8T%b36bm9s{9rtOH?ELCF@NB?w=;Jt$g2uWE13oI(_9a z7pfQJ?`pfjneyHwYqXt*7P+xE$pXzwL^XgZYhkw@42PB=*$$04ZdTF0#IU+D^$Y)Ynk9e5&$|1#$EU{~OWt>j%4Wavn2a8+M%1p) z`oDPP=KiObaW2KQCjBPBz3Vs9AZ|5`(;neV-M?p)6sG2E>^iGr^hfE@nWQlHZS=bL zXSjLVE$Ut9xs~|+v=U!_;lK07_Xgao^mVtSMrMbDyFMz447^z}U;D}|X%iGH2A6oh zK>AZGMF`T8y5Iq=RCGlQ{!o(=g5ug}zX_OJm{Kuk$E_7ihM2QKI2zhWIYZS_u4q*-C z>#YE^I9Ta)Y$g%ir_& zKj_mxF`RkLH#@l8*c6GsBW#MyB%!b1g2+k%Tp+`Dw?0iB0&x|P0I~oWAgH>^Q{CEV zu&s!vLYm`X2EkxOK25le6RZrA?>-OE?3R%4B zG}&_MiEXGR3@sI(rf9$_owS>wxj8{LyYtV3J8w_w86`6SBxZMhuH*i|sN??fzxa+X z`E`+1P@~+t^PCAQRoC!u&HRL`EOEDcz&f}P?2&V`bJ4g@OM5{G?Va!9&Z{5;gwwNg zQ4ntJD9_B!jdql%8SX=l;?5(5EJl~j?y5Uq>XczZG5%c~g9SS5bpe9-Z4pW=k)I{j zhE~P>WlL7UB|*dGPYy}YX6nJuT3xku-YW0re1V!vMbpHzWg8f_PCn_H&rMk2>C+Lz zD@>FG+e=zC`{@!^CO^MtmcVkC+D|Et&5k)ev*d~2m08l)9)nqOQSC9#H#f6m?QyBS z1?RN{QnH=SpD%FQl%FR83#orefkg_+C2fG5gr^NAc>d3KVDUq*{^S4kUkhcZ*$fXX zJd1Z&Zs^@J#j46Mr!Vzcj%I0MWlj@ zVUiPl$2&n$hQ6c~cR+G|kfGoC*d@hk%@A%F_F7mgX!DC-hy!HzlER5K&#FyoKUImL zGMic)esE=}yIjaU>9lK7v11tsJ;XRw-cQ5-j;$R3Mk&NCC)8($vdn4LyQEnqk-E7B zvCrO-OXHRa8km5Jztv}!AH!bW`8&VobG|yTmvMg)?hx=#ArT`}3LTL99T$Ib2{2y- zM;ZhrOY;JXi%|;96;Y3^xJG!O#b4AFp;SgX2?@gIt}47b03cX#$%fDM95eh2PKlC; z!Km3*PfW|U++_pk%Vv4vvJJXXQ$;pG04lf`dV$Vgj*F@O;tH_1NN(UzcPCl=Mby1n zuklUajQXtNQ{V1^{6$?H^A=n|sWJl$Z67kj#AW<%D6aVA-}&G8X6zHC5>Vstv;PGQ zJ^PEVQ-5&=ah@HBWBThtgEHr2?xgE*Eh2a|0N3K9$+Nb=T< z&%Wx}O=x1KXwM2PdNDd7NTcj4fhide~R^dBiBY zBti==3A)_E0i-0!yj+vq053DiRsTy0f5j!y{MZ`Uq3l_`5nuD(%(s|FHODtH>S-?3 zF6V$o_H=vee{Zt3{yqQRRz^>uQm5vaWx;775+4_j zbV#2!=z8ZX*Pr+DOKFPreba7HANS2>`hLvx$G_>rKjM=DUfiP3 z!{V(DFX|Q&^r&EinP$l@0#2B~0}3iAxNz!}Zo!O801Mjk@*oAcSx{nU6SNuAh;$K6 zGIq{_5<8pV_ORjjKW1-M!e7dQ5<8n9I)B)lprtY)vY^Dy?aud5JtrL&>B0tBuL7*8Z5a$#YrzI^cg=(MRd95 zcCCr=7FY0LLEi9E3NpZ~U>{<@3wX|FKy8*43+RG;?x;_mvi>Hsb3aJ8~WFKQ_agDRKM zEUT5GPuD936ri9jnMkhsC+pLr*OMF;ES;``S)ZED7sYE$sqCyg>}e;d@Fuk+vPRvK zibcBa(?Su^yjEnK)|70dNuo%F<%(Eyg$7>zn)&WP-J*(xSH9j@iCb*Swof zn?G~StLpLnw2@>_n4O1~h_e*Tfo`gtG#yKcba`<-k&c49^kLoq=Pp+TS8i zWbeT9r<;HEjlb}vFNSW`z@vwxK>A0wemb0MaBA`T=NdJ>{T8pduS*veFij_zA*ra$ z!abnXSIzv*p2GmDOo~ZS?8HJ=; zgT4J0!!x^ctdP_OMGQJu;Ajk_I7!jXemPro^+9;Sah#;})}OpUQr2{XlIW?}OXj;1 z<$jkB1E&+HS~8OEx*bh)k{^5{a3%XZbxk|1Z(X>e@hPKM_l;n=XWRdkdRr7TB+`;_ zk}_#Ylt-u@ooJADK-&J2Y67hYNc&$(I+|yJht8eq>DoIOJC=&Q4AkV-67+Q6_rpK- zTRtI8+Y$F^fE+k62`IYEIL=;V_N6$$8oCdjE(&>jzcjm2ty2SNjZ(&ySG)l^K))R5 ziY%Dy9@&fwVFzJm3i>Nl!Q$zH!la%q`mgsZW>0r}KzkfT4p`ApSInO79urpt-8gR6 zqWSVUR^$MO7GD#zEU^tmvLFXK6No^7a4dxl;_Xe8-)UCWX7j(^QIi{=LB-8H3|yM&X`ZR~!!8cR}J_?jbmLR1mJnP{r^UFti3bJ~=2d0Vk29 zIl%{DcI%#kcn1b2?eNeO!!f}pdsLiIRQe5Kgm+;@%XUO>7#M_TB+j1`iY}QbBr_?~ zax!5&meYaa`R9<=I2^pe#BfZ}hM9?SR|u5zm+d&e2??|lBE3)2O2JNC!iqr9n)mj~02>)a!sDXxk@hKS?gbXGcNigUn{@cuY& z2_wdjDb(g-TM(VM(r|pK68LFvI9PG^+FYa7sn@+YorKh0peE>T&o}^2jq}LaU`$>Mi z#O1ywGi8$30?*U(e)bg++j4inp@E0Nx&n9(GL&>cqKN=d`{H9@k4c`|y=O1fZtOJpmZKxFD<@`)b1}z%&uX=jVkXB8?l)C0JUBZO_l0`JH#Opx}e*D=-#{{RjLc3T#3;T%5k99 z)z7!DaE?@|F1UsDg;K*)26(c+YEh|85@ULSAV3nSFot6J*rHNh68&=#2Z$ufEqh72 zPfc<`+fPM_XIg_c`^r_SOPZSI1);nNYsQQYDBpa0;Q12eMG{QLdUWSWljm!q6@ylF zL9<)496$P5HLXf?NZn6L+WIUY;#Xl)+SIuIU%;PfKWJuC(bKs5DvWT&KJd)ULB9fd^)yuk^})A{w+?9B3G{DL3)(_i?8_noIzFGw>Y z6ZuTjNY6L{b^^dhg%CL!Y7S4)Om7;g#g4?U4nA3-C6cJveZ|HGz|9d@C?A@4*aahp zh3+wZR*Z_>1tW*GMpvBfVyM`gpbv=x!X|)kK6J@pf!IaV6-;f=&Ig&POshT~q<0Mp zkJlS(n`4Kgx~5|Hqo3@SDZX-(Jk>5!6nm4r&*qj%-wyS(s>@3TMyTq2t$b=d-^$u{ zH@g1|w|eFmTsGwUB)@<&&oT1*a_wu6^%?az(7tnV)w3(Ens$qN3pTU1?}xShC%^Q! zz45>O;1BwsM{jzOMo6(15APh5iBTqKRbQc&x4b!HGDi+ImZlJ>N74*Upf~gae8twD za<@RMHieGzS1Y1-AC4s zK7}^Cq=EYIO11+TqGuRensoPIgAGB!VY%Fb+4DVHu;+I|;IvybTd?V+VarLSVao+` zK40}AzxBudLbPnwc-t+Qqfp1y4iixncO2kqv|uh+a7T?1?JGoI839Aqfo+WdP%d%* zB}NRv41i@g(dvjpFawmx$#EE$;B>}E3c-X_ATUHbIKds49JW5P8T4T}TpajSU;w%(=2^Wnj_Z~f$-{GWe5l$JJ_a00n^(%GTUx>N)1&-IyC zgdaZ`hEr*3BU`ldlAeB}V#0N>1>^Eo_C%PyEskB^hi+WG!bWph0M-c6)v_eq5 zFYku5Td*G|jtv#tFDY3SU(*JM;W=NRX+c@@_KJ`?O*Ld>DRg^`Vb%Cm)8_m|R1u%1 zDA6f0b;Z-wjB}%*`)@RKd9+~9@k1%RY0LMXF1@rzf8s}f`dyJmR?x>4o`cUYE|zUT zCbCZ=&`Wc{lchB|+knxcHy6TC;rWUu3t`5y4a{_ihOjl@AdY7nKnLv+|M6@?OoncW zj`3{6xr&(sGA5E7h7Pr)Yn-GgJiqSNq#sa;jVMWyIoq&9k)o~%07ZSoB$@Bd0iX?7 zPRweXgm2dMM3In4*}BX`Hp8B zhB(cfZ9v6Nai*0#ok%>LGtF1J_}1_%0;_qp*@mg^roC=wl>3_`5@hc=W~ z)wpY4_kE1`M=x?c=vvPAy9qkmXRKj*b~k~q((jUXmDVB3)_|_e?&cP37KuN1;KBC} zT6Qw~Ve{VJHjtnV#k=FwIzjhL{L5%KAu9XE52Cc*oh3MkFO)5?3_+Aw>;{ z8{qbk;@{FkiY-t5*M}6<9&UyCF+HR#EO9o~bMla$svYSX+@pKhnFjTkKAUSd*wOwH z(={koK@a4h@2B}1p&Nv$#il%Y~>o~n{l8O3J~ypWO*P^ z_LuG0Ux1$2Wa&yyy08?bB#qYc#>VNOb_GvuoIh)EO6>*&>hY_sNA1OWpZ7;CP08{| zaYnlSu2@5hThj5R79?Fi1j_McJ1%&G^Ue1k1sa=DI|PBcKeuCl{9t)gYt)+SqQ6$# z#J4D{Q5-^m-*ZDi{=Z72Ft$kJY;)x3HB#!v%^{elG_4<{!$;HWJ z)N7tslWYqWLAemQfXR1-K4UYygI_GFdB)}136K4>+=XXtH%M>Mw7bGxc^z$6UfKKo zT_GCs?tk}Z|LI#kr1|3tQ};P5n;?PG)cxLixH~?stP9%$MV>r$CbyPHsbS4&9=_pC z5N(KH^C`$N7S#K(BApl)Y{@ME3C5HRL8?<11fZ(p^Y%yJy4{L7XJ!4Ta50!G(zEaB z!T5?O?q}zEm;e;V_AAm7xuAtBB&(z70EaGQbv!gpa*I3|971Nd(CxlENpUjUnrOSH zME#}I%atEUxR);3oHyW0){4cxqT@>4=#nUjCj`X{LZAQs==t_wB9o6dTv?0#v}Uyl@kHw_WoZSxqTqq2yQdu|?Fy&eKSiPN(%!?oK8 zcGBn7W>S6UKuK?>a6lw9Nt+UXxFhhGJb7>ZL>B|@VS5*(JhgqRwWw*cn5+Az)X${O zXGiKZZ4^$$Xw?G^d~OCalREE*)VccEFM7+X_gC7{`k1Xyi6I6ofPdOA+loGB0OxF~ zUkK8CxnRr6j1`Me`M6+YWkza1aTUdQAF$DCe12P;)rKwQvdq$SV~BQ0|eFHbMq zgM3D?@=*8ZVvXfyM2p}N7~y48LnwNdaA^+@ed!s2_9u)<5>1=Qywjl)xf*gyGvEBQyv0ClP=g z*f)+TI@=4kDu?08_kZDV+;1frh&p`fE}y}N9M~J2fq?nA9dLCe(dMDGfeHwN7upOt zFIfY7v?UPo!g1m4K0RQz?2)h8+L9jFNv{vrb6|fGsVLR*r=f}x*q?+d@(n^I&P`Co ztswxl$)0TN3tH4)@T5(lPUwy;YvVH4-m%m>gV8b2WnQaBD6TO2zaaEV2k5}{k(W8$ zbQIV+Mj6KrtWhjYtQ4A$%!O=+O-5glnn*z=36F9lQqD9YVd5qyLYR3fi4cj~QzD~_ zxpKGYFPLK{r6O6*oqvZkO&{R<_ z7=@qc!BAJ6Y%wLqZDFsPvWKp438T6DT;q{@g-gWy*Z6Kq*j{Z@> zV82julCBqdfx?SW1DCG1@*>1DO{XJSd^d+I7lN_9+~C>68-Y%VV{>M=#QpV@@pKgYrN{uk27t_G(72jb=+Jyeu?TCQ6!C7 z^Q;TUA6|2O>KA|ezyCtCoKQ^B$;b|sV@JArx$bi=^K z?BGQy$2eA`6>2M@Z91+RWaRy7Fq}ANCwA)%TZ~h1$!-PZ=MhWfOCsd5B^#?djx8qK zB{8IzL?2da&yHlRO<E9Yr-f9Zj z;gV;)$mYp8Yu1U~V9dHLkiR|iLcera#o4kq83&c#_ALsxPO8N>v8xI{L}Np}lA7VU z*xv%{MY`j@VV(ydvRI3*XnA<<7l ze)Pj?33H0`oujQ5-V6%GKyg%m}i>IL15*BcahlX!WQsUg0}niybxr~zN_+u>$j zs*WcqmcNw_G}1#LRP-7A?HTg_&&jm~$EX`zTFN85RG^hf6twK7T^sL%>&%rO?|6G7 zOT=BFOE$cFC+`Y(nJYgQc%tAq@UbFn`e%RhpZofs5AZ`F$(!>to3+HkIqg#OGcthy z_$rD{Pv&PZKMcMk@-qMqv}IVJp>zToY^o(9a8>|zGGNb#&akNgG!)#~2*X&Bipp06 z=7F|na88PW32lz*%!U^6cIMPP8w&m?pC{*<4YYZ0DZErRKnFki(fa1+;K4s$KS{nR2n{-R$w{!-K; z=*>7`@BpuNRwo<0;2Eq}Hs;oDFVX^Z&)t|{OeW`u!Qd^?!me8}8@%nBU^n7pMBEac z-(>KJ)(CEc9t#riXTf6daAtx}qjYjGyDb|$7V+WGVnU_;L|&2ZyI+hk4Us=sX*a$s zD5yk}+(}^|mK^D;Eoi?>2ESsYv9_T7O)>};!_L~mGk3{gSX|y(d)$I|rhIMuhX?AL zXVzS&nDWr}4-Zef$Yy;W$aWc!-**8Qu+`&W@DS76j=&ec?k@2V!J)j(TnT&P&)Ad! zOg@|a+3df9_?iz!3y$?8)Od64i-R&mEx3dAMbM9V-;<3go?RitA7B1AKlcyA?CZd5 zH~TjJ8k4jS%%v`w?nZ3@gH8L&lKd`+lsyy9U|TPAdQuh?ln%%hMm?acL1w!$uY(+Q zx1xaECWtwFNWL&rgr2w>5XYfKgP0)d0T5XW(hfR6x1s=a?a)W?4=8BG2>Bc(UE_x) z86Ka{S^kvE zvTg*r=vF6-M_wpCnUPPk%j+C+CtHf#-DZ&c8{Yp#_F%U9_iy$6jlM6dZ|mKls6CRL zt^Ras`@L5mOuI!in}sj?j2tsH_0rKuKW5AQI11UZx zqG@^;3kl6L&y04(1yh%24!mGG(7v)@-vxI^fY7qyY76RK6{OX7!98rf3Z9|%0jX9F zAkgbUCu&;#wjyu{<6bzMBhHal-vvP@Sc}!)@4N|72xe*@$_|xPND5#jn1L=(8V{gT z5`IXg_BYmNG?pyXKDb#tOTYq`lh)Kcp6M5q%lL66at#F3ypRqMeMg&4MM)K{YdCF~&JZOZ>&fd-6UM>2TYv%t{3^d=+#@C2@PRNdj zHmaZZIUw5K96rhN?HH#zI?q84NzIpVl&3(O{;IsjLC#cFYo~h)Rr^_=_Ba0Mw zf!}JQG=e)Ss@4UO&(TA#4;|4`M~K*ND20FkS40M8FkDGgt*zMRIvth=c$xJaMb)|> zI)&mK(SLY}(u__}Rjo-vd9!{F&LyZNRG|5?6;g7^?TSNRDY=#Dutic-tw~ygOGx6U z9B^jK-BH^CNsv*sz9oK3EhP0dR~zKcc=VC}{qp|!uZbq>994yD$A`M+S@o?dap(lA z%8lxcrU&&4%U88d=U%y?-`+`~>ls<^Oxw6wNoO;`&!M!(K2c)Gna(+?nd^Edu4~8D zq>sM8MX`oG*=Ka=0FRois`V8+v;3G>{Lla9%is7>_tyq#y+utheAu)7jTN#!-`_a5 zK{5y;+5u5jdpDp9+92832Tr9^-!N9Bs`eEz8i|FCY+Jkm-Hk462vSwMAXs&9T%oQ| zE+Q+I=0>@{G1@6HMM24h^i(L4^oo7WEvj8~O=wGI6pAEOb&~|gL3<{1?o%&GRox_U zy)aTZ`qJA&+E+knL{+=wZo9$c4v|#KU>yDa6|m?v)r)=I+zr}Sg^@L|4}B@Yc>UZI z%{oOU^zgX$#cgcFKR^Px@ekC;X^5e(t%F$vMvyT9K28af=xfXRPDfI@miHGcA58W8 z?sNpuC1WD&bactc3}7f3;!p%8F$B^Z4~77KU2k9vEI~e6O9?q>7gE>+qoNG}UN&?kZMhKF_xfXoEzHR#8OOP`URq(yUeXJ#|0mxh4 zoWr?@`wok4Hd85AL~7oHA7FNBrQ;k+IM;$7^nV;m3UX#=iJwm!3nEAxl$|O=z^^B! zOs=|L=+^c;nQa5aQZ2k1?n(g&yD6Whm|C^(W1*8CU>p^jvT~fvc_ZF+OVaj7$);-T z8a7p}d;s&zOq68y)O8VY{tum6dczd8!m6u#{fTp)gt zRfa4R!b%Nl9hR;Mu9KOtoZvc{3Cjtty>{ziZfz|_HRr9wOnYw4b4QrAh=R15J1Pk- z;J0F?!KE88{&Io~8MT{JW;wx?rpgafW+lOe2%0nPnT*opf4-VRH0;{I|9 zkq=ZzUeAOC7kd4HM}YJi=npcox*WaS9{({h-DsGItM}(rA}-&c(}}ozhfXBo06FAa zWX=qem3U6No35F3PZ+M2?2HZc{&=)jm;MM}?99;kt1GcIFu`gN`9<<@E(Ipqx=-(& z&Zs`oSk6x`WM8t1NC$?i)BLWK`x{m(_Pm67PUdBEGL?4W{?40T#MsN0!$!qEoGFk2 z#4%?9f8E5sc&TsU9O=7pDTXl_U!JslZ`jGY_@%%3k)L_KsBFC_+{m7^r>m&Z$7=(u zbG$g3TF>j7Qc)RxOwlIx6`)QkJr_ilZ3%nGqB6Am=>)0sn&9@RATMgljHVDPQsudz zaU{4RGI!mI)Oao!4KkMp>&G7}(kQv0CHU}MAh)QzhY%E_)Fjc=SEwNfG6!{PVw7Cc zMiwGrxnh4h+Ss%vLBb}99&@rLtHS#}z_qAsgQ7P9%t78$74B)Y5| z^gacv*&$=yLhcu>+r*mhj$32b*jH9m=7PXMx02%AAwT6TE||QV0A5J#fSoXQbf&bze6V=->12ZDsV_rjn`mSG&Y) zW{>Cu|4G;u=pX!wC6dgo{XDXAg~qJGaFH))Vb9w})~cY5;$tMVv*#`M^;klSPNzG7 zXZaumjT&$+vfJ(K0bZi)IPWfzZ#sQ$$L@6fVoQD+cl{qrw)b*p-X79Rt;ZZ~C!7m{i3&!ek293p>&22sGyE1uDYig7ByLR%=V7-m%QiJnAv5fg`-y zlg_;MPNGwb7RjAco1OXHdb?9<2bdfEp|dlOBZDZX;XyZ6u`5xzD0^S!^OF z-thcYlkc>E9^skfN!)WC;s$dk`JyGyBzYL%h2BtT(G|y=UKGH~UKB7JP-z0&<(L74 zpXG##*=wD>)(?zU2w(R5zxBWP?Z2UUt;#xa`{vv@Kw$ui2)$#9qO#2GEAv`iFsO@Q z5fEAm5}kHHazWtO3TOlR2E0`T>8!Zm4mC;Q2OrThLH*!3H0_%US|Wi=KlVhZE7HEX zptc<)(GM=R(h_1tIx|gh$@u{k+@N!Jw_+wkVnv!(z+aeLrRG0Y6gB5dwlNP|G$W{z zm)cf#F;*M+1P%IWC4Eaf5)3?P|JsS&=v)nme;}4uD%CoW_j(;$iaiFCxHl%~4E5*{ z#$@8(xM;%b9Vzm^c<98zwqNxMvm=P5B0GZV3;m6fY*Uk5El~Ub$-{1AEc?!3eT|3&s-tv)jfK` z5cH#Ok9(aWfrk|Qo%+~|J$6Y90@qpu4i6ukXL_EmtO-0>z^F9Fn!y6T@F|sE+w!!a z!F75{v32Sx#n=9n^5dze6yKMpl-rj7vE!Df6eqO1=R$;+-97Zj)Bl34*_FG_X{F23 zN_`V;ZHk=&)pf_p8Faly*X$D6LZEPoWjlP*HCj!VHs9!aT|8U})cv^~`)?M~s=7ti z>;2UR-v^Bd0%d>MPWwxj?}*IN&3aRSg^eWEA~Kil*uQHcUej`q_16lIdy_czj~-(y zXX&sh8kHy(dvdR?HV87eTeK(uT7WFx`f8IoO_ac;`Rd)lN}zu4jkqVT&IrG~p6xVz z`nFeHlS3SGL;*hgWB<_q^BHGLMpip*%YY&Z848)5pJZF$cW-4`Y(dr60ND;e2{tqL2UbWq!kFp_q6 zIs%F84N$TwxP}EfM&Owu2+|7{F9}Z>Q=YJ>i?xtp@?~eskJ7^xe@RL%fR}XEFFoEU z|HBw8O0o%6@AV11<`>jDJs**x9C#DS@?)|Vd{SN!?4)5Y@nZ@E=WAlB3dlM<&*gGh z1e0&jZb4G5NUp6;)7Ly}d(|zwpbk(xF5N4$J?vk+v1BvFF7q5t&c4|O!v{jeM5t6{ znkJRpx2#|?Zl0VCuGR6an}q-|S*_#OV&1&jfuefHn^;htHgCCU-%`hsHScTD`iQ4E zSDdV+O<@?w(OcAmhA{+b!(6b95JRsPn=KfEbTOJ>8zF`uyRQ!sui6SPVuaXXV=ba$MYS2!NIB*bJivjN=RmIEF+=jZltqG021cIeWo1 zY5x~0d!D^;NmDBEJtKzF+--|vu!+orCL*?v(6Kp{BYA}1(i9r-`{q>JXixna#Y-Di zY~zIGsjU5dJF|SR*BSPF;_vyT<@=%vP94!695z}VLJG9Q=>7T<_RN*?syE%Cw~l!p zB+Qlaj%eQxAzfAQ#7VPRu{WF1Y4|BJu?wY7_bHhxtob z>dc2ABNG?g0sWJPEGPl6)@C8d$ixMs9WFTdeNS3OCN608ee4Cc#7ttMg|Q+d6Bk4- zS(9peG`G>}`VeGf(gcy7)+V=T=%%P*2on5kf~^zp3Q3lZH z){A!u@>efO@G~U67w?kY(CUQ?`00{6d@nyboAwXZ%(n;NC~xqmegdCwTIx z*Pw^h3OzazO;FoBLlE<9`tm?pDHlY614{j*dJ?(9Zbcd?7Yt_h4tdH>kWPdPqC2WK zw?_5$pn8%{gbT8;Q+xwljP90;J(_Sgp6q z4nc7un&fef2C5=?lpZ~$)R9g^lWZe(+Zdm;CY=bEjG4OYh4lt>$w_8h?VM#NoxBE8 zkXqps@~dENq@(}lw)_kwXuKDm9%l@ijhH;kmOt|Jn!+_7xNpe^(a-GZ$eJ1b5&}^eLZ`8(LsYr^EuFRjP*{ zE9TtL7L(M3*#VVjjXOiI=7unpKvvv>WFgT-22^Pkd? z>L!U)q0neqR8$jkM$L7}MkC^I*#17|er;C=^ajV#*;IQe(j6jXW*K%sNvTi4cuAn5Nf-p!ntllZSNr|&kL1WL1MMdIegJ95P zl_WMY7OvKhJ_W3vi~6-;(keQ#rTszrxuj_;`>=wQ7RTS*DJoq_*cr=#CJS&Xk(5^Q zq6Y7?XU4AfY@(8O5GH8)!8>NCGHKHRg?O6x7ekN^$n8jy4v4nv3yHQkUr^}V@3#ig zwhSTya~7H@9iQ8AeEeW}Qy;IEv(;a#ZQ@(7cmYd-P)923ktE%=NzN~BB^pI9`0a2L z=h2n|AvbLn%irjvEv^k#6SD_R>o&n5?{r+QEjXOE3OaG7F6jnB=fN><+O_q{<*uCD z`bgsTcE}pIpPb)%bG$FR`PE`0K><8bNu|UQU%h_y-LGE1@-|%BLRneYJg+9%7Ak^r zA#wqe?+RV5DR*UDuAT7MPs?3+)^ZKHfxdQ=?h2)CfG+QewljXezbkZOx1aShKY102 z1oek|3}6pZG(10q_S>W2-#K(G7y!mr!QU0IKqh-+!4w{VZw&Ud2%^I+VgD?cF@PP0 z+L>FkksL-A%oxBPqXAVA^y40<$QS@UY$>|lp+Rsjm@$C;0Z4}UUby-mrzjWzdf$QZ z44)hhRWDdEfb|AcW5ECvcF$KMBo_-vUf8bI@Y)4{SPy>DR13}c zYXomyevM6x0k?$PA$YDCKdQcAmiU3ZIG|mj&LNLQ$yT;1KsGA-q ztMC_2k`@_V`v=HdhQBa?47I#OIMD95zv=|;032O$S{np0JEuRi_ziZ-XxonoaU!`v zu~>ICN{+Q(5+(VDy`eO}9{j95R(p58R!QV;Di#h~7k2XL^Ex9ZC-M9KPxIVfW|~sC zFU6!0?u%=gHU*q$K{+r#fIpYlB-s-`8{WvwKW|CD!&dML5S3OzF}x<&s+rNr##+9`Rg2*@!QBDy zgRF=+xIafVynd2f)IF=@npO7YveodqOg#X%pGHJJIZIJqGRa{^7! z`>P%|E140K1!==v5FUs!7|`a+I0W_;ND(w)E@<8ja?P9|+Z~!NMiWHxRJaBlpQr4J zSdps61@|EFNyvW0#DM-{sDxbb*hqW}^neyS8!J*hxuEsP121s79`tGv7sDkns+c`k z(1!&5_cUUUCB?;XNz^sy542sbq+3|-|B`#E!CTw0P6K^iHuOsB%@G&F*F@iG>(+t> zIEq2|(I**sHNPEx-;C#FHa-k10fV;4{m-u9()quz;bWgDnK1hiB4OY9Sup&RxL3C- z66Z?Ah)g@1Jr`;L1d3gh?XZgjrUp>%(vnIGqT_(Fo%X*gQv>H)`nQ0of#)|`Y6%rE zLkE1%Rof(7*sD3v%N-5_X+t+^lXxFXw58Y z)<75Om8J!HRgBX6DYkq;raIx{rD90c=ag(W=&wwJfWc)0PD!Q)m0}(mgdmD2!@aGj(-Sy_?O<@9ePv;Q3&uQ# z)y_sWh9K3C3vSyyhLO2$)H^O1^BDG;Jj>@u736|3j{&n|Gz{=VpS5mkxE4YB6_cU= znrX@RNlJDMOpj6s$s&PE{DcJ+%x*WS9wsFf`bT+)br-Dq6rS7b*InzBP*KVAa0h@a3Kq3MH3uE6c6?nLpR9J5mS_n zgShdj#&I$7JT=MSARa+KsK1XqYAzWZL|j;Z-8XO$S19@E*UV-hIEZND&|i=^p?>tl zK|CUv)tzU)Kfys{hlC<|RvXhxSJl^H7)2qss6qerb;Ut+tvz!PTXX{WVpUp{17#?9 zjBF>Ktvf ziTWb9PJNxPyW+zOHaVx{dM#dik<51ot;xwQ#|5p{T`Te1>()@ZmJ1&9byX|p9B^`! z#|UKsy~HQg*JB0dx;(sJ`|z#=3-SE&f3e=!CrS?SVHEVY{xCB>{DFZF&-CwSNB=f$ zc_y0a!;QKB+|Av6F2RQWm!J6MA3u)`=?o}hLmXUDJ@_0HY=yKWAkr`rBM|K|ff1sJ z4QYF|95qzN`c(A0?q1@oXfTS7^_Q$q9S#L9iUur7VUA$MK}V^qXiyQWAp~?BK2-gY z6%D#$DbZrPT}rHxRaaItV2^5z=nf^a{fb4=?AL(3p*7KrQw9v>6$>^5Z7D>~9R?Q< z&>2p@a{X$3!>J8710`3mAvBfIHMb}g9jr;ZtFH;#4}T$c$O5^h{6cq&AjB7^^W8qU z&#u}lhYt2HP6;)73FTGwfh;=a+Uu9kq$Jc~m=W;2&)rHcHs?@BGU%5^lKTDgBDIGsAi3vXb z5C85Deq)R+zR6U3J4v*XsMerM2Fq`VsPI4)eX0sVArn*HLBRwaIsn(%^ zP&p{7)CO7hWEm{zrY*t|SmHi-$fmkg&uo{{*0v-Wb zl+=+vMKZUO#4KFvWjW#5M~5wF$8wM0cJ+5%Oram$%64&&P&8GYq+7W@>cuS0XBpPf==a8ndz!OU&C`h? zzW93JIEC1y{W|r~<8Z2aQ7Ejj4-saL`CRdDJevfpI-u%*LH_K<0y1xN+EEa;Xm~dC zEG|j(pv4v1Nu>SZOO&2!5U8F>^{ljqSlVA&ZY9s=%q-qD&bPEkL))xE{DnX{zHEmp zG<38e?Viz_UX+Gp_VA+X7JgmM@3v{P-6ni6s;P^I4%+f(Z1haKo6hOFciP>wTQozf z({IrwZq(QP-aqoS-x;(!#kn2v@bqCD@O4BLC+%8J;4vC*+?h;I3s?QB_kbM&9yfT4@*D9sp2C>X6*O?i_P=^{_&JQE?_PXIIUn ztzporuL$;yB{DQ^CfZF^f5M3lapo?5)<>s z+B_GxtrI94G>E?YrM7dz@>J#%m+$pHQQH6MUwz+4eBU{p71b#Fh4mfw-$O&c_V%7q zMOkMxMhGeft;tWUn03|`3}HzJArKP`GONq1vrM^x?tn4yJsBnItTqV=>e9yd#G&c* z`Nbd!#CC(Ej(|s4RFSrNc0ptC0ViD`N`zpk?jz#nk}dSxE;q*D^JAaYZqzQKMw*&Q zg*B>eR#AF)UF&M}`+LAudGP#S`26uHf6veH&DbYOoQxIlK9&L+1gUuYv$p6!MMRuX zXGuNa!7s7GxssQz+MgvaB~}pBHLIr@D^NY*F%9)}DGH18&9MU1h#R0du619{|cnrXaaYDKSrUrGH0 znuhfNMMkfJt&HwtJ#9CGBWSwQV|xWWK<@3~mW+&` zO{%4?)*Gn_&p%H=uh8c0Xh_pAV^?uz>GTXaB_E^ETh4KOLGHgL(^8k9dd&o!_}F*ANeXN`yYywM^>d&6t!Z zYu)j#fK)7Xy|t$Kx^Ql|U)$}ESGVY1*6GDIv`PP%X^DU9jLa}qM?5L}&;Uu!WWM^I z_h>R-d}uVqFFvenhgL=d6#!UaB#3~Oy2>*hR?v5yenvAgET=APgucnWY{&5lXk{N7 z_!oM}C#!k}(CQ1*`95brD&g1wr10ya^J4>~>L#!)=E7${>iUUPzO&D{HY&G<=hDEu zfu|>1%HP~JeoE442BdCqZ-!mz8BAGYdHo108MlbJyVlaa_UJ#+zJJOsx&)B=sDJ)l zum1SC;I5T-j&*s-fE36r=*@;V6}`v@QQ%F%-RX8PP&cr=60;4uyyW2SWS5s5+@To^ zDSRZogId(ZY&p0K#yv=XJ;YT2sgq4!a&UK|$;(;$+`=TaX=aoW;Dw>q;7&{$|Iz=G z9WKZXU1W;GfW{|3u>Xy3#y(LhMR!BPJ4bi-8Qo!)TaQ*DR&ItDzBG*f<(Brjjs1T4 zzx=@uee<~?81Ru$C2AzYJ_^dQ-(->@Mp`3?gepW>IjB_4u|-p^ggy|)$N<3Fa7=;iYF@9HL?HIUA?iUZQU@dOuv1Q zV9RtpkQ6DW!cA{wRUN>lfLV$?`YQXLE`V73OmxOaEP@@S7o=cGvhnGF5U9Z#x6}ST z`v7{hAc|+oOd0Hz=n}!>CBdE`25~z2^8}(Nq2T3O-4sB$t@Egi=dEM+v|GdgWFD2V zcy!RmTkIIM%7?@r~?;_8u5a?ZCkL3%yHUR@&D>R>E4cUQRivBS{ z(Cuwr1@J~qWrd)iN+x*NEI8K{$jVYJVm>sDm+gj`8`iO#l>dH3#!oJIL>)2!V+^){ zzoL2iI5fSBCaCNL3Ze~Wch!=;wR4P_8pn+lEXRuCUHFnQR0FhvdhA2sxTKkg5WF-5-?2A=uojDRhSFz4?9hH?U5i-PErVp3Uf)&ixK5f zeWC(vAjts9C6Sea040&k8A#H5b;$$9J80U6smpRblhk6;V;vjH^&*vwe!ll`L-pra zmM3&ve9F4>CjF@=dI%~+Qja5%#$17Qj#$n2JB1cC@KGXIL>jlOz_MrgQuR1jU_HAE ztZBEXH!*WphWqKt@aZ4*p{tJz98>Yu0d-jUAxOZWMS^=e!uyJBrVnv#17d+W!x@2n53SYW1fJbB2H&)DAZV2jU1@X+}M^Vi# z*|;_+eiytoB+ohtYNLZ2qT4t43i{=F^0dm0Rcp&P*N#qxcXE2{kM89-W!ei=>nUzS zbu!>HoIodgcC)mpPGF&+af$xdb4Nfgyoj%-T$6-O3N<4g@J!V-m?gEg2n6Pfs3=0e zPRD;Pn&{c1J^Ku)B=|XFcTBrQv(NA_Z~9qkau|}B3Z!|;BuSsaHgR^6m`1G*nzkr2H~^wRUB5{Rc5G|H58xQ#8`d1T zJy>(Gv6i}N&3u0Vf6%wVnrKiwIC{Q8;K#aChl+IgOYw7_^)3Qj80J3Xy8vg!P-ly- zmVbcEW|3IO-3oIu&T>t|Er?IDuTK-S4ds~Xsyx$)JQ1*d^R2mQahcGbpiL zVhFE9``~T$xIhLau%ZCEEX(B$)nj4HxOMpg`vnyV*IomccEQ%Ija z!Bjt5ClSpC)OKq$Qb?X`GJ@}mrR8?9wNJbz+E2(PmxPs!Px(aJ*~Qo0CrTvi>X}gg z3$Hc((nBpl|nfWVzw(zl%_j`!HNS=C_TwnmWooz{^n-@=L$<1!Fi>= za(?DBfO7?IH5&Z!$pgrzSsptvUQv3OuZ~M`+xXNUrSEB3Gd;~$R@lTf0X20`vw#?W z?K?DA)T5)Rc>fX$+vxvi@7<$qOS8H#1m!77khcc7Nd$rG(fwR|?x9WUGIcwBGp3SJ-1HWh==MuO0| ze%X%Wg(4_61t(q_w(jV!<%PaiKekD^?OS)SmHW4_iQl@Q%CXcYqsqD12BrVf&_&V& zd^KOaIavjQc>3P6&t5eClU-5e1uBBiKJ|jsV4i;FO~OU1-BW$J(vH*b<bzcnlZ-fOc@ zy)8rp;z$<h$LlXg&1`)^SA0} zr|%IYe?UJ%Rr}kn2fN!beC(s%uf6%HKlrMTigB8X`gO3b!{mvpN1g(p|Fv3=4EmRt z$B_3%HU&Y@z-a2p)_}#9l93B4u?Pi~SR}6~6(bjfjgwp-XnbM1>sAMeo=gyE6%x82 z2I#ipe&iLUqGy78E!5%Es}))q>J=rPGQr(uVJO(c%BHTxmywE|ueeyUCj!7)fN|Sa z2QlsbL+-#!0~`({iO6ZrElDJo++s4Pbd{q3DV|FHI+8?k$^E87YX{fFPf-8nM-8@` z+!Jv)YF2C9?m)&Y8`NfZSZ8KK^dh1H}7i(z| z&Znk&biv(ftvU+S6GlN8D^fkWAb=5MKg5^WgIiEkR0vW%7QqfGnQ2)lTyJ`?Z#`M> zBt>B5g8_m;)epzbKWvaAei$;{~bUdz?h+%=ZtZzMz*6EW% z88Mk@Ir()W6p$=-Wcns30j6X8xjmu;D4LbPtqIGEj zU>j(}=G3*0S+Mp)9fKNFBUtH}GK{J?b$*TSn>xlrk5<KR#ZlOBXa?xtsbgGll+~R&#sx>Il&ND}aFj}! zI;IFJCko8r0F^Rzj0=uZDO1O|pe0dFeL*y(XzT4_WBgI}9jtz4 zTXfawGqOEwZ)v*_%-Z*`1h`!V;gE^r7J^y(!aXNlG#;SET1Jy)#8B40$X)5AQ;Z$! z^{{2_y8}&tG`pdD-@H&i^-))_XtnBtDy#+uuDZrcPWN4%-S^s4Ac0(lWht(k{~J=qtI7`h0=<|!R?`%>gA6WEg0q8petYYYkOF`Dd7k38);JykDV zh?xk)#Ki!NfL|P|a8Mr>9EyP-+#VjQaPZgyL^1S3Id&9}axvy)3Zv4MlLk+_MXhe1 zThXtk75z0o^9^tN_+xEl`_-P^&S)#!>2Rv(2+}O8A@|XLM*c@4^(6Es?DW{ zCAA3UlEOQQlf&j>fOq}9wFqUBfSe;Sj$a7<SUG<6qZVJy_|RM~8cnzS@%gfKQHBl~CyUg}%~EGdzB=3yJEOnT!H%HY@CMJPj> z(A_0`1baPZA7Snc%Q)KUZXA6R1Fq^v==`DsD%8^}6R7gKSN-C9el}ugeP29=cH?5R zdc;SN&af~UBR)cF(HTRR6*1~kN)=b`DiMvxCl$I8WQ)Jy1N9&n z!Q8vSB5c)rB33RLaad_odBbY52z^RLrR^?4QTS#lX>h%{S>`$tnEqt93Czo8EN#6D z6!nF;mpLxSoFSfBf(NuRnI4tiB*F9e?Er$PVOdKua+^2f+x;MaD{s zT`{1h8A=FZhK6FBYzlMZ7n;=Syq`d=;EhYrEr&g

mQN_pN;;m~ zkpq8VvySV{J+R0foRkcZ(so>qW{5l(zk|(7O&7AqdN#a|aJa2X>Budb4e#|B-VgfL z|ML63>{v?v1g5u=lJ5tJIovLTi$UCS4NPxi;r4Wy}j=+DTI={{YLzlki3*Dp&mm$ z#!w^j0SLJYdzF8jfribcD!+X(xdFQNP_#efAgDB^3EPLrBmHQn-Cl- zy<%~ah%*P&e0EX<+9uW|z|96${GfC`Zl~j0u6M~>=i`qA^tj%tx{iSUl51f9ot%^B!%}XAgb!0O(05r2<^&>|F_}O_Ne3TV!JI%ej%DNp zcc{oUE9L~^9#nzaj1I6a)nKF~uR_JBz8Ubj8$EKen$d-gH%~Usy(Pf5jB#(u%5gE2 z?x*fDj%Mz#I;9>cnkA{dp$;o`q_<#uMVb*=OnoWfS4)p)xY^a_gpN!q8ei?YbkejG z;*d`Is~`1|ulWz68B50?xlZyKY#2C=_?|_L)z5 zd2*GcRg8ML6PJc#H$ERHRA+o@SB^dLNzXRiJ3o-mXx*(D$Iw)Wq%kWg+Q!`!Cn6lY zOA=|>^L$;(blUPm%JkMx{P(~4iAYo{kl#Z?gZ1C;<}qff$L$A!386AAKhHeIj19%} z7_(5)L!Zn;_CVZ=-2N9x^ER}V+H($VCH{!exK~`B$CyR#`3@0u4lNfSnZKUD!AwP$ zy_$+nn^S#cTkTY}J_FEh4uCf87PY2vZcV$I*0gW@oU@<)?#LhM&A8CL00vqT%DLN_ zv6|gOYEGM6f&fAjO}5JbXhpEwFA-U>c#GVZgd!nG2hRm#rYR;fXc8v`>H4|g7Wrf? zF>iM0qhnAPf{fK%5N+rLbd!=od%R;Ph{DcYuIX@nZ5 zmRQY~j2S1GJ6?M%N24VPEA9`qeCZy`eCx*As;qU$)EGS#wSl6xk*9Kbz$ClMoQEkP z&=i@tn8xl7#7Ia%S1DoP56gB}l9*w4do5v5ALHO~K1wOZXo}3IGKBRy%usW#a`wkM z%y7LGxE+PpYA}JOli~}@cDjBxcBf7Gc_KzazZkfw$}E9vHl`Dnr*`)j^oXr)4FQwj z|Jfh@xBv31k0qSYH_Ge>Sqyi^BWh7un{dJ)L~V&#@1=$nDGf!@dhTNfxT&_yE&!-) z@+mptbl5D=t;19AyRGK74y-^2`6YS=HHnF2TxFQ>YQ-uFn9+m zLa@``nfzs{gwt{hf*uNPkfUl=tP)P>vQh4M46cz*+`MF!aO&18f@HYnqW6gH@e*h!BCY(BHYL2r{R-vlU7J`ixHKfY#0u8D=oeqPP8B|!IzU0#s zji(D)G0`@%zP)^wUlu#BQ%)YX45-sN<#dJIKkPrg6nDG5*+=x#`5z25|jA+0sUav@X zT2{owRHy)qng9)BD@b)(1VLCWy#`Rv;4P?Eq&juM{c54EUbosyMIc`z)oBsjVyLZX zpVdMV<5`gE)CCVHpO_$6ikef4I(12~%(8s}8T8d&*tPSLqE211+hFpVc&-3|wBQO+ zr!I-^M{TXdERtpf$z&y2)LQ*P_13U|scNW)Hq=92S>1)=VWzGG;TXssCm+ZRqG&xv zfG|!$Ej+Nt_@JBwxZW;xM>2C&p+-P5P<>(9PA(?j4t}wuW1eDW5IZ7=LUR1QhwI zr+kjFm*t>(l)Wqm)g$a>bx;lO4hR(JZlt5(W!GVP4ywBa`^ZEP{a=k>9aJASB+en3 zA5bZ+B$K9fM?Vo%! z&=3?&7f`OMk%>)8B&IcY9sMsd-wmdW0Wp8@1B$A2+c#TM(!v$?uq+NEwXp-7&khH03a`*o_OF95NWw*_tlqRRUFD6rXo%fj2T zTJ_fyh>4$lb)ZWEuVA(9x18nsgCCu}>yX4yr}jruz7bn9Sl}=Wu_syGAyC0cPzPJu zu=ySJNyIl8ED6w{tLG4{=3?&kkT#j6qSqF~>gBwtF!b6}A!MGhU7YpXON&@CKsHFUb z<%JI)4F}}on+XE?^P~_iX#uz_s7L^<=q?L{*GPVxTv9MyajMHQ!6TwAbES1;`JoW* z+ZNyVDaYr=Es)@*8b%=i>FbOzH##l_{35lp*6AiYlV$kosaO zOfaZE0O_P|4HUdlcADTQq+gyK6Wndk96&AD9oEt{lvk98#8<@d6HWgQVE@%r_(hI^ z|Fu8ZC08pA3y0AEYAXEf4*>sHrxHUq_W7l&{5m zBg%8mf1RfMCH@UvEYkvFIy*C5mx<`6Vel_qBAB-Pkb3<3zxVbZ_{s33wbsx={Lw^D z*9K)zjH1+BPgFB=O>YOHKzLy61=#GzA^z4hfEupPWGl#hsz+O&V>h*F0CYs+sYR9W ziPus+x?nU7tijhS>M^d7_SFRsCbP4-jUZj zYnFJ=d%Gys?7mVNz3{paX|5R@ez{d(sMo*9D$N~7SKV=Rx(j9x=Mf*Nr(@=<(amLz zrrn}ePtOp^tAR-VwU__)C%%7_!_{cItuqsfY{Uj3^iu0a7ReJO6A~9v%9-Yw_l)v{e;Y=@;kzcuPk+tolVOA~O1p;t^#XE&WcV_DG$j{q3i z3nePYAABMx8#CtE2}62bv2y&88kc6+ZoM`h(zwRT@mHo2tcdS23bibl%nfE4HeZIy z(7#NlBq>l_K!J}!Es*TP|E`Vp#q8;>Q%0K zdjY8@)RN80UGFE7fY-kf*j!k+;MsSQ?#{ou#KJ`qlW{dCv|I{1d?i`kpfySs`rXOz z+I*wx4(Zf3{z}e08@CcoM)AwD2TnV`xA^I+HIJGMs#R6w5tm(LF4PnlH-v7qDfiIV z@=1kGH6D5taz*x`Wm^NHRQ8;AnQd)~Inl%FK+XfV_AitiG*O-auAFN*^(=-vrJjY? zG;-x+7OU_CN2W0{w_UNWB6nW_{2@^1%DEl+8Kl0ETaWWIcYjK*oayg80-U+~DwKmj zeSU7I^Vj2f70&OucG5#!Dw}Rk{Smd^vl??KH-~cX8vua6^c^4cmG1~(o>reO1_10< z^NX=V6gzYtMZf3EH_+A}U6A<)m^ym)A;_#m5ex{w&JWFk%u2Xmg75=g*sRFN#04>p zP5a_OUMS5#c}2#&E|_MYqrVC(=H_&Uye^1lc%)Hbt}%zzrjpq%v+FL{?Fjrs3=a^V zHYpcLbdgD3;Lrg(*GeX|^lh{> zv7ZcQM_az8S<-dp+tY#eQLhsdV+q2GAMbHO41xWK#1j|UNjE`t((*cA(Ao`7N0mYW3bU+ghKWH;klU*S6@1*Pk+@4Ii z3w-`bNIo(9$qSI$N_YW+^Go^S^j;&ClJ<7DCM0wvUu z7lCFR3~V!JLZ(f@*7NKD1?2=`-yd^J_#lRc96K4+lg4#ELl=iM>=_XsSdPZ=1T~ z^W`gU(MYJ|ADxcLjLaS42PTVL(wdB!-2vxObCFdp5(rfwPkC~TcGQ?8u2LcmUvs}$ zpr4zPAfs!uwStgxN#uzwXNq={`U_1`vg(ybc2WHP2tlH^tn6@K-+~A)0wU!oBC3{$ zU_=p8#3z-$4){=J@ppj`}m?4Uks@msu(jI zdT-_#`Kq^l-OqkzxBwa>w#i1+Qy3cnSByUDHb<7zS)*v`oNP>4$v&DoCmT`WBU~39 z+}kY)oT^kB^@T;X9L`9a2?Q`GU)!8pPBtRpcR=VwL7;}46?3w26w#cMjqoi;0L^p( z{30I&)TR}8uYY4Pb}!t+=2LHYV_0jLct}m~c4((u&4iJhO)2Iizg&Lb zdT6r#_QgvY`0>x-Uro71%LV(59{b#1`ts+)l&W9TM$-s+l@$tYh?b8t2dF{z7-bhA zK;0T}lZ*&a%B~XFVIVS$GeEBB;cww6RWuEQCv8NB#b!L3_UVM+p%?Pr9MUEP0P=Mh z<|U~>%m8e!F-Oze{hB=W1i~P(*?gHCO@k%bsWf#cb3SzyO>g%Il4VJ>{Inr|6;1C~ zV4jy4dEZ$ljsN76B44pvG-u_5+OG(Cb^DmXRVmcj+%)=M-SN<xT8Y&SS%#0-Ot@k1*STr>yeWAd|xsE`FiK zR$PgUCYDZLzmJ^~)bShXkmX7;-=A<#kn3;V6G2FpHH&`&r=pfT>Pv{3zXF`Dt}~9; zQ5huHD<9+j)VQlQTc<7G8c&8?Z~NGHefpbYB%s0zJbP(igWE>g zOHjpD$bEx+rKP@HF-jR`rx91qkPVYT6=)DZNG4bbz$-+p1q6bM->(r=GaNBbs$xu( zX#_KKpduOB09=En$|SNIrVwfq=yGd)J< z&11yv2YHNM@6S!|IhrTI)8jvda0l~%a!wCEbj823UeM`E5lYLSvtFGcCy+e+-5E50 zIsgLD&(TsS+u_o$H)nJNON6in#ZJ`{2!Z-|Zl~kBGrTP6`1RSk`u=NC`|14LPRHYV zbA+7Ej-M_F1Wgm1>XUN)$cgZ_lkF*y2wvk}C_o^ukl;FW!6U-t*^o z{m@y3{4d`B!S-Ko=Ltc%cHbiB+FJ zfBvQC&+olKzL9)2f@9<>T?oioC{C$g$t*uaSwHRyU9Bm1Wmv8(IP}x<5QFsaht*p& z?XFN-kXCwc6@hi@m3~(kN&nr&hkXABe#kL5c5F68asv=@yT6NZ^ljXm-PmrqC&M2@ z?j1Bh9nK~v0GZLH76zj`F&vBR#*WU0sN7gzalhOf2V$(4-BG#E46woqgz4YQC|$cNJT*=((5>*t;xoWu2WI4=Dfb6(HWm_JB(k+S$U z?&Fru|GPdW+86K!KpgE@-R#z0yuKd~XySK1&tz>$Z&$b8#;q~LmTP>{P)E^U^ zJw4242TU0duU~U%^)sp#*!=D-m?)GfbIm@UMlwClXWPx1WX>&*Y+z!3(4=MKGspJ#pi~2)~qaTTfbi@H?hB^CFq-d!8K`A09f3P>5 z2_EN-PG>@zxZ;#R%6rt~6`w9SkhtFLz`}!uLzx|5n9s@q=5`#9A1rUS{J+UdyjlHnDd@m1zz*_HJN}eRG4oxRvgJ_cyZxzNuEe zUI%>g>cbAA`@+KxCmo_+_}X{8>tl}dG=Y(s={oW>wb(2E8eTWFya=19Ha)T=Iz%qG z->+a3xZ)O{L_OQCrZ>t4{6P>NPg`515%Co>;>-#Gu_A4V3w9k$n4G#>cWt*7pKur4 zu2)8@2*jD1&sdQb#07z%24)-H-4??n`SVm2SiS@<39kLUfY?a=#B*1YeB50UeN2F$ zOF#?)=jI2hYszH+BihW`n^V=4_Z#-1;W`h6U^~80T~kI@OV18yKz;V3j+^>di=4PL zuMOr}V{{t}3@2brxj`miWry-ln4>Pf~qxH*GY4 zbLW)fxJzc}f#Ket`lWyKv;Rhb&V~t^WAHNQ7igvP;AL@_YzpsgzXB#3dE?z-Erdl` zP%(zT7T~fik#A^Ll#GcB9)OUR6?e#)G=dUk`l-8)NC9FGP$FyuCCKy@H+vdC z2%_PMzDd4DafwY3(>sx{)fJ_bm<1)o^iz9T3jwaQV`o9ln7E|Q>41q|VlYB;ZcPK2 zWXzEU;Eg7_T2oT*Cb`|MiO%4fE7Z&y$$WRV>mBJCA-P~0s*%jMXNww@6xH|}_0C@1 zpKh%#&kh5kYssUoM9IOZHLq%;eIKId8^}==(Nj+(V(npW$KpoVhZyJ3_$0EaLJvGa z*&K=GfJ3o6TjqhpKstdIF@;Q;1Bn>14a#9)F_AbOAVFvj%Ilz1gZPNifLpuS3C!@I za*X+aMK1k$JSYT=EWP(a^oU?Jof%n?^j$oP1@ks!(sZibEUs027oy>5u%* zfARK!k*Qs6@xuY)WiAjpAh)LESkKtskm6Z(mNSfKBFB1#2LnmS9?+Po!VbHQ1T@BT zQlD2rkEZ-3Bo*zYXxa5;TY8bj26?sUJJVzR4{)s-TAOvP0^OC&>R@Rwj<)YU9)s za}(@o3R+SDkrUIbzALyp*hCKY##(WvsxW&8PxR=SuG)X?Km5EWLxpur**)nhOt+A# z+rWLH@3;k!Mtw)yWzB~!SkhG}yY&RA!dwtZDilz-BKWH{Zxbt0g}I>hN&vvL+R893 z7!bKe&g`HHbHUvbMw;3I{Tk+Eh9Fg#3u2%nDL$b_fN)i}H3X@`Trf0KhlUMt-dymg zzEQnkIUByD$(_mAp{qm=5jWKHs^lLCJ+lulCU{v^Mdcbpw=|!QtC|@LTU9&S4g}dw znx!sYXe<(nMv6bDD;j%3k7$BR`%2T6Z&L?UG_QH?6P|l9!d}%_u4vq00dEyT8tIJE z*z+P^DHy&E=wxafmh3ByxSuM|EY^zKCNl4j0q?0G??iT+G^cR99y0JE|+Q_8nD~S^JJ_%B+3IHDy(md;m?U zN~!fRsaHhRR3Es(|6a)|av*y*^GqCr1Tk-&d``Z_X_N12!c^ZZ&&2fyx1ar+{=>iW zy+^g)+OQbx?{D3jO6wuZE1i+-oN7~AS?i(S1V}!~rmcJQHd*T(4r>KO0KFhY0Flws z;MV|(BE%$k#PGetyeG(8^r3Z*O#?k zS1=Cn{^~^MQLpc6bXoXg?&|5fTkW7}Jg_8vqp$|A8T;RvsqS$$n4eNbc^^yCee~Pj z{B@sooFQz49lO$F-5O*SaPv@=wdV48^|Ky}*cp*us~NK%Gxfw|f1gG>dvC_`31IR7 zjdrcaz=$8eCkI(R{8)V!3%(tAq>MtD2 zLr>@YDsyy?aehT+dz|xYl*+5JrOpTn#1NRRY7?1lX)uBwmQopuY;4W>RYuuv7i)3# z!|*AqfQKVM3osmky$97<>ljqFG$z@CBB!>N!| zfAK00jnNf=kEzRSblOxEOIAI^Hb@GR`^BkTGpeTR z8;r(P!>5&|*SP!A?`lUq!Z-N!79^tHp^mQqh2ozR_Yah~?~zdoxnxEmi<(NX<%W!g zFysp7ykb%%!#NNNw8(%dPygQKy|!qr{i008}PMMz0AkBZE(FAr%i4f01=|K zRl8H;3(Iz#A3s?BI?51A7eGc)_^fn>ZG|?O|nEO2+>552LGB zRgWAe@JkFkClgewi1}o_+p>XI_5hg`jjPKVtma zbW^6>m0`KE;Lu&+Av3>BV*Md(?5p=5_3lH*kT7K0W8Ua724voJb+Zh)db<{P(@dO_*?W< z!}03%QR^`oah8Nn4aslb)4l_o(=t=OA%a|1lx<2D6J}Luw!;qqK@B)ck{yOXiQYGBOL z8xM>be%)PQ%nz9M{q$b zq;s)=o3s>o2+~I=g6Nt-27`ogC{T!@3_uTb zbdonqijUxuht)w-~3j6}wCBb_bBs%h@+Ztd=C{Be*1-C$7n#2xa=}>=QF- zEnre?I0${!miLSFy5GU%7ci)(km1X1Bh&T{7woUf;_Ic?b1^;nVt?^#YZ3;@ml;@o zn$sXGeBr~050J72l6#5pzY6f{f_M%i{fQ23T4~}eT6HzgX8q>Ox+$F_w}^J}VcepW zFVK(KG*=JPE7Poh>(BhQJ74l)N2p~F>jMHnu}0e-C1crDGk zW%i*jcZA0w0SNwhE$~LtKUaT1f1+JZyKZl$C3dpzuyJ=+RgTplRgj_Q|%B;BAQxma+593MUK!L*X;X+G-^ z!U`50{CF~+Ef+mC|7v*;zjAbN^10M%{_Xy^`+~! zy(hosm&NE*NoL`4g(|1I&h$v1F}0)5-^$VT@$73Wt(|?lS!$XHB*-`Nq>?MvK@>t8 zD-Rq0)f)o)PbzA3V^1n}w@)hnsjN5fq~d&+eNtzV+3?<2$pRrtWPoOp*+-pZ7X8LR zSFjQ%B(r5xS!F@Bjhz4f)*am}bvkT9vz8j)O_I!(YF){V^gS>w+|5OOjo2^nh@J69l*W9*chEiu=gcp>Yt|6}M6tuMxyljW<-e;_HJ} z;pjiLXrkn|fJH{g06FCW(;J!G6*iZRnHBSvWa{5Na~3z1bk9&>RWa#fkE}? zXM;Hc&B?QCiOCTj{-B?){o(?ewR@G~pY@?PgCo0^_#z9vPMq7Qq~nHX*Ak@EAQsaw zq(jGJ7&)p;&WgV zXw=hFgZ363$vY9aQ{|l|WFDC)D&b}cSgT}_TiG_PMLY1jzTzEU{={)K2AE{1wMlMY z_W|qNT(9EC!1N^=2jvZpdOz(z5iA4Kq32tWMMh(k<_VD7_vrGeW_-m=Zr=b1>VfIe zJkcSQ(p)3$fUj8Qivtj0QGR@x3XS2m3hjmcrUbrUzGRFS2f=QQaI^Bzs_i$SbECL zuk+G6ThyCs;eHXPrzArersIZqfi9<`^{6Z42l98cmt>loC#dVI@hpPA$k-3Q@uBM_ zF_kvOiz~XCmdn8!+gk$z(aToidkTVsURS4Z}<~HluTCvi%yJOOxFT z#J2`e#0=ur52@UX!TZq{ml6E$8pPNxR1s?T!de**Ym8rR{E+Nk1Op5> zV_NS^Dn5ShLIDFTXEW#Ks4-?FQEY4Zpw_Psq44O0e^n-jN#*dqn1@JajBk$Tz~8F& z@66Tm%I0dhAw^v^Sj;>JhCG@SX3n9_sfAN|$ipvVP55kw}Usv=e; zaCfw&4!c8M6}doWV!>XZ<|hPcON-#91YvNx}Y_t2mcs{5KCa#FTm@+-9{ zPf6LjB)$d{1%fcOpx)1Z)aHv3MjNskwpqDcITs0`MHTeLfhJpy5UTNI@iP*y~KT~ECP z-BGh*E(>Dvh;?Ov*S;+vO3Kfxta~^XVzNPB*w#*S;r7r8;}77QtaCP}t}v9A2!|Ii zRAfzwddq7XS#P0yR?L)Sz0+HaG&G6iqWg&WnbSa6GHVLLnbUx2qGz7I`}9*UJ!Q=i5t?7*5{RKK{(wq3P{}(R z2m@tO!pd64SmX8}uriU6~=US@edcRIEq)^&Y7Lq|XoQJ>cQZnoQpN zPuU?=07-ryfS+5*3{!v{r^S%Q38#l-rg4(wy{~B~YD%u9l`kYGCk@1!stG@pcUaB) z16A&`ndfI+(v$YKRaN;h`@IO>-(5V%CA@^$L15gTfp6DJdSto#X%528`U_L>(Dr^E zaYtHPM?Ca5zT@ua{exozrV8^L=eld^G89qP1fyED($iye-Lsw!F1giGa7dvs>H$;1 zB?nHIRtaT2U3lL>I%k8MPJ>l<*>Jx!VQG&*RE^=*X&bfr^| z>OX1)(Glf?D#3sZ7c~P)UESA4MxkSp7JVhKyv?Iou5dI9pux$|BLu>)OKOKDzzKi` zBj1V+nA3YcrYWII_k2uSen`jt&+mTCU;RTt!mbOozUbt^&FBe%et|Cv{J&LQLVsi( zH>wP?j>AulG4A4N0j^PLg<8jr4kOJvZgd!FrQ=hztKPP?2ce^v#Bk*uR|LX@hpff4Z zyV3R5Cq)1U$W?Z}wOte?Yh*+m{+58J12zjjIdC<-Xe4*ZoMCDZ1RR(o+p;e(CDOnrIMe9UEzd^Xu#|T}7Zw2bO1>v#(ef zb;;cZom;$4^l<=tm7}2(pK9=Fcumx2B*;?;9*wc5dW`JDpJxB->-C>%tm3_#7gBvR z?u2am%KmpqCjO05$c2s&?UUb>l*2$^K6$pj^*BO-BYdfCLnR1XPim+Hp=(93iiXNV zMbOG8C^zYFJL;1pcE{5Y7YuriYBD4Qs^eul9gpkHjJBZrVB#YPVvi8b;|HrQ2W0P= zEo9x1{5>zn7dL&KHLiD;t{;>Y>xyU}0__3$gd1Eh5*6k9uXkss(Q~ZMHkn{|eK^}x z-(P7lq9Inc@?L#@^<$f)AVJ`P zax)MS?nz;jR7k#wr8X7sJ{H^LA?+IWmetAIpH5Za-UrURaUT)?|EdFs;%C=Bt#>TbJA|@zTgIv|b2Ex02*rj`gU7MVMM_BR8I=Ca|{mkm!3 zgY6v%9XZ%Ea_KO5+VVqT@L&3+&wt^cM5=Gg;lM=!>lWT%r2XM#FK@$xUo%6sziqor z0T)3GD-%tj*W<^0#njo@q z*6d>j4 z`p%x!>(Y1AmLJl0U;Ks-y!*?69M|~V9ZrkZkitRe~;+<$>Y!DMfT_-3iaumLMD%>eoQbRe##`N~>;JZ%W{{vTp^CMQ_ zxVAo3=}*%#^uoggtE};)tjgKFP~HJ?;#Uk-IZcI@ccu~ZTttEk5{-A6pc=kb6sF8> z>p8^LdTeb+*qeoRfAbpfvuE#n0*(gc7yIWQ=&ea;;wH23()i({gdoLcHnbQOYg96fA(I|# zr_}RYL<&6m8t#wz4>lPEA8N2Uz7`D|OztJ;joh%&D5uA_Vz4{Mq^IAIiL}MbSd%pe zx~Eb5?4(X)UvURj9nH0?RB_w2o3-9>_UuWr0mq;C&GC)qqGNV(vaP4}fi%uKxfIXT zRd9a{p%WKByV17`s_<`)T<~9c){&_P(bYOnA)fbmQYOfeP*^Ory??G-v>CJ;FUR=< z{_Aa%J3h1#a8lz{u$Oa&%I>`IWg%w-E-CD^pbg}Fz*h^4I!MI$fvCg;Cp`?OVBJDc zrZa+dP9@4xK|Lqiv|zO^u>cr!OjwmsPwC=$-#zpl0LC6;lrA7{_Cm=eJa$6Nilbww zE+(mT&8t*Rat`4mfVP#Z`>in+TzNd>m;}t!MOOl?YiDYiuL`j>1#nCP@bI z?GS->^`*YgLio?*&|yKYBY=ItP@y->H@l6e&%N(A`+rilB@ZM=x-1?j(PT@*g-dW5 zb|&>}@c&>Q_zYiYe}sbXt-t^EdR^9u+{xL8i8s1gD=}d!gt0y4&`wjVv3>w<#$^Dk zlm>j-!x}vU6J`3YZS1mlQAL}4VTtb*tiuCj1uJV=vJ0{TY3C&Vti!L>ab~CHf}Wex zGBA)g{aT8&a|h^xW}@c~lT=ldTEkf9mpT$i|63zt*ZXc;r_JtMaYYCnUZ3K@N&~<| z*yEha0D_DHo+@EU@OjYcLSN%tyBm; zhR`s#bgTx&(dDC& z!!3VSs`2I2A6QYOJfFOu*k5&0U5yzP&b(lBQw;gAb`X!6njma0TB6bulrS;DqqHY4 zAN3h*+89-Lqpe6lv%cs8{p*ttPhN@(&wUUkKXjq=YA&(MOcUO^4AR!kJ=L=F z)48Ntx$wmVzB{dXMz`}Vj6c<<7|1v!$*8yo)P-%rm7iV9U=gZhl>1AC#y-PedWy1L zPa`>P2*vA7H7r`zl1yD*qMo@?CaVSZ*cB*;4h*MliHAjMBy|a8%dR;V2wNCZs_hed zI|^)P`O0O*hoInSsi|dSFlXF#X084uKecKA`%1Bt^{q?*u$rzs5~KvyG<OERIvq&CP)gx^rEo3wOxNdAfjDVNT+ zm}p;^s2ff3lC;#)ne_m#06L>BODFvjzl61vfR(k;XFD6Ko)KI})*hdsHd9-_O#Z-# z6o6Zh4GXsPA9r07XV(sF$=G&JJc(EqLojC8gUmQK1_1*{DZt!{NGb%Oq65kb$c%L> zB@&?6O0Utikuuk!Q%SWXeWUr0L+-Q`89@;9QuwZ272mn zVz);fP7buUPAx{{V2$M2;!UZmF=Y4*@g8}O$`ixn z@ebaCf9}}70{MU8KLWMvMXb5WmNG@_HZ3=Z!>Xn)3pQ+h?pd+s1V3P7DDfT_}(BaUTT) z4LlR$s9Ck$aFNx841C{!Cc3v3@{-4=3P0X96!P_6Z2j#SVel@3X*75q^>!r#AKcf--=e-h2Z$ZnyZ*Avmn^ zID)Bj|LehafbRlUC8;-`~owjnp;41qd8bf z3eWv*n?MWlbA5x!pDVpT5l3-@`PO>x4L1JoWqkTEH&Ok9XV0d?ck5;yqg!pzt>jX* zVh!Y-vX~l}UYM&~Cs!|!4l3R;WtDIaFCkiXmt`Or-4SrDI__5SjxyQ^@wAxAtBjQ+ ztL-KGrLjU+MZpx+D>uEOVUaqB^tZTp|NojhA69z$Tb%JUCRqIG5qH7}`NO>!|6e-A_c7A+*;_MO%ulV20WsE?e`YtN)lQ|9z~l|5v3%#({nr z0;NxWR?XkgO;ZY3tduU%edSdms2v*8Deu+oL z_i$S|Mr3$!o|KJyi&+o%u>JbrOC6_e+=fV}?)AU3N9`Rc8%75ZTvoRip@CpS_9j;# zUssI3_m0(hi=lr%kj*RJ`E7rE70%P+=Q**ikLJoC>CC-aXZxII&cFlUY9Jmh?R34` zXZx}yvSZr%!l>2S&XNJ&G;%zo{dgEWv?H(h#URxuL{ts%x)f*iOsMN!@$Zl;CLBWZoe5X?ex(5<_cI zla%$c+%7Fh2iH6<7))0ELGNWjxL=FGpEk$wv8>lN7HOoKgSQ_`uMB=1>*5ieb!AFa*4%nyyg{)cPsuVpBfDQ6#Qtu=@V$w^g3Mm z8*Zx{{jk_noy(W*z(1BPJDnSpJ`Da;O614Q7qNYlpDEfe{h)BIwfnv2rT+9Fie`My zZo{Yf^ZWjd(k|;wvk%H;eFM712Rz;Z-^R-}sHDC1rU8{_qndNC$H1BRt%#C$>ESoN zW(`yNo`sgp=>L6L=>F|Lgc5~$(~0cm^$**YS{K0A;9U7y`X5-+`z14nON!Oe_S+=K zrZPQ5&S{7DiKW5|1S~X&KHmd%Mf`rKlr5o}@Av0hdMq=SCI9yh$6vKFZWK!Js)VpZ z{F+m~K;SD^M-5^Dr-Mkq#NJHA9_rXsmY&*A!f@+JiNOcZKL!|1O44+foa!W0$t5=z zs{7_bYHBCJ(r85r-usZqmi^xUZ+q^%J{n;avhd*f8iHnw6tGl0yvK)FrnG#ichA zbAQ(qu_<;%&IIDZ3lkS`5=a?VuE>%W)G?(?{LZq-r`84JbE!yxjS3k(2I&I`r@`ou zC-Q5^`V6AoAY~1mE0Aur_P_ZQ9;t*W=S~!OhJw*fkn!I#6Vb3fm=`*QM z*fCoa@$L?Us}4zuMxo9XsVR;@Z&6%8)=nwE8cf%-d3Y zwfhD_6fyhOdx@baB|V0ITI(tnwOyyRHfkxZHISE#2jU{UdLlE_Wmz30``0M0{h+2| z1vM)W(z3JFcAelGoU-FF-ckU%a~5EjxF!aQc2V4Nw59h_TFcne1ReXnzC{Ts-$hb& z=nEF@I?|^^`PwJh^d(0T4dh_^i%A}<8R_Llf}@}-qUTJ--nEQuPyCV^YimYXHUYLe zDYBL0C}WFu?%NnDvFMIv8R{@al7uUit_N1R?E6MB3i=we@vt&?%wir(dv|NA4Ew0P zh``f3<0-b!W1H7sD)i>+sL*T^x>Yw%sE=%yWbyz;(fBRPmc1I>=Kb zV&^41tZ$N0N>n~^RDB^VScC2@)ay37U|eOY^3BSMK5kI+o~T0$;9BH}U$@(PDs+23 zn#lV8Wd2G%8;@Es>N96zv%!nt|-uHr$tT1})YtC{bSlc4A0#TUnqFcF$ zJ$rXYRY$AtZF}F<)A@+1t#|l+!gKe#?aRgQtpGKSLMk}r6$p#x3Q*CGt$lzgiM|ase7nrQ79J{R!>}EmM3O44% zQJ5WpFE{qzaQoX*R0!|>t&p`utTp{fq#04xt2d%9I!8cj=GFQ9V>O` zPAY{G#|Vo55~0d+vNA9h@-y@)>{4nfYCDr_ zAJ4ibXia=d7(wP&1isXOr6E!&+ZMZ8(LA9lOhh!DitJ1|+ zK}raZ+N~>2L4cQ0U@0tC+P9KaP%-dK<-=Dpea?faq;F6xHL5RscIg5V+YKPTbWqZA z(|n|@p1JXozWr&6|DvgwHiwni<*7G@7Yc83C=>?&sFm)S=QDf-CA@uVo%pJU8hF*1 z5(bS0h?g4xr~=SZ2YoG2O#bczfs&92&5_rFVO*~ZJ(&OegsR9z=!I0KH_(NOin6Lf zh@LVXFHf28ADeHXua7h${YMRkK0z)W83Axuvzkw*c$(tyr$WYZ^Y*^?=DC+jO^dBE z40he!9|CsmRP6tkkCo00`utH^FFi_HQW8{>cA1eV2Obk6v zAu=y+1ZK)STaB6YzT5MB(e9v9BLBikHWt2sQXfY&U^W*5Em;OzQ8+PNQwQZ3lN>LQ zA-VvOvM18sVV()$en%M}JF?A%fPn(r0Ed1CpQoHIp#<=&f7uzz>k9^B!qh>} zs;{ejScG$jDAKBYI^ndb!_$peqGAmtf1x%NeyLWGcjLC@zj3^99tG>>g3YG^)sDB# z*^*66Hw5_x;0SQ<-q-fqr(>z?W5A$yxO8KP_V(Xt&2U_o2o_LHylVXqsc_ePbb}3d zi*oat!Hnz@f`!4WiYB0~--E}X=iw0s#G|6RB^gKL&$2J_(J19smaa2nMEsy@j>NaRJeJ@S@rvF* zy&F%KgIIFu+JOrQ=@+oIbp1__{pl+17jm5>QB*py8w%HMft2pLA2 zsAEA!uWveS7y@Q~c3)yXsG>(o>4`#W2bk+6Imcu{JttHRD}2WFa3x48r3nfz!C@uI zEqVN*EF}xzK6!e5e)$H5`y4hI^sbaqe<11h(-62D&Ra5dFHUn&|9p_ym;%e2ltsVR=Co8GVdU_MQAQL1;QSP zk8B>|7vVEU?39=C746N-sa+{IJL1O4Xw)v1@s3+7=d*w+|L3+qJn%H^VwBU(&#uf({fG_zMa-}w5=EUujjkg(Bk6(Ha-*C0-#k@(d?nc#$Ima&aGxTQ4J+rgh_;lh( z@LYwjTr1(bZNJrzHlZ3CU$Q>b0HSNxzxzm{w6K`C-uVeS!i4PYGapSKwzT9hueZ%n z-#FaEGi|4rySIPyG5=uymQfU2hv5YB^lPlp6no(zL7N+H#JKP#(TzO)BFxz0;b+CtFtE{=q43o_oSSnGBtZc*r^XN-y{QUbCHnwkBuKT5qka$hqI}cu zO&GKZ%2I#2)jq;?bR=xppV6v(kwT&ge9OuhnudcyBS{NqD_3vj!+Qyjwmfj(ny$&( za}Ag`kk$NTE!loVufpDw2H+vuEckt%?CPnsI8Rmd%U1uW#PTW+lXu0KP~__{dg`6N zcG)lRO3$rCNL6Jq?J4}h)#Cgutj#UeX4YJXc2S(hXMO>do?%(NRG5-=uP{U#9qBmA z&*i|FfBJG4a(cUdagyW;@4v(*yl&xtg1Xy_OH>P_qHUQoBd<+E8B;zFAke~g)^WQ? zLy`x?FjM0O#dO#}(`EsjEvOleG^8W~@vX$LiS1Cw(97`#pqmUGQOE6rR!Hj9gE(>k zk#9Dpwj?nCitge&_OQ?TQq z&)F5x48I-j6TD_Q#4yNX)`HCW%E+nhh~t2yizpfd?>I&4`|kv+L|i z6EeMZ;tE#Sfuk|L;c>DZDebY76o`i}N%xNH(La=%F9MmMuw)2z;`NmXf+8UbpRg?) zB{@c7$Q3rD3=$qsF%s}bLm@UNUH%7UJM*ZwGaK;)n?^nOlwzVh`%hu!*sVGTYhN@F z0!`{4C5Rp6Cs|p1bWTR`sb%dVmV=|VA?;TA!qm@cRDF7Ln|KsHFk#MjKk2tu6DYM* ztKNpS0vt{7u@`$p>l3+)zCF@7^EK!7I{1ZEkGRhQb+;0f-Vw~49Eq|U3H;m*mly*0)k=;3QShQq{Jc4MOUZ<;2Br4ixg#-5<$em zMFuJEprB6)PFcjTq_M17FLx^liMJJ;iq?8IwTn}m$M z58=-B8C5)afOC~Q3#F9%ggTBaR9OiEJjTS#GR0SPmyR(GtksQzG26L&EI)`g(Q|!x zBWv6Sw$3h%lrc!A3%)o&TsfPhN{3ztS~nThlyO2bsRdR)S+_GP^1PLauS|;>xUE$S zddSsiKOfBZm-Ou|022ts*o#<7w_09K?F<qoaqx~F_V()Rw%PUHLk z$|NfH2t4B#{^pej){@V;cu4EYzg}xodVRu=K>tw(pfmiU<@z~zU*3A}IrWjRHoTa< z^a7M|g8_QYlc>0r%j3YqK+KtyZJy^YcP>Fw^o9$*qb@;3>h=ZvMUOzI7DK`}xX+{P z3%IVI+-07DhHNSw?ZfcOZSzvdc#py6;4U~T150x$<_3R%K}zNvq6KA>COf)S{U4w& z@p^r3QmBP!-$B-$3eCmIO!gp0y&veEm*hM*SA!gC__-)Je5?Dh$~UY&4r4|oxVaHw zk|~BL11lDh3BV1B2oMQt)cn{1x5ho3@GFx^PPonSQs#FxI`~aRP%PBC;-!yz-1W0N z zR)rAR=~3}$2QH>sXdoFcUHP~V4}NM&!3f~;U6O&^C^4kBRA|k#{^Tq&q<%&3^qvl0 z%BUa2ieqtukQT8No1x3$ma@HE(?zbAvkSLIYwM#TOtH18!`3pHE4}&6aq;ysiL3wO z&SyIRvv-lXF7u^riEFP0vaGOA95RpR`mB~(H6Mnm3{2m0vmwL+#X6b;zBLORLSC@h zL}xdsk8xWD+ZdbDo%~*uw1OxEf%E)#Bbpk)OD!hm*vyDfl+AU&+sS?aV$k$ z3P}9}eF}ixzi5f{Lm5_A?c3Y6KjO5?0Bo?F zd3clZUWu|h_-@sul)+umlWTYVTXtae=455bZ1u_ZG`6_^&ifI+`FkY1ee-44{oZgJ zL;r_0N(#R7_fgZqWS%Vxn1R1cT$x#5qHy$%##adl7mJe>&WUhRR0y+SytHcofKy$nX6=U890GG&a zt!Fc%LNbXmYtZB^7)YCN-B<&-vUsS>yd)f%VJXZSW;HYStcex^=@62~#lvZ1l$3Yr zRg5Vw%yp)_MZEMvM*aztgF!HKq>;ck=(qnxBkzjM+xB4S!5%iMp>%iK2Km<~!3WJ6 z4uJu}XpS^6*ff|(51{_1(tFQZ&byk?pvSkGG1(6jo~d=gIJV{>o^{2BllV=ZIG)w5 zEuQsfd|uWa9M4*3+!X&}RsU6ImNyuFjk#TH2Vd`c0jT481|V6k|EUwQcJ5El`5nCtl$v`q}O4P%NHiVx&S-9-?=k8mk@w*eh_ z;^rc#lgN0$IDZ3Js2^FdrCZUIb1697tX(ZeOA$fZ2NR2Wb3j>?&O|ITV4?g3Gl;cZ zjl4wIX_0ktz`*Y;_$_N!tWaNkoHnOH99*Sp2H8V^7a-N21K$k774rxCTJ8TGrb`?q zW?;*Dyyed$K{FlT_s3oYvdbas8B4qTCmMBRqh2S;-`AVH+)mY4k&j9E`96r=UK#je$ywBI z(2sJqLKgszwO?4cXUlWHy4DO;QFx3)iLF@D zd9wU0wi#V<_*Zudd#PI3iaZihskYasM<$KrtM&4O$Y;0lqNv1kVN^8%ZWr#8p*W&P z6+o`!6;H8?3#+wM*9}pxtIA(EQ+zG@7ya$q!{`1Y0BI1s?0bV$$v?r$&RY{(MN}k~ zNdCCrtq1g*ZkL;GgiAyBSCJ29a3Xc&2%lO1J7oUv;8CW4X0&p!eir)Hk@~9}Q`lz# zf6imds0MxQL{boH?CSzpnUuLS)97ql4{#wBSyZ}JS+Z&{ZyQ4=QrK|I!E%@56iwT~ z8pzxcJmYPwew#r-ETL;868uDFd(bA>9r`pEd;|L~R;`Z-17kCroU*MCKFyw2e>XkS(|@8N!T>VF@2=VQx6YIC(% zwA4w~LmE+H4#p-elW0$~NU7Xg&ETpPtelLJGGd_x8&1jE#i_%G<_O5pO{CFKqOYmx z5K0`1=`++Xp#;S`eDdFJK+s?l^p8j(?9pC(r84Afcl z#AvwaD@xi@lowmRVJ#PaBxP(?N*h^@+@uySJ<1@dig-b4IM+0|ky0vl)OBny_=RhY z@KC6sQ2%O;6J8E?g?yZ+2rVg>L{&dv*(X3qT>2M^)|%SmfsmXeVJb^TLoY8Q z5qM~g!P|}#&bg|%T{Mu0%G@LTsAx(FY0y>I3p&;g!Az?iKc-(N#LbJAJ9ZE@&+I5U773)yo%=#Bd~MisqLo=hc3s!8&e3S+4g+VR2@f4n>9iS)`K94)^mek zII$|-#MvO|jXBk!01H-yZcOTXO3EM##=S`bFr6fq#vU<75IRd5_5__om&U|mLW-n` ztw<>hZd@%%ETD9jZ3QX1`SFBR9!cBEm4-&tRCPt)qu>j{WnE3#jb09i)?w! zVF9Yn#uVx~XC1T>j&OH^3vQkcKz@$GmMG#lwmlS7e$v0(xNt_Z4;55SVZr}!a7;F6 zt){1NnsjBSo(+G-$$7svf#C|-i_9ydLAL|@I~&1TD(D9DS^*rJgVt5DF;TKxET#=i zE8)3|(JaB(GhXL%I+>OsXiBC*h?hw_vTVKBmQVQsYS_C$iVZK6<(X^^*{XL49dQqy-@$A9&Jy>9nUqm*)dZ2g{I#%MN`$^M*I(l8_Je&;vuPkCr2?^v|$ z$F~W7E;c$dZUR{bpOvj6tFJ`8Fd_dm4hx?#`zIB_ot`FXPWY9a;y!_d22_!N3$ZzT975phfF9zg1-$}|V4oS0Gio{iuY*F!IDd&PCYPs9eamX zoh*b@%vF-N5AL3(01ahP&B11lo#67ya;`td5;#Ml(cx5*mubc8JVLoERABU%3`edm5qaeO)S9Seq@nH6Ju zDc3E3@Y?=#<^kq8n$!|znqH& zn6j8nZ3>G9jL>dngdaIbGq^v`JTR?cpiq%r{x6$#eMWHm0KwR?wmKG!VCb}<2*$vY ziv@%9{VP4DGeSDIFypAIRMIG^G2DLR#aBvFg6nt&efuzb<&0nFHw>%$j}cDYY30Y& zZOojzWnwrhi?PI?&UYLLX_F+i2?OXms|j2SJ!;eX>`N%c{xXhd-oh1Sa${Z!#j4`9 z188SAB8o($`6?3d18DXl1kfK;OqVZDB6GT6B>7qYHDupPu0O!Z+0Zh-A@T~zbl~_h z2$}!=En=^^kiotg?o;xLmb#g*P0wAurua`Z+2G}`lGdwXbx$l2j2d?J)@kU~%bD7(!n>eB`agAR_Xi76_T z4BwIN=f6w2N%&>nN2^+d4CbV^Oh^DcP>%}UdguJR`v-e3N%6nq&EV$gLnDK^r~heA z0!N-;PCshgd(M^R4Y3~v#2kX>;~Quzg+pK&D#1}eS!f^x!LURTs+o*R`zsf*-$p+R ze)+ZDYPs>Knfxw-L+~`h0IIBg<8sVbK_d}jt&AE187o}n1Mnu&H9&~DrT1|kSB!B-V$ zVW@&C8KTR!g7^iyZkwTxK&%Nbl80O~+uVh&YYsOrY;;iFU?L zF~e^0D@}Pa5%kte$gSF2Pe1sb)8#{N`~v9kVNwd`AH?tBTIU#5cem=D1-$e0W}BaC zCz-Q;NxSBcp8k*Ey^+IQEY_w465pjs%th z=jqyegns8j^09!By8*STS|#dg7=|LCAqy>_#;syS>I_9v^In@zBc0Yl3Au@RSdK)E z&40xZx~RfsAWFkQb^v#|xJ(UbPZ@zC%nzmaPlPYxC6ci7zu5-%j+4N5-w!Wry8M`n zO$>FD^ctiJWh+(7f#4k_8Kw-0ko<@qWq~TTb9k$KeTa`LhuP29!7E-rvQGDatT|wZ zl7^V4vzB3%WQa)x4b&y&7EUVVh?T21rL)IbX*H`y55e#iCu?%m8SSqdYM|elUF)np zH!A_2(@X6!@6hH?GEUwC{7rCNgjB?rF(A26Neq!Dge5|dCW1E=W4v}7E`xw0Jy3Ok z$zvZtyPzNKxbS@HREdA+;7At8QMh5IY}5-~ zxAxt-bmMLU<+vN^*!@(VFtg%5U@+(Pt&JS6sXVPSx-^j;*ii|xNyUiw$?lu5J|ww5 zk^NEA{@mwwMP}m zr^v}5;8DNpn`D5DW09pKPFpN336~CpQbm!^uC(EiOKORd5kA(lj_Mpa zLzH=Q;ql3^9w|s}C(jOulfTgr`1gI_oPe9^sK4>}3_iSd;Eqb`du^bEi0wKCEN+!F z1S}|D#^2%Eq;_9I)v}Qvc14cfwYj>{<85s;Z`~5NS-8>Hma%<}ZhsZ=-&%@qL5qi7 z&5z7)yiRoYU0S^oK4QI#F>cl!>Rl=-)pmzX_!N8t)&)u-j5HutZ$V?z!0`_Tbk<6- zP+Do1msfMTgkFPcrk*RCzZAlt@dr%Tx7@CGNA&Mwv=$k9=5)Hz3s6?6ULGkq^{;Ct z9g22L3TsSKhpe@7dH3)#*6Lv0XR}s)D37E9 z?`ZGjg^Vv4xGc}*lcXZYxqf95DgDI9mKxTqf zhd~tKr)%fb7vZ600aoP5=fJkLkC>lJU{t^Z#`BsRB()7eLHR)e^umINPucXsdHw1< z2KQ|LPy?jXavF5~t>0nj+JiTZDNtbA?Ldaj9VAVNI69K0uRoGxB->5GT_Rp#x>&*7 z+pL+euaZ81Gf1A$xjH6O&1hoGrGLs>?~H13w|)eUfHem?`fU!3#N6G1Kke5JUW?IH z7ePIicE10d%L8eXdClJ0{l4D#y~NMqki8`8a5!oX{X+Y) z5I^j~72w&3kxdt5x(Hs~AhJb3T^L=FrWeR%H?hh^8C)Jw0bffIfizM+-=`}`>rO+` z7}>-2oPA?i7JG6)e`&pll8ziph)j(j9Rrn-I3cK3AR(^N);kq5h#)@XTjPMHi zS~AXv&XkRJeI#&6eiFE}XXNmdjn$;F1q`Bh!56L>l4B|usWmR2FW;_*mNG~w3aQ7( z4Lx?s7tJbddDio*bXzS!TOAt`)dI0C$(_Bo#RHx)(#^M_jzgT>@-`{|=%Yi|MzjrV zhpyN1ce?rhDsQjepVpq@e_r_Ri2Y81f3vm>MVf{D2>Z5qG<$6}gn;nTgr@>SdqyS* zeiwAYAZuux=P6onIL-p7(nDGxZ#`YRhVZrEkf(wf<}h-i30e6NX$8FbiA+&^$UM_% zsS?bagsiC6u!6kh)JceoN4mgWqPLYMhgmaaBk^5Lbg3$l+;Rj=cS?nLRf}MS0MTAH zs{CrBg-LZRF3iyYc$3p`#HTY(Zy7^!s&DP1Agbx{XPcoNiFu_c@t{5KUQVlc3(7T< zAzsYOe|mw%)9hJ^KdQdpcRngk^w2{&R2ypAa>*cRyy={u!J~Kam|JD7E>1*S01sAn4Ya z{(nH5+Tv2Mx?Ob@6Pxd9sO`7RvIL!uBsNGSTdcCjIw7a9lEVKG`Xv_&@NLzpN3a`* ze&fjec{fbbPQiQP3SYr#{~w!HxHy+*AO8f8rEA1;OGAZe{-hprH#Zet&bs6Wz?Cq! zXV&<0b1Ru;w?MFktE`w4A=;V&_KcrJYD^%6i;_z!J^Pq27-S_I5u?55+x{OSTn^w! zd{BZ0-T`rz8=aQy>tsB%Ha(7?fz{r-sYn{V_VDSl?b{K9CJygsObJ=mxc{CfIOYU* z%K(x1A1nM{bzh4X{!7{OTo_$nP?5}~z>L4CL}JQ>wd=jBdC$f5px?sMSG;W+JvPH3 zzPeG`bHIp&b?hG)MCl`gYC*sPwcKDTt8`LsjQY&1qd^81${W+<4BVJoY&GaejYb1E zhTAFwI%HfJm&n#0*&~C?Vqx$kflrBLI(p3#U}KQEsHLs93mK--VX1L&R`>Y{WU#K% zi|b9pk959DQTLpvY|AN&jtLxR*zuuWMW%j7a3nWd7viBN%8UiXf0SHSfNTWcwOR&o z(_+?Y3&lQW19*a=%@qQ4G0k7wWnCCg-nTPZ~slvP;g5>C1F z0qjY>n7!=}KxEIU_WkxvtO3Bt8--m6O!q}kl$qK7aUR*#a^o9qaz)j>aB805HnV`L zZ!b3&`+L>g9IQtf4ZTLqXE0pN9@n)BFaJqy{(_H%498OFCl&hAu)QlXt-m`lX{z*{ z8|8|C>tZLKRbY+hxVBDH+j=X1iokzfpJ>BAXAt!1rg0K*Kd+nLH_UEv`(sk`KLwF6)T2fgX*bTU*0@_PRN$~DxN?nJmL8U-L2nd} z_Uw80bVf4>Y_4yiaYBMisHA9kMe7+pIoqZ$OGoG5#|JEcJSov;gb<|0LZ=0dyR}>= z7SEw|5C}{_fr7P2#QTPvmqB>d!QLrpZAU0G!#D`S`J=aW02`Wn$Epa@wd+dvq80MR zycZizlc+7p(M1eQNKv6}SuGxvCNcDpwi!2_liuwmaoNL`x>{&-uK}j}Bv?M3hnKAb z8m&jYEo}`RzoZ_oe@Jh7y)v7;SrJY;94$t}spH8(Y5|9Q;{KU{D4H|z?Idg~`vThf zcx1NsI;T}=;uYpw&dmFLuV?;A<$t4%_ca5M4FB_{h|kOYP{ilub}SA_+{nzoUu1pU ze)qn|fW`M%$RmY`2kAqB)Z@BMc%sPADv*pP;EOdiG6?*~|F{gS0Bx^n%!FWYJ4q`7 z$aP#POKf0#Dv+L&GFkC4yRr^~-Q@#?q>NG^Z_Z*I=3H*w89z1|k$kAkI$jVAMF&~U zA}8U?OHf?J&leYBx1S$0YeCI7le;2-2cf(^xe>RvcR{v9Kxi#<0aQ4jORshD4(YY{ zK!5yl*qMfDl;{S#VXW)t0{(0D=Z2wVP;?Dw8wmJ{VglOt)0?nL)TORt6I+c?3<1Hd`?ZrO9R)K?YJT7h+eB=$NVwE8 z{t9n9l-osywQllN{3tHj9=ZoN@Ikb!5))C?2pyDj+Ym z8Os9Pa$Rk>fM-b;lhNB}qUzrHKNWH$NPO!Ujwm-M`c%az=aa{A-M_>sGwT42uD9x! zzt{X;-T`W<3i*$n^t)*dP< ze!J%w@mO% zpI?<>w!Y7${&s9i{PBJF=cVKiQxWh~3@_T14(~cJ0BL59u|oOpv=yREhgWbr)ItVL zbcIQQ7+th{;1dgWL9RdaP@?fa{N^uE*s05UPfNv+4#Q`)JfSM9MR#uWcLlNFL?ue( zo6je@8fu`*3n-b^7;}K0>K5ESCfSo^L8;2US01{ua4xB6S}Ut!{Ler=J8$g=uEXrk zJ_Re(VJ}rg-?5SmVv0(Y_>uI_BsutPsU6y_6}Cp!;g?Q0;bM}&)L8TGs%V>O1-$TJ zzafXGN*M25nN>UIqLXuGnq^yg3zBo`krfl|&vf3`PVdjKahltGIZQAFd@v}JoFNKL z0__!r27&g5V!c3XGqFY>xn4FctynIT93pVkNIcfu@4g>s7|1ZCOSEFnfM0j3A?ihH zDBn2Qi61t~h>EPYJt|g_Llda}m3ffs}A-4@PeJU-Hf2k#iiw zu*N4*m*BG~kzGJE1?>VXQVZcJ%JShb2*PYhq`s&sMcr!euk7`AHw&iaTh!r5HiDTI z_qP5NXDz8D3BAJ2@{ECdL0bLAo^m(XKT*&9Ug$UWJ`zH4Ca$2D_Zo{oVm_Gvt7{#9 zdD~fIevDMA=-Uki{ek{~aL39FvKUA7&NNmBGmadtUp(S;Qa67Uwd-Z+yD6sq@; zf=`f(l|uKiLg%b)I}a549q7IC;{?D#L-OwJ?;kuaC&ti-E00fEymTxUOBzJ`R~N38 z@On>vJ4~(}Jmyq!6s(r;L$rqrjTm_Sk4>yAmv~87n$cWg>YVDPuwAlL((!M~hC+pJT-4m%lnH zDYRn!Oxj$zDU4mXwF+Il=d>+gTD4t%HZRj;b*~JEmfBN6Q|6HRr-E_b!pO-b$Dopu z`>K^U4mY#I_{-K~23!*K?23CAx9y-bF<@)c{f=#3ill*gKafx4cWS)T?dxmaj`$y) zcV5r?P^|(x;bRl?)0H#ay8A_A+Hl_it;ZR#BGN%Sqlck3YSFNoZq_Ke1t%G@W9Y+1 zaM&#Pj-$5l=0%7lY!AxBwlI<5P=$??Qx29ev6MOd5(?eqtV03zuqvEoBqLq%G4FX z%eIBe>VqGUSt2FF%u*>tTLa}$*VhowgLih0R;wd4u6eJ4cvjAk~qO}OjrDkgA zyzjYACSy!x+jQSNk)DN_rtbrj&Z)UUiXWkz<}`rsonQMV}I^Qp|(1w zp9C-mm@l_1EQYL#?{u;b;7JqVU3>s9S&;=F_fXYlZ?dijJFThmP89BMMfv3#B0Qfa z6)kTIX@N7f*yAMl=_7O%XIMzVp<_jS5rW0rKL7A@LpaMwMqrEELMDnTE(lhqsXnoH z%~B3G_M`6n$E}?VDmu%82nNdxqPuRj5M zKo8uFNht%8woG#l@K3U6Du|&l!}CoQ#F3mKm!uC=hLq^Sho4AkC~PvtN)UG)Y9&YT z8vMa03fyz5vHoK??U%ppJiGq*c>YS0zSUP|g#gD)&@V^>@d<;_Pf2Y|U5HZ6DI}&N zhEU*SLAIFp+(iKW-@K z%G0DVl0l~1)37}#|2aq63h@eU&sgVzb+pD*8YHd^sk$CO6G|x;aa#y zxzN=V%b-$ND$12S91?F_zfNJwg#ie42uAKqW2}z41T_9J<|s|!QvDpL-^ToprCws= zrCRU=@UxXqoQ`WAB3i0S*u4xg6sa)!L9(^l@!o5Y$mvZ5#3-3DIVaYCfl@oo61gk; zIgfw34-ImFMXX+QciIuw6*qzb#G$i;1m+0d6=)&BH|Y8UYu;qq$`i3y;xWWvGWF5L zRq4;>EXGB#&@fqv*Em z@X#xtjl9eFx-LSYO82lwTK+kpFfBc@X^}@e2sa#w7g{?-bAImSHL{)JD!=5Pxuxms z-h!K(e$9ISEP6~Zm`bG-yZHeC8si|u&tkpOEo^kl?Y?{YfIt$ciE-gnbFz%RJ~uuWk&3X*Z*rJUBEAR=*jD6DCJ0U=KlP_@0trX znz7m?Fd;fv{jnzWl=1B~^8mEja{J@uFiyhOgm3pq>#WD7?_-QonO{Powy~&&aE#sJ z4MEY0?ReB**A{6$Au8()k~(7crm#t_c9GcR*M<&mpbTW7OS*&Eg^@;7g(vIwEgeMn z!%L;enofwtaR9g8gYrubo8;vc%EsMbbM<@>f2pnQoRb@iZvs_{Rz~mh{RRh+c-45-S81jSd7ZzJT894E9 zoC^UB&&(!E-^>12Tpi~vb99QIG_uti)T^c4NptAFj!Wi^bL-TWF3^R`XX~=344k|O z$+B^Nm|lbb9=C&%dy@%metZl0ID*^hrl*7;;)n=?h2%Tm6f**-U0uztJD(hD0&}4y z>=X8;ev?x!E?;Fi9I?(9S8v+0iLsj?D3cP$<8VYrGUEg%=lmWB7tWI)CShB^kXC?0 zl!is1HHs7$j!@4!{(RkfeqZACsr0+zDR$h}7UQt2Zr^&WY<$)^|Mc5Bu7s?gW4j`U zA{MSRgqM*%IQ!ktpjS=6;G z{5$7|D4lxX>qUA|@o@E4(n?bJjBW73C3Ij2K`zl~d46iI>`||JTy@-IuH@LQm*|s3 z6Q_uh^7=R}I}Nq2WL*EeG2%gDmD*ua_z?RVt9@#3vSYdJIU$QoJyXc5yRHb6c3)aQ zC$^2xx4)B&$b7Xdt0c84jOISNFN^F3vL}$o4M-Di9KviG+e2qmzL=@M_W4WG>ioBX zlec7pAQYy-_Gmq^PJr(;YSd8w?y!r}X8p*hy2p9G3TQCAY)eYbaAYqV(qO}dee@C# zm3r2PJIT{=4}_EQ@9u_z90%czR)jOdZ#HeSF_ikmt)Mk z0iD#TV~7Lman3iwJY|X^Me3zp^cA#Lp2nWAq&g!MPX(#bh!pN=s-R6Egjq05U3cd9 zudz|{&!W!HDelDhEa)ew3@VHUWO^kUt@lrAXjER?BcSiB<*oQ4@DN^y(9nvHO!olo z4#*`0zOw9N+QbBaJKq&*CIkb@bvQ^Co(3KcB1pqpr!Y`iE9#V=Dp@4DwHT4lawV%4 z5|viz2M*vpf3nuu5Niv#yABV0+z?TWA>L5srl@29qk3pH29pNBkS2IS0SNZR1(c*H zsC&eAPUn=b&x|6BW5$hYj^yI;Gl$fp`>h3@KEd~v8LpmCs4&!QNu=M)W5Y4-2a^jD z8};p(NJKGq!)0%bs(Z+@=l9DTPZ&_&+q$jfJKp}aavV#k!-eBXZNQNbXFtZ$zAf?S z!0BgMad$maV0Y^aJ*eXImuEJThH7l6<|0iSTunb2EO^FCb8w5#o~U_v0zIy@9LqrP|EHM|Q0{aL=MLdMNI(NYa3q^#AF zk_~A7Y!l?b#ekJP$clWBLThKg)x~jFtARd&le}zsCpNj(0o6sKC-*N>W5XaQt<~j$nT(x=;t>Iq-w^lOm#=>iTj0n zKV2M4M^PqNY87k`@q0s1;t$EC6}9c2Y*m9qP%fG5n+jyL?N+X+)50qcoA(zq#`@YJ z(&|e3ogt}T{`PjbPTGUG8(F>nWGSZi7y%nUVQD$wyPSdT*TO+QhyI7SZ8Z zWU36T`m8f5fsPwvh~p+W(p!|QP0XVtZEr$6+cHx`rb9pp^UaGXKa^I7pNgxR1Q$V} z;-TM=P(KerC{z;Hw+rjEqGI@+62fcl4H_U*#1lbG}}G8FuU@lk>vPk5&2FWx6uyCSRhfM*vU93QBJ*t|h=q>-Ywf{UJp$j2K{_~SV(*|Ojpxwb41quKA<%{Oeh#YNF?wFLdT`y1~^7wlB zC%v4!SV;VMQS5i+6A5qj2Z{)*kod*~=c&Ud%C7nf1J^bY!uL8qYNGibw@-LhTZ>@@ zSB|O0Ggk87Xzb?1#=N{Jg#{)Rx|lUH+wdpVc2t=ZO*A+A8$Vj{0`D{ovlOt^yR9eJaQ1FWZE#`8)-QsYH;)De=o#c ze;U2I6nN-)dtIMYV|Mj?RjhAA;jz7m$%Hs@pDXh{O(OZQIKnVTKt4ZlITM(dR+Y(W z#h>V23B22Fa-(R#FEK=xYeD!ak3s_RjFzh=V`tj2PGikoyNKWSe0dGIv<=6q)Wo6A za~iD}=?iAzO?5n@xt)o!l3~4|mEFG>Jxc`H)JMm3vzQv8!wHcQ$VghYr9a{!>HeC? z%%DTAk}p=I>PHH=q_vS+wrT;Weg@-0iE+@%YD|8qTbhI?32Wv+)*%0Iy!77w4ke6J z>YDx@$^sVJd5^?5f@}l1N~qC)x4Vs+&=6fz8~Cpx9WK(X!m$Vo z8s_^t3Fk8~3)1RW&omtDAF4<%k6*g^>p41j7Cn|XG&X!t9@4F!zNFJC24v=$)WG7$ zi0b}ck_g{>kO{k!^(l_?sClMq7{Juhnq;DrwQ_pRJv&48TN3i$qZ(F60fT&dR>sLR z!MZR8`ZvGo-katn);C5QGU$;=7MV7@ z{D(#`JR*rmz_4dYlcU^|_Qjz>g@YTUKoTnj=XrYYqfEdm5Z~EHMzjY~iH+ zMj4Y{9zk-xMAAZCd~EN#f?eO9a9&gZw-MvA{gf_R6b);$%0wyXbM57~nfO=#-$b1CFU|a;=(Oiw!>W}#vv_0ja_IRI- zd>tstbsN^{B2jx2auYr-@*Qe3AXUyB(C0#T5sTfq$8L{*1g+E)H;~eD+TlN-DNeqf ztAt`V$3)S=8~6O;@FQ1Y#g9Zr7MR#28GdvUQ)Kh zR1Ng8H_-7-vy29;neoH>fdN3c8f%t3G>jy@kt-mpxI-ub)4zMESSa{fspw%v z_JvVDYna)iB5K^D;4Bv`$>e|+O!HEU@Hq8o#M&cxW@nb0SGHq`Vw~(p`5#at>bx=5F25i>%)JHRG{tr zlydldCJJvT>tga7ms9>R(KACIgg;D}NujK3@TX!d(iS38fmmDHfPE$HHF(et!gjp< zj(Evd!l5i_bFqn;+)d#Ne(mn7BxLLkjHGxp7?Zm#Lrp8ivzB?h{j6BNjJ_XA+M9=~ z&a87lBH3Ix*_mOM?`5bmcdmQN&MWS3>QTp;_2mv&YJ`=xoDBv45M@ifQrPh7ymwGt zw5UfvA0J6U5u>}IhuJHqfhoiLdcgPk_MK435MGrzxVi5idTJ<0$MrQi4QU-WqgkUt z>-NNFc5G|%pleL3%2;%%uqBIGO6-NYphF-f6IYX{PCUjkEIwCs5vj%wM@e-#%!LFN zJ}4RbETbdG9#Kw}$s-0&+C~{*k%voI?4=>p$WnnC*qE#h@v5s8Qozs_O->A^4&Ooc zN-Fi3%6p?iNGr(SquR#A8dN*I@^M|A={MmT@Qf7@c)V^ zX@T-=peKZ7>If!dU$wVoCH#OhBXhr0PjB7h^~^{RKRj)?rEA#=bA(D4xFq8(!seIP zF#ezNf#GA?`m(=Pfm}ntbS9^zYww7N%V=PV<)-$vF#DTI2xhNn&r0oVt^;uqP}%i~ zJ42=IEHf6O^G7iQ-h|va3?f>2KhUEXs{oD;Ft#lwQb)23_^)UH;B?|s4lzbiUq6t? zJOZ4gNP>m{^s_b7fS=1_&qu;~{kzUYMms?flY=H#g`_$(TA94p&`OS5p0+@Oj7vGm zWhjMG!5EQPUIgi-EY`@T&46{O9-ut=t2K>sLEihLe->i4Y^Z<~&*%@SpQ=JW7i^C4 zYS6N)>hTVEc}q%zR3z>xV3<-K){VvvYz!;zOg^#o%GuQ&SxuUZvx-C1b#ve5jPTp) zaX#QujSOoxV;1)=wGw;`#on)>eQ9DTV(E)z45fvT`Jln-)5~D>kCZL2c%<|G5Ak)@ z8aNdiVXofGEstnJ9YYaVe1g(>e}}0}V=WMcrFQ!ktzgJ(PZ}(-I7jhBs8~PMj4(1G;fY?du=A~TEJ685w<*EFS!>m7+&_XjW>y*J@fpMaL8xU;@PK5& z4Rge?Tf!(qfQCYYzyL;KXz2wt3yz#b|_wX``Qxwm-dLiPX$VhB|$8jb_txGwTn0xY1_wLjTnXQs_WI~qO-o5RM*L^ z&nH?+AP<^;!z%_XVM6_usJ+i@FMj~%qbLhOL+9_O#$w+Ap3a7+Okhp;>2uBS;}2Ii z6&iD!a=D*^wJ_uwf6=cWnAIl7(3lp(z~6+Ru_&jzKSz8rYWkT^mLtq-k9cw~`(A~O z-xUPZ4&qf102-eJjE&l=sS)OhQRmb!Xg(x3F1%)mUbDjJw% z_3q3)%BRrwoMV!o7=B@*1i`6E8K|N$f5{PY;(U^%yTH=To~fI->d&El%yiLRLnv8V zdQVV1hcTQAy`Z1oTywvhW%4HFMr<_Nbei%u{_3hzw(2gseWrZJ4_zpwvV4oZnD`lV zFijJ#D`P-mnNn@nkV3lbPBR1tJSW#EcI7=fnq&DdG?Q@THnCV>>c8{ebrk3Cm+H=7 z?87^BONLbgq9558sADT-vB12LpUKXC-nBOi^&{OKn}3a1j9?cag=c29q<>=-j4;^- zPk0%ZtL@p_pDPvwb#zx_s54>RzLOsgWOPAr2p_AWeV=nAn&F%!H*7@Xo|~AxiXiGO zq$N%^de}9t@!Uo1cO3Y}Zk~>W717NqxW8k!EVfp;gt%k6xcA;P@o!%egb{8&)GVF-JckTgFhzKTG&894%=&6C}iL+#|uI-D2lF zjr_%pn*Fi*$`Wv4=v2ylJSF3Bi`IG$yT-w;qWkJEteR7d~bxDqD1PEU)L} zBOhE5fj3w0JiKq&%-+h@_B)s(UoF z<-~S>2$t;;ewB0U<1#ElVuGUuT5xR%fu{QM_H?~XuFn&u&)V)+<7%(TN-n{nXIBK?kk*ScJ!G+BH~}Qe{>Pp< z1dt16v&QInzbKi^hPEFF^3#)$o;6#cKeqrGHCP2K?!Bz`NIAx}YF-k!YS{EcNopy! z%u6LA`D@r@ITpvLL5kXiuwss8W2$@7X}N0EnkNf(y$(}=G>ab*No&d$O-5R#y@J-a ze%X)W1vL*Azu?A`{oQVJ#6n?Jh_tcCmp1(IdcIpi(o0KotMFr@CR$iTwWC(PS ztv$?HH3Iuu#?rltyB{LH_sF`r?iANNPpml3MIk`bJ^7h5MHT+&dQ%iVN*>MKB8;S3 z7}+6?C%;FoLk1fhWP&)JKT@g9V)V}4cIYv(Ct}j6&bAo2@dx9JuxXP>OY8%DZW!_! zqI>WvWGaa#^-3#D3XaF;HPV4Di7?h+G4Gc|SQ~YS(Gk`OH;cucr}Bv$L5(U3z0alDZ3vxe zK927x=6FI!jUVHR`?bAu#3y)cx{XpBxWvqVYJ`a>Kg-g^rCgQX4qWy2j&a>`^q^>m zFddG54yGdg&R!GFHM7(mUAWa_DFQxH-CpBQf+5PJx1ur#mj8RE>O=+a7?0w*O17TV zERw?5pju1weyFnclj@)a=MR`(F!3jbTXBorK%H+Ie(-F=z88@gQQ!d zIx_ond%@t36S?BM+a_0F^$4vjAz-^s)<~q|3JT9AM%7jgdr@M&xYtnpWPc z)IThEu~C}+v1sV;?@1p-RyT*IZl8k28DOeYUM>31D2vzZxZzI;xkABs7jumOiYiSMp+?gl?>N9hu;$&>l4v&@G zAhxS$_>59eE`!B`1_~H)P)0h_Rj~=3hE!e=o=tWG?>C} z5xL2kaO4ma!PfxzhB&oR0!^IF1sGYVZAh)a=(3!7{GrI`%a8F3VfdJT5H%Cy$*+4P z)CNH3T{~tmOZ*iscu~5mEmXJfHHXiN$XTSeQbou?HCd0aA^IR@v~5CtH6l{d6eChb zWov&l?8#39sz={?3t;kn1J@oN4o22i^VzbUf(d3FYpIVzRsVPgu`iXzNu0xS+7pJJ z{(^AF{VL?NK!80x8o;s4U=c^ZSfjKh*Zgr=G+h*Cc8u6Yqdt#_1Z1L+cA_w$RAC{Bj zk@0~Vh9WH+si)?&%oB9gzr#pmlzbgEyyEvW838ime;2_XZ-E;4U@}xxSL>!7;<7Q> zXaxT~Cp-RZXMQMd(@z{<$e^>2y38rVFl}Idbqmr?bU)L8s>!o~`b9UH&Xs=*`C2JpusY!EJa}DRPJzK-Hy-z~y}!q+ws5`w?4-dN+>zwG)eIhK zF&7-vCe))Q?(|?>ApOE;1x&>!lwrq;Y8dNhq8YvRE+)709ECludcwr7kp2B&I(FCw z20i&aihp5Ll{8zi`c%KNWprRi`^R495(;og6Cn~&SN@VjI8*HP55%#bNtE0oPI+x| z5(WIXN!RJVA7bYjd!ssd6uNW<$ zsCpwau8Tu1wzI2vA$JxE`k`P_7#sQ?ZG1MrR2ul)ox>Ef`y15ewxU##4=yix$nRRT^>IYCv-aV<~xEA+f-C zGO1|gHLg%fWvyCX3g*Np7&LYXqgPWf`|iwdTr0R9BG6!?j=UGeSZ2x_Hh1z2)x_uU z7G{y`p;Ig2f+TaQ1pIu@W}cuIhv%xY9!@!!b1CR`ybDpU;gocYX3Hi^f3o|$NxAJ8 zY5@f)z!CK0bW~e-*sE-E*rnm7F-;~~ z9>)K#l~!q741vF&NOPC1d2H}StK~$wf9eDXQEoQ4^b9!-4S1X23ouLa?3C{WpW#9nR-5FaJp|tL*@@Z*fg<5+XBnMvV_+@9#6Df`5Z1e-Mvu&e82b2tBTWAyq-@MkZFypH}-BU0)(0pGYldjk{x zV>12q>Vm~i2?b<=ujKjAlIXE(L7}Sz`sY)YRF_CIlk9HjF@3h%X>LI<<9@QyIxk5K z^I*)f231^bYCR&`wfCh8!g`d+*W?jKhGue6SRBLC_aZ_8$0XWN$J?I6jdds+yRCy| zd%8!{_pSib=QfiBrU)pSfdq341Z|eB#Yqqg_+Q(ou>|!%&=NQ#hi)BE8IArJasZ2n zSqF12#F97k{nFkJIqD7odhg(ujV4V7CPfj8i2ReJF}pblE2S&|+t3+uBOHz~I+Zp@ z7mYbJN3^JgRJohMgI26~ENQJD4W#Y}m+lvy_a4i5T>P##O+)o7DTj(FRkICSkZ#MC zhXO3+Co8xSlwi3g>o&m-c>F>Csz`zDyxV@p`GuD*dVLf3Q{)b>Z^aV-B&c$ty%)cZ zc4OWyNVxIzkrt#&Z|~P2i@unCU`+muZW0wv!t6L+pUEf_gV&>UiIYkcAT}05}d;30ChmJ2ueKCWk2X*LMP^C`3fInlfrhu&r+mk3xeA z(tUSGu{a9T&7-f5m`@vCLatYbf9Xcj+XFT!r&Wlv5|5CR*c}tP zRv>mL=h_lTpP;{xn#K&s9nL3Vz5lkYZC9Xn`dBwQVDr^|{Lu+`bM*ac{>o)(?2_V@ zUf`7+)M1zk$ZA`BFF~8~COcn(qEwxa`O5=|^M|;2s*R)kQNUNS^fI@Hn&Ox~b&;1H zQ-+kA)VS5L(OvW0Bjy73*lJNn*Rs(;!3*Ppz7|tRsc^|NBYDc1I|prb4?x=(dlGVh zquz^mJ}+Zy(deM>_919{UzTX5d5-syYU9sb(%$I1r?DO+ZA|#nw@_s_bK1~PT^k!Y zg-AV^QDOMVyGV;oBiBN@;4|u3=--La`453!O5W|S(^Y`yL2~lA-2~wJ9*Cl}NL2Ns zM|ME|4$CTAt+e5fK%3MZ9!76<{)ow}VaOi~oi;VqgOpjJu9a$uik7`4A0Jm^g5Rs=$es%6bPUKO;z z(QJ{zFyCw%8$4QmvYZ<#?>padV&bJqGJ2G=pla2MSn09ZDOdbFH*`Xf)dp{c8x1(v zE?(*mV+fMT3xJ$Un3M^LfdJM6Nl#>xxEhKQrn0V)hKz80F)D*E9ktI57YZgyk3ZHE zAF9igTocffvu%1MF|ip+q|7-c^u6VmdUBO{G&SP@!53?)$T%LE`a|EGWx$|h_BR1= zBbBc%Ym=JKZ@WFRrx*655bNSyz57FsEc>eS=?4}%zGGWEI>9x`^ou(XFP;4=CjuxK z4G2^8E=22Qe^>Z94(#S{^?lZNYVJW66LG_lQ*vcYRAs{!QLr)@4C2{dm|%o?;j_jf z=4S1}OEaJqNQsvk@^r+O))Py-?1^5}tSL?VT%b-PsyJHLrgv;dXf%M>+=diIX%RZB zMZuW7NbtdEcOO06Qa+bSxFllKu6b;U-1>7{7Tp1X77k*o2h}4&J^-d(ct}4tf4w(Pox@Muf|u)`c4B#&ue=?C?y2$3gP5 zIudc1(|annuICTx)Bn{AzwuDEh*J!+tC3_a3DFyvjIrEeGT_{B2~uJZez`bxQCnnq z|8#}kj6LBH+?-qUF4kE)k8}NcTJHENoW_rGMS^iHz#8K&c0Z`6LbNrvQzmmpI!^87 zk$Q0vo>xZ4J>Z7Em)<=xMCnrQZ?$zeO-B3zrT3nUTyT;=5uuq# zK+p*P(p4Hi%@b2zoDseqT+;8Cq zl-U$#BFCwah5^t{5YB8wVqDw9wj!`_yN+ostB*pwp1fl}D@pdr`MQ8c zk0H~Ow!re!240C`G$~ik1P#*In)}tp-pCMm9>g?d6c2HqTQSO0{@_~1alcvnKnEv& zvR8WQM1|*48^)ga2+c8?@@h*N`dr!aIbNEhCNSzw4%R<*UrtaxJ<&;}wc-O9q3FD% z9@$ymEE<7az*?6}_4DCkoLN9(x?G&HtPgOt2mp5Jy8d1klXxloK0o%K)yfXs1$hz8 zQ&VDDz-b%(&;*^t5k5S`C-J69ih(TcCX{X-LnUm=0sWncZ!$5))0ZEu%_G$!;-@?z zxMpSM;H9W^gipf#A}vT?f&#*1QzMm3Mt@%z2$N(Tjma8pu?;LaxjhwFQL>r_!qSoO6l5d#ebNAhY|3Ay%>7!1nh|b}1~S~| zM#pJ+L9kT(xS-CgeK#H-vG^agdsgbI3xQo{OK`<_p$gQbQtDD$8V5v@lP=uBht&M6 z!ru(D)0q6+CT?iJ_by>mw(pz0r=kDXC6mlekYCQK3QO_vk3W0) zV(?GJhVQ6CUuvd52In4Pd1Q}J!`w%(=+k&7^z0GTnv6KP;!CAOQ<$2J%w-W=ocsK- zpCn)LE=3)PCRLWOCWhq^52#%won7gr(%2%UT$9`-Q8UF<|E=3;4_0(H_4I$93oGT( zNnKo&{gtU?jkIg);Fbf{`{U^k$=4ZDyy13qA&1L?X*rk?W16DJvOL6! zGanf1{V3Vw*4ui4rmt5P*b5zxes-(Las9rM4*ilFJy@v#gcmhJ>SF4ch zDk(uJaQt*+&TNI+K}m>PPu>zk z%V`bD?+xYuqUT|ozCVgb6q6*m_^RfX3dqwUipsvvxCjUSQGNP%&FZJ~Z?ewI0T_b& zo>ZnIP)!!lSJ!swm8HUz3UYwmt11(P4tfft%~(QW6?CWF2}WWadOU(7piSi9$lQ@C*zZA&`gCc9;&;Pvs8EV~vkWzPS0K>Fmm#A*bIXcc?0;r-oxm+?Jd z`Q3Sf(q%NTRwm|!u!K+`X+m-Lk4;66GkGFX17+jFK2jVZ3k?|P5-AWbhqgc!TMEg+ z5kQ9!)NkGBk!46EyDuF)O55=dK1Fqjxyea-V9>-!T&`*{ykeHwGFy(9qcj5YnVz+)5!AX`DwVBZ={49gx_YYY$3V5#_7}+;G;5ILjQ+G^3Vi zXbrhsb}+{u5EwlVzxy@@i#z^D)SgbNCRa?KmNplioAG{J)fK=vOI(PJBg6J1^z^e2 z9YDIK7!ah$QIDQdyp`SJYfG9+=JPG(H(HIW<*3Xu7sOt+^(RY}Ab3xt9M$HLk}j)Y z>kni(hNysu3$iL1jZ@$VQDb5S4~Cc}FTyCW$w-|=*-?_&E#6y~`kHM)*OAz#a7(zj z!fzn|4AE|yQU-A08(;6O)&(Ai^T9MHS?`^(h}Q-^m)cmCwxF97y0CuSc~2Ga+zl6) z-1pJBrX&0v@{I$|o>boBk((fMcnttf-7J8)V1T+KBhJV0dX*G}{PiSc@b|CUrmoSS z@msp6ZeOMkys4~kBHoUSKVRZ{wN_1Y3!aYvy#aPQwSAFrb`m@W_-i98QC6IAwzw&Re zHJyIS_4(_ItBdXfq>$Ho)u5NO><8M!TgsbA(Q9rYODM*JUNtuczJ&8lf0;ysz7x&~ zbc<1Kt*MyUGqh7a%O)E;e3E})b+{_Kc74c(G*FplTR@}?vFrmV6|Z?V2i{GL4;4!) zJO+v3Y7+@Ol0@jo#}78M)K&JLa?AK^1zr5>e)qcX8Dn zId=lai&y#Xu3;~NZ2eAA1CL6mb)h3`kh!lc9M!lC1DrqM~4 zckI~*pr{gx&3^hW95Iyzb>6psIO?Luy_NT_IqylIYt}$do>Pi_Qf}gq70E zN`z6goi_a`Xf?u29!;G0I9o?-={^Em$!$B-2Bz(1O2{1yMfnTe?OKtYwUU;@qIPyvb> zKUxL8J)~#>j~B+hrH8%6|JN8(Y(sQ1@38OgOpLYd%c+M?jP#1QM?bHC096poVj@Bg zwEo4F#^}f+(&>j%vBGUW*$(DRKmN@WXtfeWOYR&f_~pGNl5*HfPaKTVhGUn8Mv!4< zQr~vn+q6SBqYhz%^vqK6xUcjCHjf~YODh=)eU z#b}psC0~nWY?EQHl@D;spSU;gI7>rry!{>%@L-rF>biRTm51q~7N(&fH ztvn%X*+DfaZ;SEsiT2AD1XRBeAG{AXlqB2ObW&{46Kx#));_(YmE&af4#gY&;bYxQ zbUMZUw|JrOl&gqZD{=<)ux~`KC~S&weHDO-JD@u)@EZGf`X2}?Uhf~PgYe^N{@1?2 z(Gj|7Y~UMnJ!Cr}{8LqN*HeGDeNu@w&0g|-nYq{~mL0M*Gl(3DnWiSGbbDuLmkS%% z5Zz@J)iGv$d++w`5tlhUlo>ji^dHk0Cj)yeU9fJP3wKdL5ci-6rzk2cZg=3u)*PL# zYPNgpU%E<_AsLFL4{Qw=IK9q-CS%Ly-ntdmuf=?iczO&5@lf-GEtVX>FiNBS2UMxZ~*c>KP7aXFgH1 z>-OoA0;y++H|%i-T$gvA&(&PoUTImKFPv|1H1Ou53T~nzHZa9rxd;4#KY5yIxcym) zecWz)5a1rKhU(yr0b_9?b_D+?piO9ib*4pUlCrvl=ygBnaU{D#`-hO^QS$x^liR+d z>es)(TKi-n>|au2*{*zgu!Pz_YjWk8T&57R!gB;kE(<2cVqBU*S5)j?0rQQ>^Dj-fk*fx_hAv@LMWu$?%9C(~Tk$uI3?E>3$?|1f8qX4` zn9yRTt!@&qsT=c^*on}tzZ|7YISS{GcfF!{AM>r$!f#QyZ%iC2c$t;LthcETy_RUq za?d;ND8Ir{9c@D@NrP_4Iyd>U_ZTlC^vP!_wblQQQa9p55DCR2H;4FeE}Q_lhV0;O zAPQ0rtLP-2Ayl?zvJxU+%YUQMqdWbre7pz@2(PJSwC(?@1F~DNeJ$p0iTLFwm#ZDR zZ$2D9hPHV-DS?>Scsv7AYi~|$c;=S==HdkP5et~3Ja}F@6mnq{daX`a1NCN)75f<| zuvJjZT0T3(Jsi5bUXNae4Ph7)iIapTW(jv)2v0u63aHs*6G(SiH<^l`ivu7^$zWJ- z5@t@8SO;`_bZa$s6)>dlPYW6fcJNNISw5|(+q_IPXG_Zi>mg3?+wUOzvtJ1s3J9H- z>UsP=mN`o=f_;C^ZNW6eTBw&G<{qpR?7#;qt6<^t1Sq#4 zj(G9-FHuwvvOKxvmYaR^{T--kBBzvK%I}%49#RzNQsTdCmE^zOk8!m3pEHsVt?3+o=aZEs)+(F!*J0MJuzt<)SeT2%!OmnT(3vwpDGvjgwk86c^T=EJ zs*>Q?yrazBAs_dH+IK~}RMuMEN1*wMA>QvjW-i@K{f5%1gOSC)_SX z<07p%qrD!;K@ILID(0XIA_c<@3DC9tf*0x9CTW3KCf0%g52OqeQ^p8x{0vS6A%=si z`kP9pjt(*N=RJ}-CEgb^GfnqKhYP@dz}R9~&5j_YRAQd7zW|(u_bkE0BbDbLl>1CD zp&`KgQ?Rs&aD&)@(WLW5^xE|2dY2?H!BCZU$4GiGo*G&F(u zA=&iGh>MxN3@ed6%OlxKCTrjN;)@|QA%9K%?XOB4SY?I1zoSi#5_j<{-t_e6z9Hfq z&9Zu&;}xW%)i|n07d%>xqk42fu-cQv8hlwDJJ9NC9Di~bG&Y#wvK`f<3+|4U?NY@6 zzZK_kOX18lv#kMLp9_IUt9H2%xK(N!W~BgQYrc%AN54oT>1Wv+mC#CZRFxHvzWSiL zst-cT%1LEEZK;(!Ho5`skhDLLHh)0x6Plw%*A3GPx zCf6}rJ22-AuO?^sBfs^%-}RjlYUumY+EN45aVXp7D5wUk-Nc~)V7guzA6VF_Y)jFx zPOJ=y45(PO<|o_ILah$Sh>Ud3FqF!cV!DGM4q+<6TUuM^Y)gZK9n5MiTqWDm4RD5% zGem+@#<1p7XImO%?SK*~Dl)!xWlQ(Y*1lQthhk1ONwQ30oA?3YZqV5PzC|LZN|K?5 zOM<19q&BeR?A~v_cm=B2uXYIQxF(q57`{|%R=20?kkW+Y0h75~6qS%(mb0@RU(VhE zh*OfPgDhxLNpIs$gUl+C)NlWRW-?64N%%Z!SkqA1lQR+m$kam~ou z)P=0mR1}^5q^qtQ>`>yH`xv>bYVc;pG0$L!Q!wUY;8XHt20Pq)?wQi#+oxZm9(^Rx zeWqVdRMvCgay5a=<6rn!Kk?Hrg zB?SjPGl6>uKut|8uP09GiOe34sAK@7PZmh z?0{U21M(5CfA^PtLu5{M8oOssZ8keNt{0jR-2>O4&_CPdAdL;OKTm+vSw}}L(LC4* zq+$=!5!nSnS~y>^pe=#5l#$|DkOr;@!uTVHhZTWVXirW3=W4Zs;oDoDex5@UP?#RBavPEEC@fHX{UgV`CHSs65EY6Muic*rK zv*+8h0nZ2=C43|NMhb>alGXj$g5R8yJ9r|s1i$rT&T*Wkk>a_G$c8CdW(iV>Zdvl zQ`4i4IC(UZ0@-z0~gd4 zhv%M)vUb58t6Or7n0zN;O916WS)1UlOiws;lJyJ(nnFe#s&;hlxhQK}k-xrlS`W=V zS7mLI7%_Zg@VO+&T=L?<=gj~igZg7G0wcHPi>tEsHJ1o18Lwd^k#&T}Po$k!Cb?gB zpv`Q;$2mZC!yarwK)D$|>#8q{fed0r8 z@DIkr?z2x6{Op4GOI9W7bfA{y#SKBKY8M169d!W&SMcE)L8<~53@ZV4I#oomB2~2u z0yKbnJXYMou4pDK1gWZB5KssTQemwPP91_Y%q|E9Dc5WVVK~fFQK_n3Fy_~+lnOBm zimGW4MS-Y(Jh7Ul2fb2U^QxhTht9a>^S|hm zLHlmiPAfD>DgD%I2X0j)z=T!4NW8a{FKWHHmNrT1?D>RZ*8@l(G)j?RVtxz&lgra4 z;d-ll8EBJE$=8WFkIT`YC!ABs=AG`G+S7Vn8gJV2LmKa2|DJb!&PRWA(RiBH@l1jx zd7_JBtds#SEr^ZU1UxmKuUMc}EIicE9i>5a!J|-WYCIRrP-^ozZ5NUl)OaqqU*VjL zeSt)>xIY9_Hj@)N$$&(`jm&}0`FuXazM_rWVA-Khl~k27ER%qCj{&Zvs+0jfC!i#7 z^22`9`#M!AW4m1|Z71GIi{<0{$kXiCscBp2dgL)|0BDk|ZqIU$3I-)tdjV@id1Ru# zy<20e?$&vNyC9uYEqWJ2uId_0#rfgj=y=>5Cr5K4;tu9qNuNzDE# zek-KC4B-p;Xs{`6<`kK5O3f8*UC^SK5n<4<+wXt2T@vQUgv=U<5%B=htx3=MSxEp;3L+m9hxk9lGQyr zU>v<(CvZ>IH=6dMo!2iKHgiG_o1T&@FB<+Wzi8O>7mXj&i*}B;%X4GRiw4Q;{%|wB zXgIKX(YSpAH_D}6w5Kk7)9!$FmT1%BQGjK3gvJ(RvDs%@?MWV+T$qlJT5^G8JA8(2 zbB5k5^%Zd_Q|PRFyu zGd7haxww$}Yn4sx-5_|9kactG4)$(PHnGh&KDJ44>sxoQRfN$5&rKKRrgWB;l{a!* ze6z}PzUAJt&q~W%8F}D6Z%Ua~%v2Hdxd~%(Wx*lv6)U!dm`yh@RiSr|iw-TwEgt$T zCh+M6*jG;dlsE^Df3TXFi)ARGG@qSHxUm4Nkz~ zDtVg>ET)xk!5vah;*6lfUNRzCkm|4qg6>p2%k2tN0h?>2-EcvmsKNRV!-atpLVKE5 zq{1wM+qLGS86UMPQZu?B8bX=>;))~u+GVaH@<7tup3g0Dk*|3`Ay{e<7-50V`T8fX z__dMu;@J_tZFNL(wPr?~W6TBnU3BC`eH%xeFghzK05RvQ`Pb}k6>p2amc}7q)s_$8 zZWWKrce%U8h4r9U3R>k#sP(sIhV91*6|4LlfpR53v4M6;1Q>pT66?9WzJtw~;pW~; zGsBI)aWi=8YQR(LpL*Bd`s}F3YhJ)jyJZOwtvJ?tpqn&h8XRSXcmdOh+RRN#G~7Pg z(wXuMSP}Ig$q8UIaGM)Vsi51tWw(%GGx}4F5+;%yDa*ha4J*t#QUXL#BSwytfaDId zsbnl0wy}r8Mo#CHst%avz+xNcXO*c+>0AL-oj=wsRUNGURWR4t@(~3Na@H_{t3c6J zggG4ZGjMYiFtR*F^YRRp_FwYCXMg@^H%!+lzUk)Y#UC95U+GhC} zP-V;!BDAQpwlyWr(UI+0pB|ss&UeA}X=vV|iS11JxMb11{fX^{Z*ob@#$YcFeb;5L zX{Rb7?UpE?X%2kb<0X(AitV`p7EOAIidkbb~}oWML&$ zS(}96{9wu1TB6XOyIYkB8a}opZ7ow+jy;+se8@)iPP;{|ahwCTs|nct;d*s3<=*O5%n$ z=Fr1@ji*LJb>;XzE62$imZI?yyP0?Kb7NMk)hauA_daH4@Cdb`cgfeCrLT0f23#Z~ zz0r`?e%P~}_X7b2&-;c|GVUe#)Plfz0>p zbv+QQ8~}@IP%&!aP_{Wc&=lM;?6*QVz!QV!PY1|s;N1>u1oEH5X?meQ0>zzZ(ko!C3r z3;4$l3TXcXPy(F73`n+b0t-TP2e_0L+}q#GOLf)CRcfJB@k`-%3@YG7_6y`DuTHni z-_3s6I#$ne%v-$syMN+6@BD}{=&R=~aPx%{DknN~9(a$UDeA1u2^_2|AmZJe2QG+K zSQ+qIH1`#YR+4rV9O*7v$l$8&0i+xfeS+~ zUm+$~^NKldQ3!fLHE!kD_|_Hl70XhcN`fFEt;F#38LYHjyy+@6aIS>v$%|6Al z@-SA_R-NY!)gP7%{!q#A;$sXLX@sjIV4eJewsAyqa>~aSle09%{>53%I<-crybyJ& zCjm{7>gj<((*q4;QHK$7RFjxgT_@SGW_qH}N-r}cd%(z?>H*ji6$o7uE=P51v1WRv z0dwtG48Ws`)L8qLNYL&vnf1gFmWhH$yJmW-&#)2%xaP)(5u}GTJ=XY@79gp_cL&3q zfI{>0U%_0rz}D)T@Wy(8*p!aLHv*OC69fV-IL}C7rJFF^=#HDzkRrZ$0p1eGJwKB9x5M16FsW`Yw}C!|1Z6 zP#Il#5tY%G?J)W+j+D$SN4=B=N2$2ebbf(pW~V^_dx4|G0olsKhpCug;3b{p^fc3V zdOnc&H2HEQ#!Z)l>&>uAIz1Jvas*b|;z;2Ht?~V$1_XiX_m}PX{S|i_zaN|tz%=vm z5>Ukj&a?jwrlE~yJ{gh6C&nK|CUq+Px144G;e%C#%_Y^4-MnN0(eP7SKrvBl8gk@a)7J26 zcJk8W4Mzvvyu2sqmX(TH^+bsN&?n+-1L%m{JpfM+H4%(CWOj?rz-+^`6Y{Hj;zXOL zOf09ZiGDQrb}A`dI@Q@Fd+BFitU2@vy%Jk>o+`=ojGv(o4VNd*DA>!JvnzJM&%Q#t zlSp>>a;>CTSd#=r5y%nw|{pF?a$j`n3+py$#(a&W=o&D_7-kWe7-EB)SRd?Icd(-nA zUB=%V2vmJ{uVyc@bqb|fC^-d^YRQ=-=^hF%1YRI4Q#wGrw)%j|i)fmQ=*3lD$aOat ziPsv}PdD(C)K%jYGhdXQLUr=;&mpgIa;l_zD4ic(;7MLw(_BO^u1eiqcXN?=t#SQq zE~=skbPLs9EVq*vS*Pyw@hZ+;onV8XnA9a4L#Z%_V<@S+vWcb8rWEz(J6H_eLg^ol zp6pfbNq*hWf8O%PKdg9?+ArXqq<0T&3JE>ni*4%yeH9nP5Ma*bV`qn_)NGeg;uS&6 z#1gZJzFbi0(kzA`Ev*X%t^Ed^vyC7n?NTnd|Dbur0EUb~;1*55CjoyxC zMLst!7)>Q>)QlQIzMd`^O(mFUtS)DqT0F@jS-MKrOQG(_U%P79h_;d~sgdd*sA|~Q zl)e&lfwbR8N??BW)L62U!7SC;=?S@HY61Zqsy%zYKhXq&U!nbxBsEpmEaL=Lov`;- zXXo4Qk}*%9gF$G|UfrVYL6dDrOqp+Nix4f9?-AtCbOVzC*Upl?At|1apB*$c>g^Bp z5=jTPOQMrpr^{_YhFmw*O!F|{m#dGkh_vdFIVveh>YXlAyJ6bosGimlS+Owbg=L?Y z2qQ)qnP{L#fiKWcW&hBd?GlMG`9$%f^P%A=&Izl^)Q?o@nuJxIaCzfn;wbsEe+OGg z{}p4{Y~omi8DR=5V^>32;oU{?626V>Trgt&cy5-%)@7>a1H23sM%7L zsBCK=P0Ry~Y(PKeV~?^ukO{&o9W|xH3+G?()Y}3g{lYzLKl$|Af+|8t9d4BM)K@dzbHw!=_dR(+v9)dK>MR0>+iX?Ft8*6b1L7HV3#I#LzE0Spv z?v)tP5TsdlL4YL*r-hG(GQC#xLy!?p5nQiWCx9TGyJkf(%Pxs)1jRc_>S#-moOJ$u z#4LxTl#nR7v-#O^a*0A+5~UFxKMCS`Bxq^sU2?JkSG#R75%7?1$JNYMxLSil>Yf}7 z6)Rk-6(#5D_SXM~jg3#f&;Q1r_~iTiZ|sRrdg(RWq`$C+IYE`x0JV2uf|eOqhqxK7 zZz&t7sME*>0-FYQ$;hU`q@krEAHYW_Wm5&}7B&w7Z#Bj7k?JeFgI^0V&FN8#J+K#O z)(4JSrY%n$or5B($B%vMC;j#DR>48*|&a@2A( z4x)PW@aFiKsk&A0*qEt=O}c$#%oNg3gi-j}j|NxbkhIXMf2rrf|=%l zqbt>Dp`1n6xn|a#D@z@XW7YMzp)gBVy2`|qt7-omN_yxMrBb-%I@W6v(COaKt%99kkw$E9YaHiR!K-N%{0%?wV}I!bV^zQ?#i7AZ!6cqW z*7&Q`MUJtt(So^P6wU_N*m9K#q0hx^Y`F>;WwQY`wp?S1s@VV=8yyV4##A(G+1N${ z8yXWaGUbCC%%OkHVT{T-jae1TsD;EXH)#I%B6H^Z%SAMTdH+Q+aR?<+y~Yir|Am2^ zXHi%1W+_AFP>fz_gklnzr%i!c6<`CRaS*-PA3j zr8Ra_{g|_ck3h!p|M@*{J0C9udKVi9IMlr-YVkb4iY~T+$x`OPHJZIY`Ixd`g4Q{kCh39o1^KAH224JJtrWh;t!u zE(E?H0RbzAPOv?5*7PaEhoLJpbe=OC@to#B^vN$kVbUsmCAl$B;^X5ty%6}He$?l^ z`}?C1sCK7^&vCPi&K)!`)yZKN0^KZwT9iXAfiv&Gk=6)ivuxxb5M1|15mjaxaiip= zVV-(qn{}pptBh}$5p!ryU1z$T6b}tcZM%Av>Bgi}Ypz|3U~aC>X1S2!p%vNU^swI& zZusvLq*&C{Eko zD0fODQgTu}WV~x^(q#8RE%kL|y3Lo!Y%8AW)|0-1q<91V#7xif!IJ5o0AzXq-YcU^ZtW0A{6ld%@YOTAzRSC+O9@~N9R}v-Q@@m6C{RPVHVKvS{?*E0xQx~P;=N8 zun=1y$OX6o^oVGq5Ts%+f&hriXzx%xhV<3tCG=c8wN^vPSO9) zxkYH?S3b9B&+UkZ+{-Oa)iKkSZyj*zn4kZSum19H8>?afflbG3xJ4=VGVL*JQ+t^( zaJTKNp^oti0kBcg%hb026`4-(&_n66&7Y1<*&WMwms)X^WYk?` zqZ|dPi|`)++q^(0Bi`uDu1oXff*TaB)lc1YHgeW>nTza#yY+%xEzl9j(raiRf>e(# zxLX~5H!lJSdq%H2*THf`66Vw6AG66W#mCtnpdAG4MC(V zG^yY#j_NUe-&0kfC>>QalY4_M)Kj_+N(7-p^rX)Cl?wtP6QA0ZW6#hh(@I_laPQdv z&R+6E;U(MiIid%8Uh>@Yb8|gE({53#s^<#))nLv(@yEaTw|sn}rx$`BPxTv9PvS5G zKfT6QPU52#co($UGML{CdRXP-~lh)d}V1`B&LlJ^2Jozb>;&zH9Htc~)$Aj6R zYNAqhuyj1%_BdY1O46phxe=Nu%3Ysl^a117-9>1^{;Az1V0!jw&rZV2&%ga8ttcOv zF+Qd16jQ_}be%$KYC3Y-Et;K#>%jwj-24B-k9l1<30fa^C&A0umgRzV!Q2-uphO{g z7!R<7$&%nX1gTAY#lQnB*D#wk!hmYS1rzX3r+;T)8=V9fJUUH}s>TI@G^9}_hxSei zQMt@dC&2~F@bArH4U_C}{b5nBB11(fAy`vNQ zs?N89MmXSq?QdS;0RoLMG@`G%J(xfwiQ4{%zR6C4+SH3vo5ei{3tY`0rvcVAvd55w z&07$eDTDB`$H33BRP+C)$iyL(KAOJNKI1T%vyX5EK7zl5SLGwvdb5x4@cIbTZqe)` zT#t|NqaXE=@A~$Dmgvps`v?oxAQ`J_q?`?PUzK+LbHc-MH7 zZEv!YdFoj`I?t+z4HtP>x3maMt(n<&t_G@zYNV^|{1h`fMJ6t0*wms3UMN0RXGODbB zs9Ygl{4?f|#DD~V98+^avePgiISmmg?X88!*0AEAuL$-bG!5ZxMhs=s8$*yv%mst5 zXakD&=G0VTMR3t!U>f2V+#J&+u_Be23kI>#8Z&^J75OX{!9r{VdfoP0GthBCgid>e z1*j6Pz}M8QC`!yF@tBe06_S7+Ye;0fn2#iOrATg9OTn%H<%8;H{gX?eI`Uwn#C5g8Y}+#9#Vw%Ak-m}pB3X1 zv#qCOM+GGx%c=43?B*S8B3F94I<+Tyj!hok*ksx*YE9By4-J;p9Ur)~Q?Vt9czyB+uE~z)8XV+JIGU|(67u0Gt9g^-TmV*Nt)vn9P>MVFE zyN*&Hqi~!WtqKgT^NJE0*{QKtkvW)}(?bwdc72EciN)-YL2Xvdc72yTg?;M)WV^n{ zpgFay1D--<*Effi0;djOfbMw!4A<>#fCq&M$a0k!g55ekm0hE>pI`qD}L|}fMnhK`>(JiE-xmq*dpbdJX)$v-OPrCWt6~kk< z65T6WIo`pYtlh{f@;}s%xD5BQc_2C+#x^eQz)%63t6&>r(nH-Ha_G53sch@Hwz4{$ zyUp~>P3}N*0V&zgLq;{hK2ocv1^Yr^9LWX-`=>ye(A9yil5{+vRn@RHnMRj$uYA)Z zJAkGUBsbFWNDrLSWg?VkX*uaBDai)>T1Z@;o@|(A@p`%28Bu+Mh-y_uBYxxTlw6Nf z^6l^YGym4#i$bWv+r5ThVD}hqv_q?>mMv`;0(o&ttmg)aZ<0nMhpic{h%df5^w6r=kH zL2*i45W`{x1GQg*oV58e;*^*mFwe|$pfa%D99ltjN?Z~p6PL6szMp&4+(sbZ2O!11 z$m6H{e4E5qtJaJCxk~=8$@R---p|jMm5JlH%5nDWxeR(*-9UWSFs1647E_8%R29;& zqVxg-q@4Cn?~k;HctnTy%>9plr6TuSc+u#3RKl_eR^|oA)C5c*VOuYf=Kwo`G zYi0FzR2gOUw%sg2-vQNuB9YB3aD`yGRMQ-L`1G10U7{PmQASz4VIdu1y(3+5Bbe2j zwpCDTZ8&Z`>*|wq5#L``C`y_rZ?^oy{p%w2ehoX!Cq`A!f-UJ(K^R$Fzl@ECg=_^p2ncouNo!o>VeQdxtx64U zPP<}OLAyOUiKqvVU^v#I4VMmeAqpPAe_kmJE9aQ5!hB|OO+XNZ#MWKRDhU1(k`AJR zfK0DeoTP%1syT)U6S1r_!uja!qFA$AHdUeB$k@iF(vg!2vl4n`l+dH5lYaT_U;gVp zB~sBN@K?6Tv|v>_jfPwU0jBWk0NOIvA_JVL)S}s^so|lmfjE*n$yY2m+5LXg>!o4T z=Yqz}#IDz!wD4v?E!`p)3_vYNk5nDTsi~7(@UUMqua11|er0&M5M^Zy~Y7XF60K@2MB}v*omxLx}Ihc|{QBq41-RP1VG#cxgfR1U*nbypA zXTJhFmVO}&A8*f2uhrMY#CmU$S($A~YgYG%jYN8#dyAo6a#V4vCbby$JYw0TX8WMD zo}O-Wv@SZ-Y%6=tof#-ja`~y{*^*pjF5>bi(Ql~1O)n_lnKJVaO*LG_nQ_SfXt%c8438fse z5W>vQgR|Fy~1H7rhbl*(QNF3RO|8$l`3<(VKmSqvIbdpJ5Fo94+T&f%-Kp zXYQ8GKEu&TjoD{7KB%#pesOeAWA+)YVo+l-uSY((*;9j>lgIWir&3<1&AyS4%0XlH zH-}X70F2WpHzb>!GCPv?jLeP@a-3eLou=A*3FO)O&er#XW7_=}|K3|a{N-aj#l{1n zJjFDDndFt$xA5B{c!g1gAb5%u_Tvni%7yNN=yf788)U&9y26?jX?pX?$N)7jldq~Z^W8bD)~pf>PjR0qmwZDkh{B|lUY(sDl1mnVAJ{!5>69e}GgTy43v#XTI(OUpmmkeR(3erKCGrot zy|g~KehNbOUlmWWu2yvz%Rikw5KNB(lFdFuH*@45hCU7FC6Z^mT**-}WOD+LYGB&=Af%!o>*1 zAcEv#Y{AFkV;W+$X!|iP#?+>S3BRK83=IfvPle~W+A{A08d92YGp<%j>waprnt=a; zYO!5W>RKjN_3GXo>sXceqrepvBt_dpT zc1=E`{>1?xCwBx$CSVTR-@Jk|@6ewm773sMDNn{vG^%S=FBzAzZd_rTQqkm2mo%j7 z^sGbJVA;b_i*lH48QRwaUjI9^a{Qa=(<71Q+@>_QDb+&+UwYxm^B3>Ebnp4|yRri= zsEp9%n{OWviwiXW@UhQga-JQ_35Id3P$ZU_d)T@=w<$4uaQehc+QLV9%JIG5{J;I` z9~zA`6^_P8vy=H#*-fuvnZpts%#1W)jMT=M%KwoA!x44Jlj9hOF&bvP1psp;IBMOf_QQ7EAA+G25jP~|A8PAy zWOq8ljpR$cI@$icb?0!F`EucSEaCIUC=m5o!bOG`v4nq7C@?@UR54hnmr==QAG+7t z3n*~a)S?Yi>3BXV;gX|N2m+)yJsFg$rWS36O2_lLNXO%Pb5jdAFTfX^zpuD`>3D9( z@$~g#OMe{CmA>&YX?gLt;SRR&Tb9Ws5C(b3>6z4N4*i@-o!(Ay<@CVQZc!U^&2`MH zsbl`q$9>gb{>8C6W=sJdfHcv*dBO82>o4t_3udH}!L4mqgMG581kjq>KJ_l`o3FTq z9+Li)A&y?^F&8{a(MyHpf=3y8a~<S0zU<{+`I^_vu#<{`UZW5)>lYA z!#qgnH1>^_h?(zh)DDrQ05`{t2~> zU>8ht+>|3AuP8Ust`S43ZI0U#HNoc8l0-7WGRF<2HUnzJHBtflsf!9^*G>ELXsXG7 zpEAb{(2n-oRJB8JMG`}c6x|Zk#m!Y#R7i!}8LacY>Z)e-{0r?D7z!>`Mz*ZfKYBgK z4dquzk}q-#ot-5|6|kzktE)1qQeD3aFs-h2{VyW6S^GW=+Sgvx5%t*1#7@<|)0Q97 zzQ6d_-tcAL85uD3lwA9|-vq!PXEqRix3E!Bw#&4y3+@Y+5N=cJU}gU*VF{N;Z5J!% z3Qu4O2Wm#y{ViCK4cKN{A2hqa+k*V-_R?(-uHBp8l-=Lb)VJ*bZW9$0*I2o~1y6@4 zp>`GDY9s!DYhCbk7>3>cl9l@#c)IPuAS-^Em(!H0?gIQK4rs|bVV;KS z*Ab63C%}rYJLuISr==@y1FxpnfSi_n$g{DhtQ;qz^zoiM)PZ1TN?A%ZX0GdqH&E4e zDk^nYxRVM&4?GzIY;IK{>eNA*xZYd{BgaBjh#R4j7dxFQS@QElB}>L^`V)AEyz?oE zg&N(Zh~jO%Q}ySZ#=5yQ*0fvH+Mv0Tbv2c&5C4iU{#}16qA0C_xE=RmNf~s>Ay!Q2 z;LN^yL+)82r>R=n{NMj8L**N_!)u*%E*O$RfsK(L`oJ z+VQet8FUGTQ;j8T7o3t@$%;XjOQJ;>N`xToxUU#RG)!P=8x|#sa>=NoEj8lF7g<%Z zN*N7PHjPO?PF_{AN*!&3FjMn)NiyG^7<9QpT-^LbqMiLZ4`t9LFq>R6u5+?)pcXjl zUb;Qt9;8H7>l^4XCse@nfZ?px6#G9#=0YFAqbL76M^TTH3a$d%JjkHSJDS5vb+7bZ zqwXact{Ws(;d7Ws0AdO z+J5e=nU5;RN;3m6AlaZn-B@D`Hta3}#$ZS{=3eO|x)D(uvGJ}&1GjJh;J(tLkj$F7 z;1)o&E&N&8)Kr=|a0_du*|KKFn%Qy&Zeax!V&as}PUnAD*jqL)H^^K10dQc{Br2k;^OK{7^t!fG+Pz6XMr!UOy6x{K> zPMh-cc&vBR{3_S4t8cyY3xE6Vz&q>gD`!BqNmv~1$J8`w_x2#_~sm~jN)CEOwhx)0UdV$W-=G44%E*Nte*BeRm=WFCWbwSJ= zWH%4G(e_&z%a8?mw_PyCEuz^<6_)M7x2CQxf*pa=(7?Mbp1bB6#fx@HfVWu(fh5$r zdZ)3Zc+oCd7_LyaHO8(aNnP!dhs_F2rChUHNXVS=KF(DQ)oYxZ*-7u-RkVs4eD03Xj~KB((Ri04&k@qs3{~-RvYOmuGY-A zXn!EfD@*1i$gb8LwG`C%W1hb5Z-8#{kG;wE54p+EZ!$bAvaMvpAw^!kQlgT8OI8C` zqE@29d=XlgvulZZ#2At%&bq~|$0SFVwJsmGP_qj4isR{hpQ5!_!h4mDhZU)y>JX?e znA_?6l2J^@!y~WA7FT@X^YwE(9Z!!eZOYG6tOkCq4him!u-YxBv%1=gJK}<0x`r}s z`5_Pg`~KiBeeX6rd`*OT?#0q#AWc{(Cq2lHY_g2)YHBE;VIUila2@o@4t!30#i)3o zjlYHGP%F702I;D%8{#FPQYwO|OpEgj<6x~)oAgblecU|lV%vXs-Y9oB8^&2C%92yushiP7YUNN zi1Nr5QKq`x_HK>n=OG39YYuPuhp&kuw6fm2+ie{9w{46kX|cQBJQ0Ba8RhgA9v~Db z6a;`N>P_1k(6m&bzG7kKhoJesAxH)4f`yqM16IKrLt_|%RG=r;?9j$Ma!H$D^fim!fmFI97g|5a;CMYd?)`g1MuTEsm9+CS8U3_}WhsyP7Vi z_S0ZX(RG-zw6gmZVdkIfxHnhFH9d5q8lUU9S5wFRkABy!AN+|lAwqzY9!ogflXI|O z6o7_>FRY%w?Xp;+2qJ5Pi~!IgBWT!zx90Em$cBgm94m?`Gz4b}Ismfj!Dhu2n&45` zte8R*JPMl?Q|PBY2Ah?D)C7;hW<}@u?{geCEBemX++K{EJ;@Ghv|!cKbG5}92CSm9 zZ!}D>z~NLxDxHA*-%vP__w)0RO#B-qjt}f=n*M3xj+@vvMUOWBliKtpr60Kq+UQek zVlU$_vn-QM?%aNcAOFXHCUPfQa&vv>1vul0#Lu_@{9pWU+hzLB1rIy)2Twu-zH>pK)XDP&ckHm~kyumT zx!@k0i;|^qL0A#{%or{UocP?1;9jcDTs2D4XzH>=DIL5RM z$V{)2$p^Ve7OL&#s@I}kRYwcgHf9j@26*pUaloV~DZFL%c4lD<*C zKd^6@l#I;HVWpg#`HqYGUDjMI_`6e*CE{Fh9o68fwTC(ibk7AvRNa}uF!nE|xX*Ly zM|Pbb?J`giN5w?;hx03@=%M8_}uC9#9 zb?4P_y?8~Unu@%7Wt&FkB1<@zbh|h$q1%yl-{*KMz2hiCq`lK~=JxPkm)@VY{E*)N zx!?X@{i@fEPaOiOgXmPyG1jlFc76G~edst@oqB({?IARn@^L|Ub4*-Q@3+Hh>ZwD4 zSKREZI%sP^OJ}{m*@1FauaWG(S*_?KG(R;b$7<{AVrMj2v0~QyJ0Jn2@oKZs5tJ9{ z{jJV_!yB;ZgD)$+kC{zcY26=y*laGc!7EB%vWz^kF>G;_mEPZ=fTQ}9EL`oH85M){ zyOEQilT0hEY0Z3lkh%}Xo8V6&qYt*8d?Zc`43cim24J|zM ziBiey9>BTGU0+v-SA64Rf|BuP_71iXk~lz7(2Vnxp_aMwkzk*+TRvhwTl+TW!mcJ4 z_UXUyyFd3|MQo^v$+j$HFdm|@7B>7YDk)W22!nf2VTK^==CQKS_SpQ@sx0J_0d|OI zG%Sr%OD@bSQpX0h=CTl=NH&$=&47qogEacXfZ{nT0v<4|dE zOB;7CWaa=)dBHfaX5~2U&8+uA0=E0`zOyM4)KYGaD4I={cr3U`b4SJf$5+D{|oRy zld{tkT#6$RSfX^AQf4xkKH@OMRVQ^YqIm&vV;s-8^px%f?NCU^BQ8B94kSJ+$HByV zRdv4+e3r!35l8CJQ{qTw91oYt5$Yp8V(c!TBb>B+YfITd@g1M@$*=$AfCnhfz;lES zAPR~m0H~1{(OBI+ZrNIm0%LD2+^Q;RdA9Vmi@ zqN#H}58H*Hl6JragQ95(ZQOhr+5r~~ilz>sQzJ+_;DU*wX#fkw_sb>e^Jtuk5>E>& ztMFAp(S*EX`@L&?)qaK6bU}Ba^{}~2$r1Y5gQ97xqssG-E;&M%42mX1$wWqkbeyaiXX$)vJ#-MbjKE-hlxC&>|`DYiMsfy+~tE_NfYZ21nc+IAYo@YK_<&5ME6{ z_}(A>g#Ye5905gadqC)Y9eXr+OKA_CB4QGAzXTlt7ev&?(h&keWKY|!8#)3m2up+! zaS%kq2!^rIyRuy{+jIn6(2~Y1(5|gc8G>{KTo66(D3vkVLHCVDWg$pMpa`y4q+q0= zG8X1j(-A0wTF~6!8V@q*CkxUMa6#)eLQsS#ul?2%5W3_6_FYEaBDn3h(y& z{zAj6WnE??_cEh23s#0Vyn`i%2R7%H2;6>G@bS5O0E*7mGm!|qNVP**srvw) z=)o)azPccq9Et59@DNx=(H6D~YM0v71%dd38_yNNZLUf(1R2J-psh$026R@lBDHA| zgjP1VMu*96RFbhGwW$jNuTJ81B2~P9KLn{wU2wBUtf3&iy{V}XOn4}8*B>0sw=*pj zf))0qa0d|jqS`b`X3XP$wZqrN4}@ZrWnEozlI9c5K{Zl7sNOJi;Qgshpn4RvpQ|MQ zt2>7Af3nZ#!|(w6hi3;7+`gj!9a4JnZrU^KhcP?P9ZnnqYJefudoyDcMAH=+9YedQkXe_MYq*YmBw=}!Tr94{MKl{V5}OMvxVu=&*ZL!xJm7p#A9;MCW1?PM&&ws||4t-uRVYrIiC zrds0|(pl7kiVZ8Y&>KngRJtfvO#Zi7R5pE|{~eNvf1^}ii9!FDWDGhta#a@9rjY@a zEy@m$enr!vj!e|8Wjpyf4SxF6_D{uIM-_v#dT2#SttM^VyvNIdUYvA1AjYSVTSo zp!CX^f=kf?l71h+urUQRzP003_z=bvWsv%7j~Y{&oMNfU2eF;!rv?S(aJP2+t4kpu zun!q_t|oejTt94Le9Ehq%Z}a6Crb2fX)bKcwsf|oG6L%S8Jjiv(hEG|_}Pve)AALUv6lxl9v&!1)FN9WIdYwQ-$hRc1S zzr~NZTg79pMtt>e5f9*n;G*Nb=JeXa20Uao;-Y8(!&hg->%vA3RqF!^<>Z;+p z08yYjhpGV3Krg=#*#b7^P7ksWmU8wF-o`tek;@D6bF-*yPLYw5o!5{8e+L~};M)LG z&ya!{QZT00sgXbEEw5>%3+$PX#-{0dB}QYbxh>Z7k+Zy?^X%(B?kA%NrZ?ka%R7L) z%SG$3yaSxv8B$OzFTjDlI(W9cn4`(E8n6dw7t`8SShZ|<5puGx3=q8~rf)XpFI(OX z#=4-QN5Q?&bY$z7Ew6>U6hwzvvtqWqVaqqGp1)CB-eSyARctS^f)s@1J)J~a&Ef@? zx0pbFP@DED#PYeJoel3pS_7M=)qCmV>#zF1```JIpMTF-sBu7~A;o-#8ZGRoQg}WI zfZC}={!|KYzlCam&yDh$jEBrxr&4%j62L$Nkrmz^v_(=TM{Zx*e)5W0;l;>+b=RAJ zkQE+^Zib;+FM3GqO5v4>0V}0M%BQXrUa9&6=Da(Kp^AwCOJKbkZChceVqySjvbE_p zOoAX&Z4*!oXX3wn_)_8n`Jk%Rl7<7xL*1XLhKjz8xI=|rsZyNk{NXsp)vEtR95aKc zUd14)xf*wK)wpREZ;oTG2O9mK|J@c0R$DB*x z4xU&TG};BN8V4A{5@xm$q*-^ty>>CPc?rx3jUdgs3j(4CZVH}y*9mMSpPFXf1uOx>?t;L9gPVe=8bn6g z#T|{eMwt58tr9ZQLH&RBbz*#WfVr$FNk_* zlFI&!c{rYPy@H-EU2!Vug-Y-47gVPGgJ00@JY{%g-}x?sFX@jRt(msWvz+>aTbSTH zEpu#3V*%oa8>O)jO2WVok;bB;+8r4a)Zu#Z&Ig_1o`ZqX7lr_oMBt}nI{-(*#G5wd z=P9spKs2HCPRAeF8$FU1ymSCLZTZ$(6E=SPtNzIEe0NYL>O!rhDFy@_gHnu%*Hmj& zcK+MJ_zm_xrFpPjR;zNsurxqhw#uZ^WW~~8L{F>9vZcY^jnt|R-BxHF^EFm_4A5>V zlO51n(_Uj%W5AayX9aj7g*_;*n6;Qu0^zA!tfloR3s#l}ow*#0N1L>^SxE8wRF($c z0Svj6?3U7eRIMowrD<@K+?3H55wrkTD@lRRnIuk1%0yZPGFvtpJX;#0JO=z9iM^gx z$oGed0x|Gdy!W%$mIlm@>IwiH)3dVG9m1q={7Se^KzZtiW7H}{9&jN#Rdr@fiLN^K z!aku`BAWv-Al#Mz)78CFXIsyy%GR@-Izma0GJ{|n&&fF>;vV|MtLhtq3`CvzkodqS zL$H#UJq&?thvq-ZhXnSEP6YmAevwF+8Q7B%w9-b0H$N!X_|Cg6pZAknFBR zV7x#hV0-rLu0&vr@Vpmn=i5^PW4J&vEucDkc2@uvvcMRjY0t6A{$vEk%Y{wMjAujH z8{MrcfiZsf)}a)3cK?+&B`{v#iEF=mb&otS1`C-mg~vAY z92n0Y&+PHsd+r%S0YA!M;&1te$N!D@MulISUOYi#Eii~`d_)njJ#F(UZK?~tDjIW^ zoXf$+9DFIdZx?mBa_F`8^<$Q5-9@9N0RPs}<@x}PIp~L_$Kz{)`kEs;Scf15czu;p z3UEmbg(5@?-^cuz_&%eyoEAH!u2X_L{p)bYthfOciE}yx<$84!(zuDCw1Nr^_50}Z zTkjIu<}RU}A}QZsXnFZJN*qsJ%yXbmqoNi{+my)=57=|qNL|o(wx<)h3jDf21(wuB zy$Qs`rFc?o`8kvE@UovxyG3&*<9bT`fB#$m!Qc7LfGX?FXzlvs8HGmpz-HDp${@8h zO0PT6ziaK(0VG#K&@e_ddj&=mV)6y-8-iAu$B+j`F;KS02T8JW86LUD+h3>Vx9)tjui7SoznWR&cJ;PnAGT5Ry%MySV%lF4w%f*Qn# zE}JgllA|_QZJ@=|#heX);J@-ewT`{|fi5+Kl^e2-Dt&4N!7su84y_#jMkyFyM;_Z> zBy45^3l3iEZat1Vz^j+QVk!dJUWO5K-P!^ckYE10Km1AGKB~u1`qP>#5{a#P%JB(k z5!oQYS*x15&FU%ZF(8$QTS1L*iB7^s;nCkIZ~ieRv#iICGMQyPcG$1kXGzVtv1+*M zl3I^#eU#5;xi;KY2xdKYl%TBEV;3`&ouCm^ESO6cfr440n%1mXm6bLamJB5?*vNhv zB$t&i;tZscf&}5j?D{Gzyk$Yb02|pqd%in?f&refheOG?2gLJ+SQ#on<+2i3G7YhU zU$edA6$)mv!Vpq^cbKmR@rVRdzC*^TPgD^Atkrja098*_shWMX1Qepz=EsH#k56h; zh7d4%-42PgEkoPH@r^NXqu_t%$zYFP>142n3kfsTRG4pVhztn0J=zeX z&c`3iLkKkAP63hkKX9h$>0(0twHoSQRYf7@%Z4 zkj6Q**I2~>psFHoJQ3#0-nXfc@VoumF!Kup4$aA{7yu(MG!wl??z$&AaCeYBTCAms zJnqh@Z%wV_3OGI=L72O;3*nwWokc=ySo03cq7WO}{Crzs(1(2KM%6Z(JjZd(J2z54 z42{&&+}NHnozYV>dg_A%J@w_cUi!w@Mzp3g-JKB1CcLwMTB{SFKS9lt0Zt5m7qg*L zrf+W-g!<4{gCIpQKOrbay$I4RqToj1(0N5M>Mn>3m$W)zLcJA6Vns3PCb-!ybu`Hq zBrde9c|}PZncyDm`~XGKpU_#ySx}6+3F3^XnIgC$DU4#q;?!c)U2u=ND2%KC$3mxa zeQGu8CW&iQq|@%ezTQSs5_={IzDU5Yxh9APH13U)t5Nr}qn?e+Mgs3Bm+K*CiLjJb8>{O)s(K@*q}`58^>&d4Mb&gIT@_t4JtH#gnwFr!Go z0jYq|Li`U2pk)9tKvIyGRX~$83h1uVqu0AF2b^ z1GvEs;j6AcoMmX`_%}1t4s8wOJ!a&r<<`mn49 zviVo%m}kFb)dv*ragBP_=JHfA&*}Ii=RGWX9EwknC8)}2*4ESZuv|-{z29Bg%sDzjFhrH=qFmRA5HDi7q=6{L~kc;icjN+(>2HpIf`L-Nlb#%k(K)`5y(c8hTSEt zQkBunQkp2`-z|pSC0WvC{SU)$6z z`^uUsxnL>m2LY|8hO+kE?@$LQ7}0>5&f2#teST5iuP{vz7478es5A%4+uxkleSIl4Bzs?M{zK^yYT zWX*wJRNWzIV<2l{+-Peh@-0GUla2n^q`s5(8FBQ{K#^Kpb!o5@bUS9X>vj_^%0E8L zhN2jlFcd0;fYCm@P&f^`CK6`$Ay*@+@NLvE>cOU3jdrr8D)p?y1p-J)ywTdML^w-p zOLSFh?Q_@yYoz%1S?j}Uozp=HEs|iR(($3^&uQf+Mlr00bmogupxC@ok0pEOo;<7Z z_TTimzjnerW2>a#JY!WiL5QMWaz@h0l5jeOE?5X-@M3#|kExYh@MP^cm68iaCB?u{ zN9v>^c=<~2Q$roaXZK@c2Gn&^9Y?jCYLuZ4EBehf&Tw{dL#fFp-S1q@mKPjQmy`YK zI2o>Tx)yt$!FV2JOoF;lVhYKI>pRag9+=-a&tUWshVu-@cfIpPDZrM|VpA~6)skh2 z5C8i9pZ|#{OH{zPW%KP1YgB-cQirCo%I`H~8IhGF{=LOlkn}XN%2^fxz)jG)n~@=~ z>Sc{+Ol^GzeHcLqCmYiZWo}bmk;c>o4 ze>=wxX?&^dKCBRAHG)-U%bSp)%DUg!Ob`oO5^x_dHzvzgOfuESuGoAfP(<65rU&h) z*)1lnd~kCsf5Ca?7y7x*-|N5S1eE!U#r_cVffBe4J z2IP<84*=_~@pKCa!*K;>EHvH@{;w9l*;l6VT=0NYK7a)X?y--&(ILny>4Lk>8e@xq zD}bi6)vCx4q{b_Pm|i3>4Kf4P_%Q^j@m#Q5V`zb_xI;dnIW#q%3$m{g4h^6JAWeIY z)Oapv72BA#ix~%+qK`vU;}t=SwGutn0jg2e7=jW$xFj%lnW5F#jjFl=co8*2z0&{Sdg+8>l&>CIcAoO~4|)7RC^G$W zNHyvqX1dd2yOze#6)yj%xIX6c<^mWtwLGUD<#y;!_B@a1up8s@)0Q7nk^kxb|NH}A znz{!I#n5qiBWWcz=yHuQ*@UcunkY`8vmFZ?6eH`XEV7^&nw8wG-*C{UcEIE5w{Bu}`Tiu#72IE5y-gQSA!QbbW7TAV^Zv}pbh=Jf!~Qtb==W zypK1?&)Rc*t{s@GQyyb=it5#oTh!XpnWc3Zme!wq^Jn~qj}H2^rY1aO^F+lSxoC+= zkkq&IzkOvPn+sO?8TCg(kp74ZmSS}@^|#q{A(%5hsH5aeC3uuaWb4I-h9J$IuZYP* zXl5dUh;kZ1T00lCb3_)?MkVF$1oTIWAVv&|^MK*SHm*DlO@G7%(Vs@U3ZnPF5ei&z z)P4@fMrtHS^V2u6m=Q0BjWg6eisaNaBakGe_K4mr*N;irmI;D4?5nZMK$rer%|H;qAuuLV9;N}Y=VOGDlI z25pu3Pb^-?`6d3;Y5tXXtLb!vZ52?GL~-XsN%owMDBoV3G~36=XCJ5CqS-#)PLJH* z^Xk9zyRO1Msz2Gr>m7O$Xu%_j3QxxCE94x6^@f?WZA6&kb+F@DLdi-mWNDhvQIa?o zjiPT3f>4zHAYL~Xv&U|4dSew1Vq&V;#|}ETMF4U%Xz39~!iuKm=sL&i$Mw<*D3M2L zp@JN*A5oMfKL+eznG&2=tmE|^E|5gGph%yr-ZXF+r9_8qbMQ$vS1s%SP}!PZ-JYDw zQIk9svUFqWf3Gv8{yqN=$;7`=BIR%f0#h-x$?%F@O?F6uiDXAx!wLGuV>O&@!yFjS zvl`~$e0RyyctUbGlcJv4@J`q2moFchbc<%gJN*`|CRKPTd*bS?Klyt;C$T5wX0(R) zu-tHt90}~F+a{dsU62j$zL?2@mIRgI)sbs3lV~~LTEA>~t#c6sF-@*D<=OC}f4oty z(XgQv%!U_DpV>BHOPWO^IBNUUJ`Ht}cf&^uGGz3^#>l5^l42JpE$9Nfst1r|=JX8E z9*BE2iw>?lBoqI}RZ^tsh^+qwX<@mj>RyCBnC)Fdd1hdk12x2b72~;QANlyCz^pCI zk^ERbo;)1MzH&S5FNHj{eXbbK2pp?A9obI%<9svYnQ`wqajN)ZX*sz%5od}qK0nU9 zAr3pa((^{Dnk22i{B2+I2|pZaMuV*u{|X}WKuh?{crGoCk(qC1JiO_R8UHGX+5wZO zReLyORL{V`geO)h;t5>sEMf>jJ~_6<-fd`PEP@+L1%_b8zjlS6432{a0wGx8Uxl8$ z24$l`)H41Rv#l_BvlW!*$xjmBYh4EzEJj4|V~=XCqApZ{SZe92?s`^)_`&~EWt8(t zgL=kv)|mwyp^4&?AK3rKH$$H&by|bXgy-{I{*#1fbPFbS=Fi22@yAkPxg$S-|b3&JE2@gdc#Gos;EM6 zLZuiw3sS?mAlxD-Uy`y`s4Riv%7WBzE(lHpTOUJkeYCbRacF8d7X;&W4P1gN66vW| z6bXt*T0WVMnsrY?y?bH*S#`@-jJS!)$33$v2h%8UFqt(%MAGpaRJSq}Mo zqKfqEn@#Vd-qQWy=W%05xv9XA>nGn_IVsDw%wcrJ>3@e-j(?-nX$9K2bj0pY=MGvY z3{KoRP8cwTy4V_JXXk+Zk6dgmPG>lg7bRuc^ge%6+Ub4B!`!H$imi990-aM4k1rK5 z?H090Xin8%CRP8QxBY9c`D4MZR*%39x%mg=Ax|80wb@~^E!fq^4d&1{-hB>LP6j_! zs@~_h3fE++uxz91zC8X%5B5hx)7X8WBM4gOJ3&urPgeOi@Y6Z%gr-C#=AY3wvNPAJ* zWamJQZzMx>+aj!oR!UkdynI_R_xP3W(+jdx_=&43b$H1C0w!v4o4KKiz?}h9p#w_= zR5iGjK$!s)NkbKls&;qaGNkuf`ud~YipN|NVjw0$JY+l9Lzu8UP1eNaTkFOU>)-y- zulUYS4b7|tG_IMwIL>%SI+9Bx1N+KIR4$lG0(Fc?uA-x6cEMEXw?qD|4$ua)?&imcX7-b`23A;dd9YHNSW-#dewJMago|q$)RnKK5{&#bS4haq z720fZsp?B9n%R$z31l!uyq4>2GrMb4-!>|AX!K#I(pyS7t3rM$s$>xSYA?&Vhvmz= zhvig-YOlPXI+9vQEf^0~qW>45 zk9>MUz003E0xp9yA-!bA5wUiQdfPhcX`7U<%~Z)KKyCgEou$Qj6YolfBLoW z`^}&GJ0n%DV4z1i1`XalS+t)MNo6|O4)aOc^hZu49Zwa+Gs^KppR9+^i6kUut}lmY zl;bNtYBhYaQP=tUV;0U0pZ{$PU^>EMb?M!e_rG`U9T&MfE5b08cVyN}Q{ABT9+9B{ z+EP`GYs?kkgKQ^SN?Q#gB+q=j2E35!khFhGYCX3a1m|0I!v%VDPJ)PzS~?rKIF6mX z{&iA1Vh(lzMG6Wb>VDQ^^`18g|1fVecjI-@5T5{qhZA{|@s zgc`vbJ-I}mq-Fxh5tBcJ6mUSMy2Y0&^aNoO8>mZJ3g-VS~nDl zwVWtCnX98h^+TUd)zMxT$&;x%q9-nSB2{M!)g@0eD*3Xwy6KExZeQIQRnpg?JV|j|S@TWigB#xSLcxPn*+1^4+u?-M zCMD{T>+Eogdu7L>inFd3a4&RqPuI@#!S9xi+XH#TUrzJEKmULJjt_g=Se_BEFK4l! zqbTOuv_50@lrf@#*t8}jTbDX}$_FTHaqloMTjn5S-#h0StAr%^4OuYf8ByFyvbwl| zV4>84InRiB21L6lxHJYxS<8iGCNywk{l+m_IOK=g~_xprOAm)(-I^ZGXkUV4W z*j1j<#uGAkxodKQt*4CKreqin=-yQOzmUv#XTR?}&$tBlG+(00N;oOqfmwOfUDKT9 zw2oD_nj(JEd7rbReBQW*7A28)HgE}HfcX1&j}v)<#36(9ZZbk=nxA`PTw>btL%76` z{Om{l*-!t7SG@}CmEdjn@J{XAh9;$8uj^~-z>Ov$Z41DtC{eaOMGH`QpF=R?onay^(IT3u3-Ad+30e*MXGZ99nP*m!yZOk|-BY+hJdU9wXY; zB~e1eBqYiBYbjvL2d|0q4xfPEFFg-Ja#V*F?fCHil{4e+W8|o6zWb;G=U)z> z4_L;@as2pDp$r}+|K|%-b(D~=D=F`L186^mOIK62er)k)%}Cj;SfE1^=LzwlMrBuf zd(%_BIH0klB*IbJY6H&G?M;vMqSVmAlw#~Q4PTN>&-Ef2c`vs%Y${2n2YZ2b;|L4+ z25fYGRkbGl2>(Uh9?j6@$;K3Ob&ArO>Cs+v;Bw*@;T-@IsQv9gIAYB`{4mL{2Y7SQxz3{nE5gzcE3y0uu&6xCr4QO=JrMO`6q7*tdvVB8J_Y$KC~(g6<(ymje- z5UBQ-?X>@9fu}$A-z*n+BJ%zX0=MCI+8^he5xC8IL3|*(S8XIu&%WZMKxr%$UTWqWR5(KK>FWYha?Rv4z`I^lUy^!uckW>;k!`t&YhBVwSZcdZ=_D?;g?cRcrF$n2R-u_sJ^RcvFFyO+(-@Rt(?gzm z`kfEnyTHA{|E(hRR0N-yC|4GI@%ab6X)Rp(>S=ltcATrH(F~+_jO%tSqh7eqGu%}M z8J9!WlZ>Bx_Uhg7`>-`_0J7}nzqa0sCn_wGIAWT)vechee$usklO@g_*Fb*224aI&$;R{TAhIFZrTo5I;BsM~WZ8@m77Nq8J z!Q%da>1VB=w~1zp7IMXk5>vP&%4QKk$&wuWASM`L#_}yJEtZ(VB}+fjBZe-tKSyE; zmn_pmF~LTDPh9g!?o`535+;-W^_5YK>%Noyg*7eQ@3|QFyzHlBal#c4j3y)E=|var zBTAdYy5nM}P3(K4+FX11!&HcscXO7_#OJ;6LP%9+XxK5Js`ocLb{t%uqIr4h)6e_G zkN)`oVT>q_xdTT;RV0c7xDZ`sOhT``F?us<_Bo6Tf&+aV#lb6rpf89ZIv=XKh!w?? zb3x~2pq#KFOxNuhPd0oMXV_Le+3-;iaTAPARs#(mCED;6F}Vit5J=sa#dVS>zFY4& zx(AX6xaQ4;R7Bj?EI$Y2a|ur~OI<5({OFKCXpS|ZdF!rMqxw|gk3OY5Y14kPQFdyA z(5-#eoa|r4Is2<>gjSXCvx(@Zf;DXJ%^n$wd9@Qfs?iFA~DOyagGE{5&7CgLq zbAqa4c;RzgMpPX|v#NVs6y_?DGWWeaZIx0U_V`OEW&V5F_hdx%;63kNxGkxJt>>@a z{?>OywDtV6*naS~cSOh~5yq5}jEL$c+#<0?Gt2zysrz+^dLnwAa*NLC`}hlY?)>ZT z`tY%=%c*1vkt+i~F*V>sj)WGy2%btRPz|_Xp=9=R3U#|8#AXar5YM24TbrIq;50Dl ztu{SI)}>*>k6OS2W~wxYZyw)BwNa z|BIwHl0td`83~P>?W=JTR=K$9iqua9kn*;p!zG@IYw>tHhx(}in*T^o#R+U4M!X&r zF|%VObO|sW$%hOkOF$X%CE#Afhyiu4LH4b%d1>X423;VRK;Wrh<8X{N6*don3R{=$ zI3RNg8JkBc1R+??Ei~9XcF5 zplyWhbo+{{Rs`K880P-X)-x<=g{kt*j}dIXNaECl z6ai#R8j0p5#k+FJ!vP+$AVg^HMFK-5Nn7BO))@vNJc`6LabjN)@6UG!Sqqz;%!a4c zn&C|9=tFvqLYS?~6OgPhWifJ#sGeu*GR*-vCt2}7 zeeTEnnfqfcaZYx+$;patkJ3G{1>1x5^K_u7o~^K7uGqU7Mgzf1P3hL@F}53r!e|bn z+pfgAWZrusiINwOXcj@}uG689S+Gi0;E)owp<$g^z${3mM69LY^@s9x9xX4K@Wl!&jI%VipsnZGJ8ddPb3d)MVI|bpg<5WXp?G3q#PSrk9!&s@gugl%es8A+T}o4GTP%G4HXL#tG>iVUR-kFY(*tRk(|0~H$9Y!q6hBB9V!J1DpOYD^xsYzG7*cum^mn_GDM_s|%qROP+I z12V2HDT{)#>+92`@J_1J>NG_kF4pWnl?eXtmn*r{6xfLMY|Y!_;{Wov#tYDo8PR_Q zWCQMe*5CS~ZwtIenZBM4Fy1fC^BNHfsz3npt4nWRSrp}hIt-G6K>1ri+Mpt+SO_ft zk_9GA8^nrq5nK?tBI~rYT}gY)EJzo@1xtNJ*J-g_78FHeD3abG0dxd&5$vn!xeYaf%M`#w;G^H^qyYVF zwR6>QD*1+xP-FF`n8!=W#G%K{tl&PuX44XnWOaI3h!)7fn|n^)U?s_cbU>srsw@ix zaQ9Q*f!kr%9(mR!&Nuf`K)d60m9~)%8R_`49mf|BGHnu3O=ebFkDedp*n>Kvr*8}d zOazRLsDAJRI4zlcpFz?s1O5C z1kW6r3AFZF*JTw}I>LaggQRLLm{nNOb%)i_DsEkkCqA#644sV+q7^alM0zZRvKx&a_^P}5QYB=ntC?A@-`xbdxs-aEvd*_cmfbjo#n>-$y0Bix%u7y(dP!vt=-!k#Ias(pi~k_ z0BIa-4SCUXpGmno*21x*-u8!$^-{An48-vj%d~PdAG4kUAt)Z2t#|Kmn|F`IcqSospwszE0r6N-)SIukJ?Ic}AjwiooL3anYl7VoABZ1e#ik>qHeK@{ zv&V2z?e?%<8Y~otR`q5}9?>pdI!&-~J0YojY^6(>BZ%U)`yD zA-S8X;P;k-e7KMH#i3M(KJgf*A(@tOGMBoD&l0}s=~9=vdIHUb)0-{@zPUC7!T@Y; z;7RIxacin}((*%|E{JYA z%1PMo*XEnXid3~la1AX+Cl>S?X@r@73_VE~#2jb$BvpB5K~c3XSrF@e2VL9zY*Do? z*)6x^4zeSHcFd{P%zSr@UZqk7e}Aow1uIFaT3-{H2{Qe8iCU)rsH#=<6w<_j$tys|((Sg_S(WP41+C%+ zZ}t+iNX3b=ub`l*PF)Z@V>SzeATnm!3@ZewPF)b~i7|i~f*@|KTXq@MsSBb43Zs}P z*kKfNb?8y0Qj~$d-c4Ff-u@zzwM6KJB6H$vktqtqK7{+F3Sii2%d3_%X^tM7lsL)m zn#ZG^gDKL)CP+`}hYOh*W);(m&UeJ@u^oA}dyw9o9eaEOKBwKHR{PG`vC9nj{K&Wb zxfee>ESUO^Z9uSJ0&9|hV8GAME+@L4fO5>pVXZ?kvU6Gm1VC%meFw78dd4{**dz6% zD=rU~%QF8KrY;8rFh^Q33No|sNb;d`K!9$K+VE{C#Ha!SMV#Q1gDKEnv0~klCHXwY9&r(TNHwcYQB}owN;nFY4 zcL=Fiu&*>25@)1ZGvA^EqCdUzYc$kp1FU?HK-A*(yiqVjL$7Xw1w=j)z!S|-+4>)*sF6Yy!WF^d*M3@=KsQ@iBI}- zhQlOhXZl~DCe5rs#ivvLQrg((be^(L4yt8GHHKlRh|#X=P4hM+u>cH z-AL8`gZ)p=YRxXg4Ab$^%N*;c*68BC1SZwAKWWmLdU=YogH3?V=Q}(0I-Tq6+6!DFm(O3Gufps_yDb$qZTU9VAO+(){^=+D)lUoH zT!WVDk)D0q)vq256-dwS(6s-ntNx6-j&vF3aw;Bf@lu2I?6g}8u_H7otZtlG;N>h)R2ilu9Llx$Nfkwdzqg$ErE&5y;qOxkKz;kUOKdhv)O0^MsqNF7N&nsq?TBs>-;3WFb zf?1_9v!`M04yA_8kEv9u)n-HQZx2=o85gorspvF@3kd&_`HJ3DKIS5AN4UjnA4s+W zrLa-cIkj=zVo_DT%IC{JNUD~7O%nbz!9Y@SyJmHNfRUIb+e%qiwPwCSJMF8=-;ROU z?V9-xX*QWg0u@BPUak2S?U2zGe;kRB{`JiF2vui5F=%l&94=d5r93o_-QdW~)z8DGhtQgscjBW=uvE9n=bAEg>B_oZx3Oa%2_44I9 zG#^l6!L&cO1E=VQA0U z`Y*obH~-9!hegt8!NG9H047fsDI8|Bc>(YL-96(8K*d$ngb%wrhy74#(<2fCVlZw^{gr{`gt=tRBd>(MBA#A$Pk4j z6h?gF3FQCco1sspXq-3VK&bzn`+9(rSiz~N@2KEd*$%xTj!N2;xt2xEz&TmN=Qz7h z;g`<_odqwrk*QL-JB7?Y1zAvZd+^gq-j>n&V6*-T6^y%pN?g0DZEN-G-oit>Kqn~HL)tE$ zEIGZ`v?)HS8+PN~`vc42T9@G7+j=85Wj6aSq1m5yi)OPw{T7|m!1AZR{I#F@<zip1SQHf zK@hn%FqAkna684|LQph_3!sl53PFi-{m^^-PX(876o|luphUSQ2*wu% z8^cPXQ4|<&wV=c>zT%FfoG7^5N;W>PC}!UdfU@*8Nmo+v);mct`z{G27ac(Pb5M!W zULZ01MG}(^#6dvv&=P6!d$d=8pXX|;lydSF+KNQ&7HN_IDYSqP>bm#SWn7RS{cv2N zW>GFXZh3Q&w7kmJgh!|?r~sz+>LJk#C!QjsyRlCe_-@sjH*-(Ksuw>Ykrw0&^F%yT zHnCY=SZ6m=)hzvEJC*9{2ORO z@cC#hL897Cc-}TNH)vU>QPzMs8fUerpZqe+N+>TT7NniByAN)(v;zV0! zxl`gD0Il*884NVdRyK@%h47tf$OU14tdS!~$MjxuOG7#(MetNpTskE#82uTK%w*Op z(kUr|%bn!~5f!PgVPD}KY4ThUG(JsVf(&G{LPL-`$_1gj!4aYdfiwcKi2P&dl(-=J znt-kX)SnK7rU!h*Q6pMx+f_sSQw!A;d=}fo-T2>tS@N$2z7}b-jc$WcJ@0#{>APTw zmPhgfv_HJhxPZkx!g<0xh-4ns?}`AoM`}SJS(!I=m8u=Gd4$)4AU7Ql9lfhbi|Q7o z{rSvP=Bcvh@VCx_+F`&j;36F#<`V0th`#j)xSftqY(8z04p7V^BKp)PS$N^Nn%<}6 z9Ydoj&nx`$_Dt?jzzx_{t&(FOOq(EJ$Ra&SCA)NwG6=IK87iFb& z+^{hb^RpWilr-;oR$6E&iwYMCZr2h?WrdKH*1_W*;EC2n$rXZ<0&NSRp!KsouDp&S z1hdjQfZ->R2V8sW@E?L%Y3;#!5OT&21Kc$52*IqhI#k!F(prIL)BI|Qr2HfSwK6z^ zdP6AFULT31TynQs;YyRif|?+WP~zb7MiJeZxo9uqs7U!ATXXN(?4PQNT%+PULUsA%-}GdXQ&`IL>EQ>h@ zEhPY2#cH?EeD)QjeLwuS{hD|GVrXCW-(CAUSTVV66xxUor$Ok5mi;Z@m2s?+l^W_V zm2s>>;v4EOm2s?-{iQ0D6^_R*x*2(@-6^?bf!IWg|4fU}u;qX_%qi*rL z3dcfoZZ;DT#7pc`g`!dW`fWVjU@G696Ah+N4w>)IPMV$yhKF|?8ofGtb%Qz*cp!;l zRqIi1CpL``u8K7rYuDyff%^FbC0qrj)9_S&mr2|5Po&}5hj6t+9mgjXdSqg_JKc^& z`CnjCP4`jN+eyy~29s%c4R4Qqv>&TtZfSr}%xBR6alSdqAVyX>{q1;l*-lxm1qySHEjNKm z#s#hFS@@_1Zp5K!1za##R)`dCfO@kjK&1Wo| zk&d2k42k@l{rb@S;nS<)KFbp5 zE@zIB=CSjCx`HbcmD6rfYe#27ZbG&IOUVU<(%DzgysQ&7o=PT(FF90%B<<*}iI$7@gZhxC3SrLy*3R zuLz8oQ%MQQtt2;b-83pxRgtj(%e~^%QTh$BVuc4DHmDV&B=RDdvR7Zo3J=6@09c8G zA6KX>t|TW~?)!PFqcJ8f=Q zdZHILVNoS}U~77$7Z_rT9{sW=D)QPj(=)xWqvIh!hp74?z%NQ5_X18ZP-CpQ1LCYM zVR{79Q@!Xqphfavq1d2zo(bk&zyaqBU95>~&_31(fYbH#KD4;30z~EOne!`)(oG73 zKdo7=pXap4`l|m}UsaDaw$fvbt?|biYv#wAnOn{nqPo ziI)1JRF;HGNN4GcOSEl>fK!|k*FSO_q7};l6X1+CMCX+EZG@W>`=i{DU|b{vAy9E; zWIKQesRxYB&33^TvEc8UZh=0H2MOzWclb3JNQ(4g}gAG7z%G`DVa>vtMj0 zz#jtD{<0k>yj?H0nJct8F1FS0hd{kQx6}SO-<-_dt`=K~-Eq?N&b^IXt+I)4x9sV&!s5F-{C0~b(6;&d*KL!oyK@g)MHnCuH(i*UJZ{O_ z-rH6Osvw)Eo_*$-;rbn1Syb?pfUXESqsQxf8o9}<(?^}MdX{5O_VfSqKk}(>9L0m8 zsAUWfTC#1N;z2Erz^iBuH%P6_8W3UH5KpM#4qjguw1LD}5t-B$imKoS?SeM}4?;^9 zm@6K71P=oE1wn#0Z17&6V}%Fp@eZpX3eg+E3J*fRM>te8Yhd8Ecv+1H9XD#I(N(~P zXxJrzOIhJT=*wu+qS3LXJrL!Si2H1&%{2I6MtEj~M*x%lyjNP|SMo5?zxY)j z{s~_lC9~Rb(>p|zj=b6v7gRSGFeNHQr(5bA7sOxACXsf@2Mr%Xkmkz;X$U2MgbQb&PCHpY>P73p0R!Tp9N9_NTAND7{WAT^B(9?>#K552?86$!9IkQ&?t z3&?_Y7Sa$WpCi4CBDi4%D%=os)3h!Yv7&evE@?0TJXbgu8nP!zat?|ltToP(lJJ6> zvlQ>bB|(w37M`eVR=~j5Jlnpj?X(O|vhiXn^~)!H#GiT_b)#Q*yW79W+JLQ^BRr*O zB@^3Yzc#6U@@uOIuXO@sJB(nD(j}SnbU=YlvQ1~im-cU&1Lsn@aK1UhqmNQWcq2ZA zIH7SmdU@QY-@_&dV&|Ix3_YNhSf9BOc`$^w8R!w5P zRRQ>nBw`ZZdZd~J?f4`T2k#gCmui5UX4>FcI0hTek(ui9&~A2*BlcM!qGTThZw>n>);lmx;amGdcu(ot zPIRk)#p@tTv>dYC!>Uvk=~~-4VRXt z(u<#6C6Cc1n~nFWFgG@;CQ0&vEtitmp&Wg^5khg?oKcNQ^_KLQY0Ktct*K6vg;N*4 z2N-JnF;wT#XxDVuA&RgrKMWpbrCiQ+XnFZJN}R6vn8S+;h8KVg(~Azs5LY)PftpRm zBD)*JBKGi~t54?%YmaZj+O%8L+N8%7F7mjqWXSNfH>qQVP zZ-Coj#qG)hf>@Du-36oZZKtHL{9`2PV@+kd1I9fI5_t4+Xt5&gy02(%51^-|Hu%dc zid}a}%yu(y5nbEcpDlLXC8I|!f;#nQ{NSV3T5T)YGxn*JYTozRV8Rb`IVW{Hou-&W zH=vEp^!+lE=3!yd_%W--w>Tb%Hob+fv&w#8|J$5Evq`B_$v(^A+VxvlD@gP)M`3}+ z!G38WDVzv>0v4DW#{q75ra*S;Nv7v{u}6KbWSR+Vt3#XCOb;|jSrT01=xE#Dz9K*@ z%VV{$;R2AvF1@3tM;i34y++MQP8)MF9X&nM3v_v8Z>B9CRS>zvS51=Xp#1+kEsSDYbVdd01mWue?zR^XuO}?V$$y%JbLv{h`LcImS(P zm^^;?H+!fHN38ekH4l;Rmmg{@WArflwoJM!+qeBt&xEjuzh^?&D~u54YT}nMA?&k% z@_+p9@4H}`I67Z=nYiMtUJ7jfmsLTRy1&~g6IbR~99oQ(7hq2=69*d#Ff`pN4i_Y6 zBvuqtWh;Vbr5x|Wsx#7B2&(_(lE9^O$<4~j9h2mBW#R&5<%3Jg)^^0%NdqJR^~i!N zAuO#a?t~p3(6$aYL*Zk8O>rko(rypdTpet}PFhpk311WLh5}?r*0*G^Qn?US+p)^0Y_1>9IJi3MtiAK zh|+;BSfs#ZSIlRio?dGTgi?|iFQAQ96cw1x(`}Mko@vt|mYkk*hPU)+yV8I)o$wfK z7w4PXJR$NutGS2Z2@%5R<%txc^kh1pVlxdhotd!H`5PCnb)I&MTFWuR+Lx(y{;z+{ z@l!@;x&t)R-iKhDcADY!h*YhwZQbo)(2$NLTD`Z{r6HJLz`NFfglIJqw++0OP(bop z$p|H~*hkkA2*?g0^zXsL16@~1xN+!&0dgUf8N>RL)!RbL2?D%p&8h$aBD|`R`sTm=R3JlI{Njuouh)zDSD=;<&%d^HRGEK;0mM`fS&%BsSF8pQE1Y3p z8RNzU3xu>Am=aGF=7KT*YG7P2RhSDRcS1TLRM-}&x8@wF!dx)sUx6fFSHYr%lo|7wrn z8I|N@1uCjXbzp!qx;@Xol6q%_!=m09m_yakl=TCTHMS6y6~Po^i*xAv{dG20!N|oqshIud&k|(dI+y_K$t(%W@r#_$zQT5!u`dQtEa$F${tls>3+#m{vHb7UTJQ{uTYGsnutu^4Ippr1N*2(G? z!-3GCkIHd{Y;dz;R<}^_$W&7hovm9z;x(@DKw4Cqceh#-5U3R%Jw}(b>w_iNXguCZ zR_be1?ke7S`da}V*pa21uNGo#fD`PaeAZGY62@Sym$@_J=K$Um_n+!mW zlr;2eA9CUanI1N6VVVRZ^~ChWp=rqd(52VMZn+hXWX`8li5I}x$oflisCVd`cri|w zB!_wxU^($}^mZs1wkIQtBS`|In-eb`;zfW&a9i=e(}|Z-{eaHq?IjmO?ms-LA2wTY z4&vyehP;^UVs@Pq21Zy4k#17?Y*swC#jEIH!+C3-Nd7M%qWF}*=jZrl=o2LpwB!c8 zKn!ys^pjBtZO`*;s-N7kded&vY^tYwQ|A;yf7*|J`!k;w-O!Y5;ilTC7f6*jrw#pM zHB_{(%v8JJ9t0>MzX9R2NHGK@OJhu^H2MTpg<^q2P_i^Gcs!7>g;~EKYP5$Iw8Xhp z!D&NPVY8xSX?(@tv~6tgR2*8eG$xohZ6q8qln%j){8lJ!XiwjsV?}-|lTQwy(`gtV zOKS0fpJk!6t+g3xKDd}_+YuQcqqGgKnaOWKX#?z__(eK;zCF93w5`SYsE(fRPolIT zjaFTx>5hV0^`-z^qwZHQq0OBI|BHN7%hZ@VqRA^Bc=4Ls2`}Y~oi^q5P4H=Y?|U85 zRyS;cX0OcbQargX#k5;AyA-$MQv8nheC@Y>Wn@j&zjK$u1I%uNVtK~u9C}H+5dmgR zyTmMcg6UFNgw~ zDVReOrzu%ezm4#Bte?UfT$c7cGdL57!nPA1GJeshqoDqQm!0+b@A`d8u}a9U|C;G3 zjM~+$$YjtYoGZ`jy0e!ESa9FK|H4O@hhDM|L8gz2Kbm|5ZYQT;z-1_{t&t%}Z#;Yi zT-|+iO@%{-e(jHq96B|{YH#0&3)0#*GFo2qhd%ZFUmkt0Fp&X3sMnh77DFxS93~sl z=2?}GeP!WZ5d^scx)~I@<;q(>%8JzXeEEwYdS4@b2@2h|10RFxELP<0azW72*&qvt zMt_?2(7aPFh&GPOoyXh+>`|{s-CP8>X#LDX1L>6%Yd$n}a}fmpL@Q&r2kB{@1*w}| zkSb3v5AbF+3-;BtVUMD3LKPWPq*Tl1c?wS|$4-^#a8pRbLUWxCobVO_P(w0+|(zNA=l+xFH=0E<2pArtEc1A2pNvge8 z;M8`Yk5SjGch-f%+p?;|Xj^P&!JZLRN}Mn*nnBlarBqhL@NZR0TPuZ+6-6nT;6WWm zRZ5zG3PDjyCWu58H3NF+V2lufpxohBl#&Z>*Q9e$aBqp2SW%Rc3u?na3L<6GtSCy! z1dpJm2sZ@;zD+AA4x?WmQ?XEpYf^^mlN1c9NNSs6_TfDm@j1>?97dPK?^gW|O0~Ix zNs<_pOCE4BCH2IRmyjCyw0;6sd5?$It%dnJPCFySvL5{^1qsg7nx! zH9#WQ{6DUi|7*TZOV`S@O(-S*Ps)9gG8N1yxkEF>j-E%-o&)Y+@&D*f->9pVJkb%R!qwZe*=G%HXUO;@@}Cjo$$dS)RX>%zyl#QDv%n%nB)WBlQ$i)mXctS&!-WXGGE0D!#|d zxN|+~e-Tg5`t*X7kh}3W(H#&%YnIbEEnK+c!MW>o#; zjMsY0+mTI1# z7;ySTa55KEJ_!Yt_D+sO4?SZ#73rC_d}{~@KmUf${K_wQG1x}BP)~_%&}>TlU(6p+ zu-J}h@Uv~<-Nd|V$@r~~2JMDmR$@nB4tSf98XRbfo|RZk)E?j@r$hlNVN5N8lZ>9H z1X1G9S&5Yy)JVG7RKpO=N(}5Bpw0|V(D1TNHfAMeznX&H;5AmPl$f=6C)apukXe!> z#%P;tgsD*2afvI`{G2K^2qqY7fly}-#Hp8VWN_kZ9?^6ws)Di%F&W8?O*vo ze*HM3)+xCcy0%7gaL}xv`G$oK4*3_E?8a;m9D@x zoSS6Lw$kK^^ok=5DXq93)8vXdhI)K4l(8|z~8PJD2aXx8`2$v_YNgQs_%z=m*ci7oib>GioG+{?Hj-0cTl z|L{-$e_hH5>D$@{U1jP#LZn_mlihmcZLcq~%t05jJJ1hIPN2d=89JdTvepZ(<8sr( zqO#Ttsw3irHulI`uP_~lYA3VSD@4bk(#fp#c1LE_sUDHBZ)4I*>ml{O76ucpsC3ZD zI=s?)NZv5$f_?-Qw!J`A(A6P?(W9@nh)jGjj0*O9OH_9KK6Y+$m1FQJrUDnu@D_b7S$iPB)zSNF?#xFj$}BY_V!v^qqZTv-~bg7CxXi<-7q`x ziEcDo{)Tq>_T!wd`0mg8;$Ize^t1(#$2ryz=gp-b+dSVeP! zQ)i`Y_7yIq=))q3QZ|WRc3_YwSd}EnZFfnuPu7SC{pQf}r~W-vw@wtOuLQ0lIUK3NQ{RrI%3(XtCa5$=oNf8 zq;;N=7}J{h79B8+P>Ip&Rj;|ABoAyyAaLkxEx!mvcbdyM`lx+T^&XXUVGjCbC@OoC zEFX|Jk-Dg^3U6K+SEYa<;`)BXoEYRzdf2vqya zcI+?18`5S~tMdBSZBir2JNK}Kt65A?vk7YmU3Pw9i@g|gr1<1k0xPZ9OjVzF12vsxC0*tj*%pSES=A@{&h!c;X?lxbsruY(Y$j@~ zNXzSjQT4go?lk`sf;7A?7*(Gb!PK53?QRh)RiA4RZ(t*HF(=J#5iC`oYce8hL261D zjH*wz0j>l`tuC#OSff+sHolvyJ~7DX&KuxvA~;Hf4xqK77XqKqjB^@qgjj2xXDh-YA{J%hagB_t1dwrP8ppCC zjHfa<)|=i`R|zX@Mbu&&7b*yOSpjZb3 zlH}kGLWWpqF4b?(cL)h{3|o}zscm&C$$X1gaV~V6<7#PuO*(qMM@GlNdE#EyMU9gj z7!Akk=}_UbG=2IsEYH1n>|dPMI!K1)a``_!}_q~Z# zv2StQ5^mrSwr83Ft|GF{JuYV4;8Ab`0^`tl=R*PZ?2nkzIcYrIlwN!)tv6b0(&wSOmk|x-9@1e8-&0?*zOGqh!tr~ zUGM=oDsIfJhd{vk6>vw~uxiNYwImCrk zdpc~GvjOMlX3=t@S#C%s{*96_zOB&>g%XHjhNEp$5Y832I$NGr z-15f1?zer_XFmvZR9|He0R5dWy+DVCkfby_qLohhpL;}Wt?sN;;#7XDWuh-Qt>iZN zvEB!CXtGG2RuX+cA91pX=!f$Z-GDl(bewHGCqYQ9<814>z;Va)SN?wBz@gsc6D3lB z;kwQLg03-_R$s8R8aj>|(ap9Haey=wZVa-%U<{x`5#W(kcV_~5g)xP%H-{fjZYI)h z`N%Dr!;jkuKfdIb{=#RzFcyAH?qYIWqfM;T^{+V|GuK7b6?lLtuWxr!g&%wTk6<3= z()U=fIs7OM01zVe`l96U16ZF33v^pi z1AX&ieHvh0BSVwP$#^-mUzvM@pGUruUYRKl5)9tsAdX`JHS4i?0TY}Inx%?y9cFPU3L&#utdr5U= zL5V1JH!v^hM^O-C>NO;a6(yD8g3dnO1A%D|EtwP-MBhqb@$SJwRTUU3%1t!E9omEl zOBKZr*1|RfC6D4Ob|@)eApqhxtCI*pNu!wHA;w1?q*+P+)tc&ZNgd&YB~d%jo}{L_ zTvEB8lZEVgocu{qmLO~_s@ED~DMs$rQ2KezHs0Dx!6wRg2 zWAxNBfFG7g-YD#`hjqlK?qyx3D!ggS4=KFE`#$46uL@{K7joj8EsOc*o1?Jk;ep9) z_54Ox3J;t!$z69OtTmc3D?H4pW%?FeR(OZXXS0Jrg;oj=1K^Q6#*2?iWOZOeFe^N; z)Ibt|a|p#}O}j%dD?H2cVntLJw@Rl{c*i};lX+YVVE`sv{0F&TB-l??x$ zQZ%M@o=o&*xq$CnNZty@Bx;wc^XMhi793-c8*9gpFr%PX-+7(%zsgiJK0e72X&pJ} zxvF>p$lSa+fjmq4htH;TAScwMQXPq=)5olW>lx7b{9dKLKnmoBXj97!raK> zMUeW=1^4SUY${D5Ca4+xya-aU6+v%)xJM#ASt4zyh;|@CNy{fG#=<2_ zQxlwp3}vk(>AksR2ZEoT=Gq&oq@(A%gNd-jobZEI+unE)F+IK}euk#oJJv&17jx7L zPwFe!Dp>kz8&ebprZ1T!i^;C`%fk{^Yw=WPP+t ztdGy_IR5P*FZNi^Uci%^f0=fRW-s8D@-N@~qu=@3AiFD6-*O$wY}Ny)2W^6ZcBUZw zC9SdoXeidhzA`VM2=3Rgf^ZiOz|7Rbh9DJ#3l>5dU^p1NI-^4`zy-U~ZXQ)=YTRQ* z>RJ~>$gZXDV0Fo~zMQY17vO>n!6n2-PelocvtXTn5%3Z5+_FDtw$8sSk2;j=z^;nT zuW9lxGQ5^0|7-K7;^$QP7i5VzI2T8UkyneIB&)k~So#dvRj)s#cme*?F(HxHh<;Lf8pQz=>Q6} za^GD9tK(<)975sJMn{r_(St;jgf4gh?E#h_ZFJC)Us(NOVZp6;k{d<)T>>S(O!`Rcq3%{ z-F|~z>K`r?4VQ!*>^(UrC}PtpH$VE#48NM;=p|E(tbTFn%63`RC&-a`wz*n@{wlLD5C$-i*oBactx0yA^0Efm*X0vbO zqiFVV7L8zS_5tvUf3R5!?w)^4W%j$n(ZB{^3Ctu^lBs7F!hEkvL%#s6>bGBdXVgR& zi+B~h$YPQEA3O+FTYW|p9>y|071L}V+L(Q~ubZEO|BdyAK2hp)JU;V-&Pxl3cbH7G zuv&r;c|(4XJ+S8*-wC;YS_6OcN0x652MLj{{o?=ZTmOEW=mDm@o0kqJ2D0?lPXxsui{WAd=<17<-(p@5W$h(k4x9n6xVe(34LvbRVMQbirm zE<$62SdmK4R|H*>`j#y{5cPr;CDAfN)ni&S-yQ4x3Q4rOR+a0LXDL!D9o3L^ zS7z071Dq!n?x|B(asF4ura_r1JFG(WJZ{_?vn5&1aZX`DG3Q#bxJD+>O^I{-5xi8K zW9yCR!X6cK=0QD19+WD%kz3T7tCi4vTG zx71jA-|-O48Bnk}w57%oZbzHW%^A?rQiD^YTEQv<3XT@S8)g=l=-3HEo_|f10gWLL z%jLGs{8kyzG6ez+Li%-O)!p&f>3Hy>j`-zu26T&BI=T^Pc!t5>bvF^w zREhJ{vXXlwDP#;ED@ke|LHiy`dfJ}g=by#)gSWjSB=Fri{kQ(z?|JSkzvUxd^(vG- zNY#V*f-T};5GKNYR7|$vZ;ojTG{IR+ZS;F1#9?>XqB$gDbQD8b6D$u=)cvrnXcrC- z$tGKbAl(laOa+shChmUI8#Cen-nY)*;JEseh{Ki*EOCyB=g6TCpl?zyENj!0xwrXZXIhYoS%!bptvVOanqF>h6Q0dbyMTIG^kAi477;s>?ANciFY+ktfAb>W&A-PT?uP`%?S z9dMbH*@;;=y*2YaI-rNJ{-P~@Q*)J+EpF#o2HYHg7uJ%~-kYoXy}@St-dt7h4Ymf} z8~m%kH~g628?2b$8*YxgHxRKZ1@*+Sncf?$U%fZnew6p-t?ooSdgp(gHJ6C{C>*Ft z1K0AvMx_FVv|u1i+M#1>*5q}|h?i7pAls2c*lak}CH6;OSUgyHe|etL0lA&_-z^Y7 zrv1?xwyHGP$orl4=XTm(2I8dsw~I|xOSzG^KJCx#*dH{*yt%=AoP9c-Eq+c_8o80z zIF{S47u#H^vDq)SRS*IJyie5*`GDK)Vv85Cppe_uVq2k*5UBU(cAWpVTWoiE|IK1s z{eB44``5pJi}TI*f4f_3s{ut2sQ2$(uzWiDc$JirUM=5z{p+?#UEaBeEj*k~@#H41 zb=kyH+hgj_aSw~_kO(eqyY8JlSe0IS>e*+Wkyxd;dJ(KJTq!S55$p#w!*XT87oUG{ z^}@3+KKrh#`*I}4LEME7qUg7QP;2TZ;z1W2dcm0`JZ z!b6vqyYQ^-2I(!Dc2~G7e@EGszwBjySC}jPlOK8iM}H}DrJB?A$fb}k)Q#rUMC}Qa zc@5O-MNr2dkVyicO}qj7s^Nu}!Stw1klrYHMQVCqvD+gopwH56JQj)-snUwza!UuE zf?Dm6SETN8K~Pt4Y7|6&D)rxEMd~RR-0g^$%8IC@XnqXMfeWSqhfDK;V#SIe!YEyS z4NzcdGm}rU;)NbjX{x^){f*UIN^53b=njsR5nhf4awf@qcW`Btzq;$t&b0YK;(7Zo z!oit;1%C%cGd0_0)K@DJN=r}C*ue@&DU~9YjBb{%B5=@MvvcOInfhJcv)H!G$D zgI2p|wR84JuG#gT8A>9nVX&AVw5klP%qvQc!dyd&S1TMHy*%zp+_-y}J=tMfW(f0y zb1(%TyEue7?H17#f8Gl(#EpDRN$%u5=tn5_;;2*)Q{VSU?t^~J`=0dQ9v_7Hf&cZ_ zfAdv10$R}9? zL7O=Es3}$h*v~hLVJ&7h4E7LQQos^7(N|j^xHHsBE^Kyu;sNr1@lAZn-}7^P6Q9(& z*UcMF7~YvBdR|`ic37hJ9ABd=BOHHew0ae(TX;BCt8?EHs#|$fNeu6_TSUt>8{XxeDYxjH+~FsG%Ds>OtnuazOKsl@B9SCGGUJAZ*NH43&j8vN zMiLqsh`F$@ECMfrFe|Vtq%P?wyu2a}uM3u**yw&_4VPj?8eSL7GcuZf12uK2gTck2 z0UvjoJIsfs;q?_k{srS2why%$*0?GTO~dPgs7q1)Gja_USBIb&UYA6Mh65Ve6ah_S zo9k0kmo`UMW>QiH2Gk@cM&Pj~Cks(>kGA3Mn)&V=)|d*aKd7_DOzAx77x|jj@ew~A z&8u1pT1{QrOA|?+XUjo*GzUSwhqck7?eD_@Ds)(A`K0>|IR|RMJy;LntDU2VzBh}b1m9n_=K9TwXGfr$3ez$v9cG*uUA0NIY{RtE}38UVpRdbQ^L`S@gX zfZJ(*G?>b16mp}gG|EWBrZvzBynQ7N!3I)Q8UX_J@wpwxZ-*@l&hNoGiazOY`xDOA z4!D{D^w*mJMp!RZVEzg+z7E8n+&G)Y`lYa5vx71D7R?UEbpF^m4#pcl_Ng!YXGf=V zY&L8_gcK$WD3SYYlPeRZaX5{4f0jnJV5R93Sxl`bQaKo>rU+&S!;%K1^X`n5;JT%D zFru5%5zMFN$7Bb?de{T!hK5$oQLLC941g10VZamFE!PH}hhXJk80CnD`_MP>Bq!O9 zcXt??1?U5Y8wIHKC7*1##kSF`scEfim*U^+z&;FOm|!v=%y7R@g7ro)NyAR7bQjWk z3d7|~JeB<4(8}>|luSG!Ty*^JEtxYs$M%*n+xgIgl?p>DQs*(^_3o_4}t zq7hi3H7U$^KJ$iGz3@??4mJ2~fljN10QqaFb&`R)X(t?unbC_CnuB_C4|GmzO6)6( zw_MPMe?xGM89SnrLNEiJx)1?B%r#fF5Q0>PzTzH1k(9Flla&hAe2y9D1ZWAu6){%S zR#3}j!3=aFY0JV?;Fka}+e2rd6D@Vf52GM>qRomG(7D^MSrQMBb%pq_m83fKpM%lu z96TbD>z*XzEtkY_5bNv%V75khCo4`>RtAlNNT%EN_hHlJHYq+wK_3Da4gH!yQW4I& zj-Nxf#ivWj=&29Gq64aPyI5u7k>)2;#38|Dia0c386g5-!qYJkcE}+@FQ18W4Sb(^ z+JaUg1{k69b`5>Q?Fcb?IcDpM$D*Vdz4uee^$qJ4tekJ;qsipCwkE+L_t3;_}f^E`)*6(YOUE9-yy3otZ zyPQ?z1yv+ap!A}PI|)LJyOZ=T^CtBv60I`|l<1_dkTjDip%IhU+N8Nc{xTKvANI*V z`P?Uu+4YktoXW1}6i#cm((4Yp-YG5ffcU5eIh5aFPX(eKP(cI@S#Hqav$k)QT?bMF zW+WYeWv^5_+w~n9g(4Nk#E4HbaXY9bYUR)fJ#@u^a{p}CcSoOx4(Fnm=%L%{OU68O z5IpLiJ>3QuW6Tfg-y;!*_M_>$WJLKV=2&D~`Y3ZOXmZ39l@Dsyg4Mt;)F2MEu`auXJ<|n-0$N>W*sgM+{9mjW zpYrzu2M$5`M2VCn@%PLBqJ#BpO)qFo5ymEv1z{|%E(W*CtmjT<7T;*dt~rb&K~WC} zd`zVGnZ0o1RMQ-{UM6n+Q=fEv@Qu;ITHluzk1n&V#@GwUB%`$%X%5?d@36|&y7dN6 zuovo8cyt+Ab=0N`nK+ScZ2^UcxCWK2J;gQ1cyvI*15|@LZoLrGKy9D3UC@o8R9QA; z16J$A*CMS855=HrVIL300G=!2`NE82+N&z?*Y+{N>;rO%Y0zm0D9?(DrNY{Ls=4N(CIl%b91y9yWQ)juLRRVL^4%MR#LSzAn<<$@b3* z@KGv@RWDfYq~ajoz8d18Oef{;F1JuVJdm!RN7Pq+SVokXBv+FXl4f9`gk(hh`GA-` zyyq&K*-3wV?U$$BqE=bYPWok>^q={jAAR4~1*dM*NrxNWWOy1b9VZuGn@%jO@m}x5%WAw7qjvsN36=@{WP;2y*Y%XL)8i_O%cqla$ z1iki>3q~?BDy*0+JEEy#yJo&Sn5U})c6O_^5E=6i60($?_FeRl(;jvxO6%Po@rGTJ zwat3;b=UOK9!;0IS0I0{>p7xdM)s^AXda6+VTvwlHB7dVZNJwr>AGkWR|hV)?=d*c zXC4FWoN%N$xUP*O<{Box;fc{GHBHG$;Cr1m`SzwH8p5Fc<4dC5esJ<7Rbu}7VO1VSsXq1DK!gTA_2z;6qb8xadf-+1!*lm}+ z0bV&c=}yq*hp;Yo$ z17E+y+5?8bwa0M*bsnIHfFKz|Xt=tHio_0RZd7q=@qP8`$##0zTFeBX`eVgRaK5>V zBgxQJ+f3myC)Lq#n+dX|Un3VMq78r)xsl<7S6g6>X|60qtAfS}%L}YAZTZ$yCam%H zU-&J5etd@T1`U$w7;y&RDOe*^%t)^|Yxhi8gDJyW7iG;+CUt?OBNW-Ji?ZfOGlUTg zHY;Y$(V+rEyRdDxJ;4lXfDQ!@he~U`m)iGyXg(!&)lnvbj=Cmj{f9$oiI?Y+Ad0E{TzdY!1MKvn->&K;q>U$?aO#geJ5;;OXdtRW9GZw%M1vaBQ6u|f>|MDM>1~uAE-U9~fEf4yx^mIWI z2cV4x4AHM%oIn=wz6EbL+mtY1;51E5QiPyr2NN{^00om6;-tVy2vQOFp<$yjh>0sA zbWm#^f}%nE(3pOKLnDi~>7=Jhp7B7l?<7$=euJwN^prV%u}6?bo6|CZ1fobur-s*bUKn`zCZhYhpu@%&lMuNRx;lpz(6{5 z6egf|IVIicza6L>-6-D5r9ew@^ilVucmn{7;&WX(udavnx-mb*Inn1;eyV$ONxVo; z2ctmC$v@m*Kc^skCyFQfb?deOIchS<>P=+p-9&$F_Zmb;XO&jMf#_ zn;u`bY1%EC9gSyCd>#+X9Rm2;*k0$5>|3X)a!7Q=2@Z+z)*yL=rfiN~J0$1@p$-ZB zjGm!qw#lz~$ss`yo=N?C6f<<6ZFNLTJsNs+5o-NP$u{|#-I_iF+ziZfm!YKjhAa2V z*0gLOByD_YC7Ex~4%mmfE`Xxj%UL@laApMn+G7&C;w5%scSao&HRugqQjiXHAez*b z?lQ3%g$fTb*26i7Pbxjcz6+W7q|!rk$>p1?{gnYc`xjamM;4i#61K(5PQ|S{CBRUU z7668VW*TU$;w*N!ESL&4yDYE3iZRnhBVOg~vfPf#@&_Nj<_rJDa9Nc1;226_K`4%o zkb11i?*?=YA;@5gOxs$IE{m_2=98ap@>>uO^pah*-9=~-eZ`aAfT_q_FwG|?sg)+) zzCw1EE{hB9F^%0?>unL!*Md}5E_kv+yduc#PnC#Q1ex7x#T+s*YPdHzc&72x1Q|>f zf^!4O4qibkNeso$^JLw5MUd&PFFc>@G^m*!X=Ft!E9O?s^&|TYdeFyQ>E?Nr0pMbu zv2$MAU*x&7%b}jE=UHsVPU7mP_ZlvPfG+MbOvpM*QrljR5%V*H8q>|s#pmx#yG65y zF#Q&t)1~*v{=hH&-#;}<%UUft42JXdK(7#68TtF1zPIt*5Cng#Wns`ma7MsxgElJ4 zjl!p9#guNvLV(;=a3!6}GQ)xD#8)hHe!KO-;QlyAYLX(jWA8`=?C209G}gQ#Jp>m- z6JJeVbeM_UlF{fPxFBW~>#T7c+VUK6=!#r{>4b>qacpqM`jm-Gt^kt3R+o@OvPU5R zUvtz(7l6K{r51Z!ec(py(re?Qw)@e;cs1S^ETTJMnCCvdm+#Yiet2#V?77Bwf^DZY z@Yio-`POidQPzFj-~7s-h_FawR1b?B*h7Mm?OvHNUiT8gIeA$jxh<;r$Vl$V)N%m| z0ni@W95j`l{}@yOC{B1>FF{JJkrO zdc`WWd_WI#l|)v%Cn=>;j=G{&LDJQGR1oyiz!}L!e|3}^@-#8ozK74|yR!$_iZz*9 z-eB%pbDsJ3>{qC8kY5Dl+oIhSl6&kr+C!{~LXq}rij(WtokQUa9bU>PnF{mGcgQBM zXll8AiiH2^Ywj>$PS!++`~LN;?h#st3hNKX7^jhc`=}97wf~6durA`0+pe;0QFGv5 zu@8J@haO@!OTfMHNmm3+TuXys7c%ilr7dS&Y}~`W)A0ZkH^Nu=F8+zTq};Vz9RG`` zL`wToDpz`6<=nMAwNZWD%RM7oTTzdNY?gD!^oAn$t6@+&;JLYHAg`HfjiLmA5BY@U z0ZJ##?Ku8MCdH)VV~RSy52_sms@%0~$Nsorc{yorl5*GU1r+Z2md0L<5mPXSdDCNv zi-&pBZc%HkXENPo$aKHz$3F58{=V>dH0E}X#}UMC-DzikK%-JClTpbGH70zwo&EKu zH)bFh@gn0LK+A`N6c^?dso4C`C!3LFAUJpSM!imroF>2sD`UhvtvP&-UR`4bf}zVa z%sU=Ac)ebc%FoZyhBLtD02bQ{RzNTm7z#)U+FGVJ|Lh6~?v87#K*WT0`bL!`ZHFIy zzul7R&%WZ@-AN!A-P)Ave?0V?&D22f792;tMqnI@e_)4$vsYD0qRNU{Zu}x7xm)O8 zJRU#KZn^aNb>v6pU-Sq*hZ=IrMqiC)rB&RWi*x-B+55`5bK(uyKRr|24tGDoSBOvk zo&Sw*hCZ2(;qbEk#jW?+C^&!U$dXJh>{w;8+vWtMzgOi&fKK68hK*LMn`}HEv}B`Y z@=7`&oE)YqFfiyXu=aPfvv&4T_|B*O;ZyAL3BxHmXSy4G96b1?9E?8GIs7{Dmg98v z@&wE*9#Ddr(>t!2=@=F_Arzfq=BFP#FGlLgo;GXxX~adG{g3G`;yEz$KlmM=_IvOC zbEP$r-i#ajAAk$2of=5}t<|jkj|0PR^*#az(cy(gKx=mjbqaT|H`4Lr4W z&GZ;Ay6%8dOy2no3qzZur{{QqS`>rt0HO6H(}TPKf~7;Zj%xs&?V9OHUhK77FTL4A z)1zbBb9Yrgci7DJ?AXlB{IGe!=MJmo=Z>4{xw~qfJ8nP9bN5zv;@o-jzjKkp8wfN# zLXiV;C%kjTC)@~9lzh^S0LkIgZng`&TCoEthkSv;iG@H7RMk%GrdwbrTixN$_Q(0sZn1oAvAOex&3>_|y#5VsLvq4pJMB+J z7n@Cyh+eJY6XV;h^D%dK?%~@l@*YCZ6g)V{%vSk`5K8a%pJ|@5f=w_&dF0T(@hf zY~?!7a98-f@5YM5UhuP5`MYPY?!Wt8?|e~xEZNV0QsX>xxAIlB7fRz*_gCb9P0NMsao>NRY6Bc>O70|dwP?Vvi;iBSXtKe~qlZ5PPm>4F{&h-YvAG!1*}#R9Ic z25_~RzIk5m@g#i{B_84s>1zid4Oe#`B_7~<-l(6My#rx(syQy%!5>ymZjTj}Lt>JcB+cHOnEZ^a zPJ5E7n_Uum)+AZ2Q2%aiPhgV97{r>)ZnTp5?ttcj^-Phu zft)o2VRY!hg`5|@t>p$=t|JA<{V+!>=TXox^tU=W+)I|G6 zXLXwzccF7vqa3eMx0w0c2o9@T5T4qY{2FzOnZM176>1Ucc7tloT7hSE3ucZcoItQ5 zu3OoTtZuO&c|nZvNlLB-D|R((2PYi^DqgR8zotel&=_4ZQ-Dmy*wt(%!K1@^w7;iP zw{~}+!a-lE@tRR}OEsh_YGOmItQ&R5p0dp%vA$DzbSH0{J}m3dgUWh3NVXmhsoYSj zL!WqzS=CmFh}-Pdc@Gc;s7Et_cRRVoolZxSKv1&%58jhF0?%K) z{jKi^a>4V@V*A0{-VqX#G{2)_zQll3KhHnRykI=%itWtMZ??3TDb@LoSO4NC{?5^k z3}sLbN61ZinqFvdP?l;D{AC(zOs z!7}w>PrJt$HddsibwP|#^PvxW10h}Td~26XpV117Wy$8Iu`>CqBHzdq+vdXd4_-{_ zkz<^}70Oaw*#9<*Hk*_>Y2)TWITeXcQx}2D$#z&Y02M*%zCtRorMOAhHz^G9Cr#Q= zI|mLtHVC5#<@`v})*DftSC2f%tZJgfh!5fbW1$%4s&=qxI2ZLi?dGKD< zzFRTDLcJ1#*@NduLG8AJmi3AVhG6BvV@#vC+dB+ZR3#aLe1>ex=Cd)%Sa148c+7$n z$rVsG@%6XJ*jGP$x=y1u2yFtIw0hh%K}WSv+gq*CD=IXUgwQ3YA!N;>vgvxw{|?E- zzcu=8fRr=)@UK`OeyWbMcW6X~4(YhR@?ZY`!}kSBab4=cW`?lyb_>Gi)WaURM$J*6 z1maZcA$X8GE#b*2;hcI%Jjj02l$?4v*)t`l9)P=F-!dhq9)brs&@m;a9)bor)G#Gy zdJ7GbeYb1yA9zNU={?ynrONb{*4G#^+uoci^$;}3m5!s0i#}b$s$R_HC|-<0O{Kc= z6~}?ZoiT89&h)ZYx+)8i%x)^HI49FPryrhwaP`8oFFre`AN&oQ(+}5!|`@JD~^ zUwHA4Uyj11Q`D8h)zYJ`;S`)f;c8dRhP{wzK_u<*sIp-{fSVw_Iba5RG_!2jOIs2I z9KBH$*|6_Gc$IMIi1ytr&8*@SbeI|j$A@Gu^hA>g%h@Z9VYjB!BzyI_6xA@WsDwad z*;_NaU1dB8YPYQ`NjiGIJ^Sr(FMK!*iyQ4mSAX0O1+t`s_qG(`WQBvg{zjok zf&KLr4)XT9;He4+iMmV>L7BEB;^_9aa6y!_34(>n(T1G;cog1K=J|_q_7zW6IEZp~ zL0r^pD;z{Qo8ajJ2Z_2|^7;aYNpX+rseaKw1UwDLRkcqGFqyjZIXn1T^p&eI{~Jmy zKKXb4H@=Ba{+<7gZ-zcm3b47yHLaopnmfZv7lf7WArMDLsVES~L`Kv+>H~_>uk>v< z1=%JV?z@kmJ~sx=?d(RD9|Dy={nP*cmwsvV&Kp*5n7_qg=(s4$HGGn~L?~UIyWJ8! zJVbB@R(m5@sW)Ydur3Rfl}0eDx55?ej$6a*{Z?2Nu4xYA$WXnlq-ORy^@d6??P1cP z;)@_m7Od18B=KWd#H8cLvS3zk;K-nD90m74_nH;e_w0}lIR+&xste(IxWK_VbRc&S|sbkp z@v6~{FEj*$^$mgji)TOw-C@_@{#Os<2?x>U_D}9QPwnpOEBfCd%MkxYsbnMER`}n! zMa!eMXlYuEU=w=d`~<2!@gs`5X9pe=(8A-E?jhE)qC7%XZSpIaAT;e3wYGF72wjFC z^nZQMZ~70eQj$wdLOX2Y5?2}0ebR^IovXW5bZY$v9q<)p>Fm-A}zl}KYlgz zO;NQ{af=}8^3@+%)8N-D*8T`s2I}JMQSaGGN|LMCc~s!==iH#8o5o44U<77jy5ft7 z%2e={(o$|r{cjl1_~hUD-}q+e6Qxdan&5%0a;qyXKTNH-J=bL+r(NqF#joxG<4SL08 zjpg+6IIrm*HrIHkc0#&a-Fg5v8{TU(9G7pRuc~ImH<}Ia?KH7{^VfC1;$KQYfB>e> zptBSOO7p#s03x(D6C{RLan`$CNkR>b7#G89f~k+d8HKG%V~fPeHA$$I*tE!-XbnvH zF(nCw98{aM8B9Wf7ud^I4JV<{x2wH8*Ce6V2!~;K^GR0hl9Gf%BZdAjpv*3bB-C<^ z;+|&Be0L%Vh4bu5=G&8!P$=51)|?!Qi`f*j{)in1)HOJ}AD0_)|2t&H_4F>5gc_j! z%}Jl(x>-(ursjxbSsq#@D^OwKn8^)c4BT!rE__OYH{K;l0 zdUk@VS{oOgv{Nj#76cL7-}a^zW(q3$gQFcB4~_O{tzpYfLa~6y4bbMwt~E{qy1n7Y zAb{B{dxknY3BV7)J7FonYT0YBnVp1#t_VT69ql>RPJ$*$*r@`olADvPoP-V?HxThd zl5EvhGCK)-Ot=xA3P!)E?eulW}~Dv@gk6AD3afR%4^)1tHt1`mS6Xe(Q3hrtxZNGn_I?ZFg9ze8IGzTX7 zQmvT@4*M;<2FZJ1-eP_9$p&66VUvDP!x@E#x^0bQuj&*;*iHH5Sc3m;zLrg0ZYbZc zXLG@l>c)uY8W?n>qFcyLES$8#Y(QmGmCX@08AiJr$jAJ}IaSFpWJoup1IoO+>;$x4 z1GfY3r_rzG`(dKpIq3s9A*(JOpI*y3PKe|i;&k-#MEXHolpC1oN!WJJOiwK;yjjkg zoxXqI@4fl2e0$JawK~yZU$6JIt_TbT6xY12a5`qEZgs4D7G%iaE2dKHO>fc|BL)|= z7!et?XQ(pdh``{;D<>X6|>+mbhNIprg|)bs5p=` z>hZXdP${oS^;iV=oYH{A?9t{RWI<7nF4^rcH%lc^gI=BFWL1`Q%TQ_G8A?n=hoTcz zP{ZA@UFG@TA=T&K`QIU#_%}+OCNIqd*Yk3)Cwm_m!S#G4W>4`5_I6_>Hf{OVPo@%k z^G|-wn|~}|DP5?q#5Ovv;IKy(aP{uh-5)ps8Sg!E-awlO(B#*-Qeui`FuT7K)FlgM zCAJ6QUO*R=@?hzDMf!L}KWN$vD|W!88o{i@05V|)1GF7Eoo$aLfLoD*|3lg!6N z5X+Ug|1HKLmb&t`vuaZERKj{Dq5HhL#)TTty7$}u1<2Akqv~8{XH+;&;r*f@8s1{^ zUg3T6Q40u5fX`}&%6om(>CiHsAdb)J(3SVSmH;jtpWETC0%L-=oc4$JT@BV#5Gw6Y z9gy~KoN>84#ruUX4Uj4-BV^E?zbPE7SH4A$p?Y(ICriWOn($m3GpFDlUkc7{_{c44 z?et8Ly$nJ2OWyxo|70C_mbT1tU&k6~-8F|}b$5q|Lvu{_6$~2jEElwCco5vfHxb(t zf>d=b2xE((9LNR%x3QrcAxK}x1xri&9R?4zE7I3-!NUC6tzq+Ectna(pxNiPEP-!5PoGAfAP!RC>wbFX8H%@Ut1 z7I+xyZA!MpPr?7jdPAQmHS4h|#{su3(~MF7d{%+;6O~+_6CZQp*L}X(K{o}TNuc2ijplOAzaz_Io20lJ^kQ$aqh7d1Dfv0cRre_{_4`TM?dj5|J)0| z`Zce5)t%SBj?mFH_H^B`t36YoE9-`67Q(PQw--mG3XoJF$piLHaHJ5-_7t&2jQwl< z=xk3xE8%c%9C~2vU$&=(`*uLJP8+yndm2MSmPqK}9D^93ok9G^?90%QB|70Zg4v#$ zDMv zK!fZ1x_^MBy@#alRQU+^s~88;Rg}YRn{)0q-~V1`@%?-L9g>NEqXhf|0}JtHY4?2p z%Y;~Z$`_q>)IlE@)XcZ9L#E0sb~}tNkovI|+RasW25YA0e1ViAS&>*1?NRFh6q3nt zT%g8|^?it^fw}caHR(xT07up?e|s=4+cl*!#~!`K0o84i;v?;=^@F9?iA$n!Dwe|0 z!3XKuUnE^kToR{eWU95!_09l+^ph-->n$23@=1s0VluFjOi#N1c1VI)R6pr&dLwuu zt`e7v9hwZvRXTzz)xMGIq>2`3Y^}{>!2sz|-PYAT(xfHN^Z#e>U0`)f&+;%g1Y}H- zN;C~dA>ss7L_6B$zh4q1D4B8V1iM7X1X7{;Fun{uwP(-4p1MYD1ie6O%Dt#qgaler zNFY=VCR_?l5uuO(P7qClN^?uq-&%WJ_St*SnennT-v2uP zyS}ylb$PGP`@E>|R_8hGJ-aOL*=2dp@Nf2Z;or)q{`U;B{+{t;de5-0zi0etT&?n+ zK{CH*+|0Rz<~_sSxBi~J<;oZBKCwH{@CB-`0Gfn7+A`ot#5>#Vg;+Yr_5&NF4=133 zfa2VH8UpPO*%99EFR0+-fSU`DL*@hWsmcfBc7TPp$BS)-+HZFk+lr|O0`>mfPW$70 zGt_^3xY$-;r>zvI0<0w4vA41leU1mDkZ7+6*YJa|K>H6)so%TOn?2h?__ZPeB_wSnDFWYHr@%J zkHz-jOe>|5`-2Coz+!KE_}p{-Gvm}DGx5CL^=}%+0 zAV2BKC~~FcobH6xav{q4akUvuOt~xla%I83OUqp>$l-<6TQu#iP}*V~-IcfKWq((g zY5c3d_QziH>{zBTMIG?WDg$1ZOEOqp`u?*=k{l9@GW3ocFgLaCQ1VBhGBOJ46sJ)3nho~DKsHk56=ON0?n^Z{vz;i-ot|_ ziLJj_MMlxXgUE4a4^MH&%Lfey0(QVJ)OE2w#Nze1&l9e@e9{G;xF#N$9l9mJy~*Fz z!czZ+8_hE=1I*13lm~1i#ie$bZ?Yu0#1fQ!2W|7!_IFB(>&EE>JaQ~2U;S{m!nAhP z4BjhEym%^N;IH-<#1Rs2q4vbOCOR2tH_zbOE%Z!s=wias|J}cmv5o656a8K3fBM z7us515#Vd3A=+=(LW!SOq^)(qy>R~#r;Skqv}0M2;fD(zG*gOX29R}{$caPK*1Dis zMk2pCF|=Nhw$=p$&<(73i>jurb-}}dM}$9c*q)3pC=M;Qwn&0>5Z8y2yJmPgGD8gK zToMTvm>Yz%dm!1>$4F~d@N4wBVNFV+d9L|Al7;j&F(*RX!f6L! z1UVERBLTikqg#GD^2Bf?*vRz2E58A$Q?sVz&wNb`Uel~DK=+c9i#10xL*eaQ4QE$x z$ULM+!OozLkLQHkJ5uSA5Atjf50w8?vHk{UT^K!V_F)=SQ-#4GX&+**#$jtVc~+@D z+x44TLIiD5OIZrZC#EPL89t!4BM(9bgeol|DZqM%oCiP}X_M0ub9>Mb+B1t;@-WCF zB2|!1SY!Xl!^n2ZL*RUKS_)|%)@dRwBW-8t{AD}#mrQKhlq8tvF*v^m+A%T_Rj#47 zZf;BII=G?dHinet*{?% zlPEy*f+C-mk&~pTQI|ZeShYg(8GcV^P05=3#gv|Q_z`e>nrW+}-ho=uu_>nEL)45- zvUgO5+)=ok%^$6&aVI=ZX8jR3NY*50PZs{@d1R1Miw-Y9DMO1FfKB*B^SuCjA4W_J zt?}XotnN(-zHdA~GVK;EUcl{m0srJ%zv!3#T(qZavdX;xOI=~|w)9`aso#P|1tuw% z7-(mTppJuu;0T{sDO#LXXB!h~YrweYT14g(!zAAN(DVZQ&?bm_a1TH%*CGxeomNh- z^;TwL$Z1PL7UopW-j1qvyMWR!t-A!QiU85`yeZRVpG4k^J;68e6NQ zk9q-N-y=sLF?4(p$$|0(zYKw#4=}&L=P?>Sq{u$nKi7+xx=Nix+V7 zUO@2KWW*Di;l)QNn1*_DYTCeFow*Lfgym_$Tw9GS-x@m>jsLel{L4S{wGpoAdA5q$ zlEmY1+ClJZ)&v-#LzFDAet^)m_`$5GVIepv9f8A^3}#m=>OuTzrKpGCr&&?&F-b=i z^$}3I=FnMDA5r)=Rk;HBKNGJ>Rie=3oA?VR5&jy${2Jm%OJv)Q@JY`&rdo-nPCu$D zRYzICR5Tipb@6$VKG*S5lb6)BGJ4LjbB&;ER@%`vGSaS}_lu{M|La>h{*96esoE1G zEu;44apg+2BgIG(xJQg6Txdxq)!`i#FPALpwN1*>`hGL5 z@8A4OKm8})7<|l{(sr|4N^Uw22WcYAkZFr^^w3?fP?>@}UV7xCHdoh|!M=&vv#?LF z_??P%u3zp^?vrdHijHRT>|mBf^+O-FsG4#`)Q`c+RTm|z`Z5Th#oDq4uDL{Can#_^ zBqaOO*Lq$=1`JL9s>p}oNk^E=Y&m7+I1r@=E!_Dc1H@4PUsr`Si49X>4EnvAJqv1g zX@Zp-2i$b7mr~Prh86VE* zr@}`|Z95;IUR>-?S1q>m;<$A~6Ip^JAhN=+8>hA;^A&2Cc#R5Pd8&Q0CwIgj@8kz3 zEHCc#G33p%lP1?EIF$M$7SBeo08YapA8w*p6!5C$}@-Y}=%vYYt zz+7!h;KZS&I^%~f^D=rUvZz;VH$XAg+S>xargZ?tihNL9@NifuZQ${6vXoE=@*#1- zlcII#^{fo=8iM+G*-4`Ijpisg@yA_vR)^qL%9@I^pybxveP44_`?e@pM0_tDs2 zbkpef>iLVZ$yzD2Pf~MzNfBMe8`DDg5($&MAU`*Y%H|ZA*pJeKu!vT-sj|w-Nxa!g zxm1H8ko20Tjhb;-)J9deg(#G)jcWZv7zP5u0*iq1n$>m=Si`N))oz@9e-g6f`@i>VKlxX`JmwbY&1j9Xb=+b=)M4GRjM*q-juLs~ zA-G#xd#b;{Hcr#6({4i!MF?&-*5MI?bm44^(LF(cFiwE6YIAA`Rz?}^PA8`J--*27^bOV zEZ*T=m2y&9bIWuq*W7LdK%5^p4NCM;M-%!E;Mrc#+K1Te2^ogg_sABh_wpd2}MMW3Ek!Iz|tJ6oDvU-+d9dLd4yx+JSO$_J&BX|s} zy+IfJ!fNxVZ9Qd!s38bak5=2uqh~%ycr{xk_0K9i`yhu6hN?+s5*QHe;EY3OA0*r# z^dZz9gN^jIL+=k9!i3iXoN-oJj1{vFf|gOuOdjbdHKr?BSTsv)P}IYV2Og7-pOh^u zW`38%zz%o}RyE_;bz%Ulrl>8##(}l8KKQ8RQeVX260gj}K#Pqik3OfHRY}h!F(3^Q z$!0VS65@n~3Rh37C_8Mz0?*Hc+G8C$Y3Y}cE>qX{H37s+gOoHUxx72Qw`d9eZwD#) z>3`rq{^Tzj5B^u=g@!%tiI-FGgu0!X$>WL)c(q~4hW9iz_%GHEHp_V3!c=9$TdW@* zCxi5aU=IGn`1Q<#$cFb+Y#*ju)K+rT_AxfLSR9rwZz&8WN8S@+HV^AN8F5faMOfd> zij%W#T0F*6%>PB0-}i}rfXlYz(uKAxge(|M-Hm%MIt2Qj2(!=F|^=T+#B@{eLpk)UYS zoc<6YtWFORw%UhiQs6HS5hUA(i2oEpefJ^4@ow`WS_1#@de9SFjV)gS|BsEp|CJot zf7dsE!=HTW6AD)a0%WLTFE8j8INM6qV0+qX*lb@RjZ7Wwg5Y=}ODAjzf?@SALXaxj z1yjBmL-9mKhaf}yB6vg|S5{o1SlPdYTl6~EV2%)EXkP@uX`o^F0a>l)9L0jVB#1uuS_3KeCYBTn>XM}w z1IQ@LrX)!w_+0V~VhQP+z?H&uds@3BneUE4H<%I!XKpK*Zx47K=u$GV0HfbZ=KF(W z4#+GhiJV(UvbsUrjnZr#ciWo|_-3^1=Od6%5#2O^htx#`a7I zzx%uX$onSDEm?6UD;h(OhM0W zbks^NILQ4+rBnomxc{h=ieMR2kKdx2#=e4ip-OVWn3M#L0JUr(NUPz3Cv^BJr!>a9 zw1Q$aToQ8+tuqc4_WDAOYB|*?sog{L6eGm$2ahmSSLA*;k=3Q3((J=9dyNV~mDz`o zmVaP*(muRfPo_Hw; zG#$k20`j^$kk_Vl3AKOuT)xqCE^ z=_jdkOOQ!CAEnVUUr5bk`dJ<@U5pn9@sdQJm88HdO!9o*v3XP2Zj1pjPE*yWAAPgJ zQbb^^*TMx5YtFOgYFG_$L{q5t^y=$Q6 zw3$@?{cQuY9~ZMEZ83qFL3tMZcj*Ts7g=F$WIGvhzRhV<-r2D0!Uj0suG1Bb!4L-k zOf5rpU3))i*L_lO7^7_05pZ)>5)O^IoXiM@EvotM&d6J;A>5*7)(BR1y;#gG&0M`= zw(G!GF_xzpTy-etvRy}BSNUks$J({5g5Pt?y5jYdC6#L?|C-9KBcn^lhX+4M&9jos zcD;-tJ;A^;7g9m0(ypEM+KxoTd%QU4>nj9l@oxg*pq8O)Vl;fQec z{GW;;Q)(Y_qCk%_H@%>H-2wq`>t86wIooG>Py>_Of|%Lqb*CU^A+AXd1t44%2p1X9 z+M(cfco0M_S0H4#5LMr;pmdW5)}nU#il^n|@)U;zrf#ZJ8Hj zLuyLC{^c~9V4BakI3%~@ko=p!_}c&ZSA#l2Z$|5opsfmqmRhY z&2eOh1k=-TYFu%no!fcE?2zE9m_q_Ycl)cGM8h8Q6~xq@jPgG&WaW^6O^W?ja2^2B ztJj=lluPnlKB$@7Tq7Z%mKOB4*$#)*_o`(ah2W!Ni+v~ihklYg7xKS-iT(IDN~ILP zt33Y;7KR1Nee;A)cV>B~mMX7jHCU?r!4H1+&wOEoV4CN4jpr4%$sBe!KN334TidSMRTUTJv@Byi(VNdxrl%yBYQppPKnqnqpOmp zdFlYZ1PN>Qt1S|l)GPJ~HVy`8YEN)5Sf~QORq91W?|7AMq{TJSp2Npq+FKAj}D?DGUrh!5E5C8oOh zm?}sIq&Dks%r$wlrs@2}EKk?@i&?(H$9s7WVf~6XeaqkfK*aqDrM|kcW#bdQy?@|} z#uiXdnk5TC29~x(wjm=@B9oGsybxquSp>bY<#0TrAEj>FVBGJ5(bxisG8$Wu-)&D)%(6>HV+)F(bRMd9 zmHx(-H2~tRB=gX|W;C|IfnWU@A)Ix6^U* z^NhxpH5g6WHS;Zs#+Lm~2GGV;8g*WZk1>JOqe?pH#w)0?r3)NGVX-?Ee~2npGr-Z^>S`(Spea82M9Nvb%jshvuu~7!gL37PEz5u z`~T>p|0vpWmDAg+7RK<6Ve8(GHr0#pqX0AdFqrz@nfLfI<$jO6KzA44vo zGI$+mHXoNj0wGTg%I!Ejsktg(ktV^@@%eD1{c*mWMOA(;(%^HD-s0A#--5EU7FN?uTVD^WX@3|;sWDhSN#8*?u$mSTlZ+hb&|AKECn+a;hgb>^Ps?AW- z;Cb%%yak?_2&TT_p}7vsao1?ufnd+@&|C(N;dP+5)X>c{c#4$vLzjB~d5xhp661wn z&Z3{TJH_^b7*YhU%Ay}nr?mi+fEyMnh%A`1=;$Z5KI*meCd8quEP6CjuaU24PO{FT zV}b_q?K{|&Ek=abxqj&uEkC@pQsbg)z?_br?+)mofy822z`#zCnMdhA-yV$Rpoc?A zj99JCbF!@$BRmiai@ByHPrg7K1M3O_EwE zJ0ZkVS6;|Sztcv%hVTLix87IB=gXacFt_9U#YstB@(l4la%yn9ghVv>JwC5@w0cbTnzSsk)r0JDtJKK)}-hYpXi3H4q2oMBPEh zfP&TLxalN5bDj?KNr?repbk&V=a^HesQ#d3hk}LlI1XK zn=_yxxnV;|eI-RV`xOG453#Le#G}1yB=g+?%84agULrE>XUWMLw`ef=pg~Z8QFVJ; zN~gNrYTQP{sZbyHgnV;(yP8&yQIqEk1YApV>O5sFEl)|=@|7*hmh-Hky63A?d-g~4 zU8h1iRh>>-zRizOogO~$`(E=Sfx*{>I_h+_W{((j+WFXF%gQe-cDIQxJ=|=SIvwI> zqxG|2gxDFaZl)z|#)f?bEt%CRCQFi#09vJ|n3C1${s3}M6$F({rBswW#}6H4q#k~> ztWKkf)JKm-U&NP;O3HkSFx5JS+e|KGt>EZ=sfMU=Ao_1tJB?+(p`ppy3FPNSFP1aO za(yy=D$IeIuF8BHK+T0WXRI#7yin3vEL^5dzM)BlU6VJ*p5Z4?g?;zOKl992MsZzJ zCvAG#2zxPs7M?_%sMX@SXLPNN2!imtT0yEX7eqTV_^%{AP0f>2zv>aXV9c~djNK-a zslr_FusM+oQaUdYY0}-XugoKKL7Qo-nQ?k$k#$xT=7L~c0f!mS5zRr=by<)q%mtBR z2jwulH(VZJW6Xl$5f(|!%2SeA_j*b32wn2LK}DZGhZ?s%xOjvv$!I_&k89yrtd7pd z*Ck^}FR}~mwUlVxCGm@FN-ySi@nTkM(!{vr5y=nrGS}W!ZC~NCOYG&6pqkcSbU;p{ z{zaqyXi>09b*mm+ThG$fUTM~+S19r<5{L2@Dcxyr#E$*4p8r?bLq!6KCgrl|qvP|k z(Wlts-zbhOfNOL63&q6$fh6dDn_AamcnZo}5|mC9kI42;qj+)s>L|XdC5#o%alVMt zODzCdfmuz?Qff$Aov&}v>c|45vJ~L=C|n@=lX(f47SwDq0dk#=W_u6jnt-KnuoMm+ zKL2)U8(&{szWofB7#-yN2-8wjLN(0e<%#qAcmDL>*#D0~ou=}a zWy;3R#y8Uy*~&=CY{F_+WW&S{{ed-@QEFS4O&wA%43Z$Y+cgGEqbav^g^X>#hDmN# zWHjZ1dkn)8%4PahL#_y{Ft}R8!`KUmEdQA7iX^uIMTzFnwJWmOtc4gFBqEZW%1hR+ z2!=BVb;$wKth8`oKY%8l?y~;5d0EX!ex z_92)~!#2mKcIEh{?~~bde_`FW`!;NPQ>};dgiL?7>3kSdqPukh*grj|zTP6}nC(XB zlQR3aTp18NL9*$n49p=;5sx+5bh$iEJOZ1ZUUC?5TwSC$+@`Y%a-CF^J?U5IUB^;& zQc;T$UyS(2h7tex@B896{#;a;^nGcKIFQgZXRxIEqY!3i+fy0wV(kxGsbOTn^nN36 zS&aDM+=jN3Foz}IG#^Hg}aUEQ(Pg~KIx%@M*`DZI~v)DgC*SD zf&)h~)Pj`}KQorrECCWOtt1m$Zko?$%#={cbH~-Fj5tbfAQNNe0j+%PX-boRS<~AL zNgh*2^j7_M$s;HaG)u4n1fo69e1AxguFl392>(iQ)P+#19Z6oAT=f*L&Ju{J&X!zv z=;V5{NUYoMM)b?})1>P;Uz^9Qbt95Hv2^Iq!{-ZdBDoWMb#sa!Iqq;L>X!Uw6P_6} zX0to=?RA;!T}&->O>&yQQp|Te3sS3>6`cqgy;`V`*Mj2i*@^%V6M@AczdJNE*Md@nG{Mtu z#c9AS#wp`dJ~Z#JA9|0;I$GriyEW}Z7L-TBe~gY|ByIqi*hWy&OfHD15qVk)c7Kd$ zbwBobdnT_VBr!xo+mP%l23!JTwKdQ9clq5Qys~m!l4NM+Ya(o9XePLU<}^q3YUsNl zK5@Mo-&qFM0oSV7G8n-A#Yf;}6|1ZDkX2n3No5s#U76UAt0mM`t5lcR>pVdLjVE%qRfsP#@xbb?{0De(7XWck)=5T5rtU-d(;`r>H4?5D_0oc-uE zf&ZS#VkK(naQ36VqdAPAfR!t0f`eST;_3K`g|q*-?Xbs7)MA2w(1B!t*cRZYHO&); zmZ-%ASD<$gK|oSkL5W&iaDy%o74!^TtSC{72@cZuYSdzqgFL<(wV31}k+0;U>|6+$ zyqd{Zo@SRErt;0V=P2+KUd&Ywm2Y)_uBP%eY>OYg+#sM~zGzziUg(LYoaU%FcHral3JIvuNVd9dvw}vQEh|e#N#299q|ayitVVORRh=bSZWil zoCBa=BY4w&C&hIt>IfS#6829+B*ZG>SevvLdTr&qM~1a2zvR)epSrj*R%Y7reOBfx z{_NlVjknFHcv%-i@9J>24L1) zTO-H_%D_8rw$gl)S4`Q@r@)6~oh3V{9?^DoFlZ)P89($9{X?4e2lY)0t>8mf1TnQv z%n=9)ZH`;4*i<}Zf-3x!%~l4-=98>o3uWR8V2_w&CmC7r6zPz?y5a$z0O7%lE0<|L}kRho5?bEsSfJ zF)c-)A^;_kyZ)$dJxaGp8vVVFRJShpa%f>R;uWM|cksoVUoGm^f6eIxn4Od&b{i?& z=IbNs)+G;xVQzcqaMp>sb;&bpFS6$5XvDAf6&+iu+ah@bEsUb_02`-jOggYhi>Oqe zx-I*KKFwOT=j(KoJ}8+Us=pdMdF9JGN8-5=`@1OHzAbe+ZTUWR`aA#7 z=l;Fl9p0-h)MkY(Xod3I>;;sOwLpGh2eo8Yr;|Iv2OGWVy}GV|M@HBRTNsam_s!>+ zv%(;vVCq8zH*M>9&I%7UWz%~thaT+7&ROAM#am^C2Nf?PPCxqN^m!NUrHa*HXadMG|ce0;c51`?h8v90)FXI&2lr2!2Na=)}=S z69&_ivue?X9co=G2+QIB!-@vp}`{xvi=&uqBsae*}6JfzWI z#TL?dT@0hGH&>M}IGj7g;izIBxkYWXu;6fR28Z*DU-GT*`G$zNl+4Rr3ZwRV>afL# z04eE#A5l@I_7#jPT?!XOUm&>$Ch#Mk4@ABSKcaN;WvV_VuzbZ6E0a7l2A116gE%x@ z3Kz8T<0w0VA5kH)A;^f!1%Xl3NeZZK;2fkn1+0%|;u&$dU;+P=A5jzWu_9dx7erl{ zt(f4ETOTC1pMQ+F6fSAiUP^9-jJT3y#O0FFA%QuwgZzjGgqULfQTDY)&^S#=VELLL zI#5zE@FT7@(Uv6X@wp`E%vi%^iT_{-W|tgw6x8rW--B)s6c@zis#LBl&;YBc?syF< z{8GV!IzEC2aGv+_Z3J`+KjOSs+;lwf<%mSL<$>9^N+ zNU%Ku{Swyw>MG@1gswrDE!xB_n10)%=X0J6taC8fFdy5QkRbHwY4iD{}K?JEQ;Rc#!eHB2HYX)!8IR8$C7#hj?op!>QJ zq7IBu7)u=k=(SRpvwWJ8cbsr-|F$doPljhQw{jZv4N`d@e zxa9FEf6veHO?=YB*X0@a-rK+M<`;zjg7AO)JD>e><L3_qx1X^@oik5_jj$9`8QJambD zP(kT|`U@spF#i{NFFxh(`8mGn`$UOBc=|#cbqlS@^DEd-tWWqQzxfy6`8tivO>!$GSbc9nr#E@tRf4~4#vPs*l7WcmRx8-9_#)lB+6rxN{xMZjBht;Qm9YlJv8$v; zNjINibXsF0c*$~fPd6j2&|Nd%ok%yMK;2$TsbKq>k!}XmzfM(L+avAOz(vEU9(_fm zn^W+PY9M64CH{Y4|U5d_kS9CVbQ{4A^6=SV4C$?$%rRaP!Mdv^LW551WpB!EQ zvd^f<7MQPW5ktmes-!9QX0uh0?t)~7To8Rw$m1Y|gyOR$Q}incN@mCf!OADe6x6e& z8i2${5tPi336kGQN*jm=(4r-IoFXWhAzu;mMq5-R3#(CVzV zFb*x5As0L!I8v8_J`XSiB{O7#F)5dX|J6B;+RS1G`JpwGx?SUJyXMmVrPI&K74v^r zMG-e4qh~rfdxN(b(PK#zYc?k(dbQU(8c6}2!-U$j1JbQk=d5DusvUBxDa;3YpVIyy zeLd#PvQ{_K{(NF0m5i=xFzBZJQB1BnXIol**^d3msGB=yiJ_`GXQjB3GwTemV}EfF z(0do)*=|=RqwSip@kR6Divn(HY_jK)$bf40~bI(C>%dn2_v=pKEC+)SapNJr6&!d}}3G zC;1C+{PExQUw+c7UInhjNYh`f;Q$6|6<4L_nY9v)*56Z+< zh@#99I?29|c^D5K9ij{pa`CA>LVVNrsnL0z>Mz=J;$dA~l=htC0eRi_`lr|VBG{O~ zGu#?hyxXOsbrH=$SiQA_IbnKXh%MZG%-wAt6A4TF<%c!r*f>fax`^ZSFkhSiwN~Sb zr@DPcB~0ZwqV8VTQPdyOa~ei3{H5*o;Pf_tTrOwaQL`0tew9t1H0>AUt*dRd;* z%jzk`*3eUmul*_I$DyYb-aHXhud$<7NC+)Dl*j4~I2-E;FZbw|b1))_2 zz-{*z+X?^&fqH*#$Nsz3#TGA1$!lzn7rQFVhd{NzY^VKkzB$dYJzwl90NjpxFa2QI zj{R}L^67{x6KMjyT8@W%=ILEhjrZl1fAh#o{Itkm6TMgm`&vDo1pMCFpu!x--wjR9ag?GNFk?8XeFY|W~FY|ZY&woIZ_EMdACUqAi26QPI}8nVkusDi!NsIDfBbn;-_Flao%GB0wWWGZKPQ(e@R+BMMM{ zn_U!wQqgw76DF?7is-j!nFVP)Z8y}=+3Spe0NDbsXzLm{M;cETJa56QCo3M-XgsLT zk;c;nQJ>Ik!4V^ehI$uDQqTf!( z9BtR<_AI5~>yqb<^lo6y_1@b0>?{0mNz=F_sBl3@D@P~4dHu^JP2-XPCL`w}l51<$ zOKax)Q%WF549aaUk~GEonupbH<41Q`L|mnOhxRKxM$(Of9?{PA%(v)-?oq=#pd3>D zqHDP=>OOd`&_*#K`=XxAm92F>ev0lfx6@nq>Z^_DnK@^-Z9U(gkqjp$KItAc;-!*-pfnq zy-d4BbaZml&Emb>jQ8?=Pyh9I{OB0uV6fjmhyJnu!A=tX2-Gu zFu8T;508j;6tw}NM87KZA8a$qq5oh@P!9bEI)Z9ceqi>%A=Na0&lUcP-_Oo)TPNz90-_eDW3jZ+z4DiBjFpA|#8& zw^^YIzCaGfoCjLVu%+VNrp+ohE}()t%mhqGG(1KW%k<@E{McLn#Q8M^RG`Ffp1yPf z!~@!>;SwVh1EnLwfgnIsOtA}=F%=l2YJ)HAE2t*%!IZU(stchULbW5}3+k}EB6XOr z7~GfuHd8-jL8%bgiUs$4S|4mMcO05JtgN`hFj!Ha=HKTPsl!|lp`mkQVopVKXmQB= z(1jawgV>=F6dmSEp3gf{wZPZgAYy4H#clI7H!DUVQ1W0xRu--JIr5D9nx*^=ycmME z`U*(|RwTC|u#|i(;9d2alQgI(IRFflhuybYM1%diH*C5d<6qy!E5?t3Yskyyr9JtI zrBOi+mFZ_ym@OYX0)wTm5^{Z?D3x$su3Y^u1Ksp&Ul`Fgm}Iwmn}d>WU3$1L4!aF+ zvSu3FCrrXu+wtN6+EawYl-$@f`Lt$wyf2PE3;|4Wk`Bm^x1bDsO(5#=M!`KmWk3*# zm1KIrFF>zz#$$_z5w8{#2U$|{uKL&l-vQu+tO=3`qBbhY^nhPrYJsxnV18HURnTgv ztw}(agxP2r6f9=7rs!usI?_3kw%`~u2IQ4wb&t?@ph{pvPNYUM-y_gs=skkgkfw%M zbNWjI=tFvGu$5jKYVd1-*f@Rx=kXTCH; zkc)OimW;g0QM+j4fLwq=27zn}lK~;9@&Y5AGpKV@^_DOj95{HU(*d;*o({-|D|`V$ z462ep>h4u%0|crtUAEKyGQ%q!9~g-$%`gIaKw6+WodyA+h=%-gnydkE0)Qx_;{)5m*rW1JM9nK{_A=s^2f$Y5&8;E<-+cz$H|_e`!H*+5O5iKafJeFgi;_sj*054lIs+6wXkb3u@$WB4N9;Pck7oUtO`L>I&qHbC?7 z>Z8CdIrkX&rO?8|Lm zEp2ls^*~4M5>S%~7W7#45??io@N~OjIozKTmbXoE()5;8sd|5*$~s+b=!&aS#A97; z4Zabc;7Crr4vQ5J8M+roSvmh{TpBSAAJAMhf38%m#b7udqGQy z0)z=)Axy@*nDcwQ{4NL(Gu0J*CCq%(l9s2=YE)6cj}RJA59Af8Qhmk!0hk@CRC1HH z=)FKQGN%HVKB5dfeZt_L&gCADl`c%m-e~E630>1jPEFz{|k}6Pn1m2J?;Yj z_vV~JZ5xuM!8oZtjjBUew^(v&rz%bnuu;}^H>_*H1)Ad&)=#TAWo&k_bWA5*joHPO zpFUC!m9B@Rt7|`-mgi`$v#?R8mmA2d^evIf)#e1f%W|Z@FSn)E?R6YkzE7>c?hk$R zTgJhnWg5U3ShP$7=%HuIdSj4vDXVqBLZJVsgfR)SZDz@89dK6CX3~NqKUwJ)#k;u5 zQc6#%EOd|6im2vsI>9&B?>k0C5;&lz21SPdX{P{D&+3LqUF$!4%w4* z4Q9$~2Bso(nQ1WhE_*Z>o<&^1lK5D_q6=75S`nfVq4r|?(f_3*!`cA+fvZ`7`u8m@ z8P*3-_8siZxVrm7QJ^J7(gOpP{)z=GY7u7@*mQ3Zjg|Fh=tua+af^l;WcZz@h|ozB zI9vnj4&Nd)flRnX>lI_7M}P0H{Oj-h#8Rr%;L2kn3$;+8T%%hQp$;-RKuP5MM4e_j zp*#X#8iJS&pwWS?VWrBlBHVY)9&G_}Y*x%^rbt`?v00}^>NHa%u8_*na4Zg8rI}E1 zkaFREyAfjSNVcf)^m9DzSesym3n$xVdUBcx0~HvRASDF$qc(9>rJ0VH2dI)8@O(Ev zyGk>0yrFbTA2wbcj-Mkjk)I}h28vgViHs&P{~n2nisXT`5+bP$-IZjW1>7i37Hu%% z4pfrW{n_p)i8u?+O?#0fp-_&#*>e5`&a>y)5bxF{K|5s-Q1r~SPm#n0E@=p1<`&l$ zjoMeNA6ED10A?;Z&*Rq0v>_>m%h!Y)y4~d$Vb$gyNs!@^Kqr$Bokw3`)KvXiNVUx+ z(U*;jBTop1Eme}Ekz?_3l6$3&&D!F7d%S9gFeZdbRbwRS+g*hFW<3k>0qRL)Em&1uvH<$5zH*Z<;g|K0EWaG+e(PITQa znQBFE3LuTjYP4?GfkwE$44CaY;3McfF&o@Ea@nkxROcQYHLW0X7H-*I7S%l@>Q`Ec zm9vsp!d))uVpps+^|^FwlJ;;ufGVcpv~}3=MF|HJr_J9^@*1sP~;O_o<@*L zuL!O{+AHpS&$K4Snl6aU?+)jPMn1%ITG6wwaE^2=i=cuQA;`Pe9GY>A3*sDkXbA51 z5@F{bBe71!=0y3{^oSYKXsaOKOoW6u*p zmSE26bb&KG32+8`qHjx`PFtRuH~ZmKr{DGZzxn@sW2jRt<+u-73Okd>WtY0wu1hr7 zTleZ4ZcTOSht5q}gK&l@kfEoltx{z;L(DH(#%23ursB=FCx~ROKQ23|!6|q$(T0ya zgY*clEES;Y$QgdE?%-)A+nQ0ko1%ehOVCXvWrV^qFMA%sL9)Hco8_CEyxEVXdD%by z&42qZ{n1d(+8pAl*;++FZ}DU_fN8}dI$!AN`Z-Q-06V}Pr%McWSs{&xjt=#7eMJDx zkO8OQ8uSrxHnSi--6Du-q`D&LGPJS~=O~`8OJc?___8x-{ZTUVw_ah(ri9hgLqsml4 zR_vxd8-Uf02nUIQY4f^55bd(%(wS6jh6v`4S*4%9Nz8@NQ^9&ayE zZU{wDUgj_0Jh$EZsMB!lYL+4~=3ontdA^b2wD>PVhYBIfQ2LvmCewU}4E#s)aBSL9 zZ(ixE=AGzYU#b4h4&7l*= z88aVhJXpYFwQWuU@A5ToWMsn^yh-$8S#x*dYmf8XY&ws%z`I-$vkXyTA)h`wn5rv3 z?XgB@fBRU23?N5$)nkqC#vf~}>5nx(PI#;#=8rW$#vbxm4-gex>#-i9CTOfRz(ph7 zimiD?%grqFD%=OPd9B(zM;HJ*)ClNLuUd}As1c+^D2`8RggMwQ@m|8b>-2}ToD6$N z?oo#+q2V$B6zp!NIkeOWnC4LZ{xNCf&E-YP_E`0~wzl=?&KwwwxTG|e{tANf1`wfPG?pOCB$N-6c4E!<) z;@uBleE7oU1G!szJ4>el?+G_vA(J5f+pqtcSASLb{CgQh=a>=Q!sJ))**;=emHHm` zl_!5>Hwv!V!As1@*61KZP~O^F5YTP{F2weSpuEo}2y6*CqzIA)|8*lM$sZHMAWM=s zkw@F-ILC^TTJROMKLP3mxdnBPLQufSE(qc#X|Se4)&6Qp{+J-hmY5~Nid*l*jukce zYr&X3CYE4a+b?-6(2eeP|>c07nOB0i=2u!jSRxN2SteCyB8O_x2cKQHtu>HOgz!$C~F7Lo{$9}I`Rav=FuC-<^x-B_lx z-QaXK4xUA@;10NqTk~;jBsfqX+ms_c?jo!XI0A)2B&K18JK*;Q=K zyF1XWd{yjN&>1OJJaopIcVb_eKkkC~%f=PD zM%^hi^kQ6aa0(5b7#FnUA~D>uX+759)S7etL`=X6^9m9v+XSYvoDk zTCoRtZq;#V8GZZZ+aBBvQ^&Mh)IxZcmeHGO8U6e3e$}_n8jRAx+^1sUQ-hd$T3)My zJq3CR9fr=qC@najme&OV?9_A=$U=mbHP?$%_MAh_z~sRS9JmnL`d=y(rs1g=|JIg1 zbM<=w^Io$T2E*1_QL6bIB@^bqra+cJfMHlI`|94LB!ieiTFCN2ty17Qb-S+k>A#g6 z!c`dr73(*m@*OLFJh*KrH&$b#%ZUxx@n#oFb}{pCLyQk+8H@r%&Ma0!N&iJkL34Z_ zn!fS|qkP(5`kD9t#3+>0aq%Z4`dS2H1`A?r1G%b+`P_D3l9B^;Jms|pqqN|3$$;95 zFAH4!Q9EQ&GVQ~#ON!brkYasMoCWi?(lI5_XNGd#2reGU?0kEW(AC8A3DIDC!z(B! z=)6Ijgmf}cz5<2`DCcyotV*#7Z&am*cJ63L6}Usytf$$Bx7SmdNS8ej_$(BxH%P&X zY1dNt&^HPstGK#pwgI83AkmsV?fQuYV}A6r{gfY5! zvB{B&+LBbM+}*0lv}Y&C8!yQB03rI~-fLJt81Gxc?LM-PXcwgm0b zrK(CY&M~{AXnG@eo3d1^J`2GN#st?0U1pMLlbNkPbmfkowkOWGf-2tvw%Yuf3XEAO z2hffrKPOz=Q5C5wr-NBbmD>!%oPbs%RxSL{{ndV`9=XqJRb|)Tl9WAHes0{=X4AY^ zSBUPl`d^IoTQWg6p9%5`4)kLRE1@5S-Nb7&RTZY6wTi$N4>sp!i6$)1w8g~b+YASr z@&CdP{V$t0eL~^U1m6eB_9ZVaTQVttBfpTG23kblVily`q-SeeOotOm&dKLnQ(hs+ z%jkkk^#?|S?9-u>f6MjDE$^Cxx!>|67?+v;@^(I?q`@BVZlj#tk z0Wq4Z_IPvdHw~oW5Xf3E8EKHXM1qWc6PQ0CsSua)I%Ny6N;7Qz&NgsxWEEzE3P*kCUp?v=(;lfkxryp%wr>2~X9d;fdgDg7 zZ37Omo=`Pga>~kaG)kv*Zr3QbhE6S40!%4-F`0_iG{LmZGEHqtLIzyr14@jY4p>_w zq+bzqC)*}NSMvS>Bux8rJFFQHZ7{`Ye?;y0J`iHsVj26(cI+>fKW$3p%&j9lVCLNX z>Aa7vwIiGWTEey5(2v6;jyJ<1-<#mGKH)C$_x`g#@v~!45uj4h*hmNVtgY^u&rV!o zsVyMKtD|ip2t0=Q&iWO6XK{&55afM2Ef>CXhs{o0ViP>CFiw{-HLke~;LV4o(sFFY zes^LM8~~@Q15yRzJC{TA0W5+A!@9zF#72;wQV~>)H>Rsm&ozP-R0POYX#hn%N`{2z zbCe8$FNvH3yYT_?MpaF9wS7hGa0L}PG58S4lTfu(Yx0rxHA|%qFdoArr#184!I*5r z4)1p|UozI5q+CTQsm{A1F-v8o8gHy*rcyV?V;=f4K26cHdK&%lN1KDd+uv$V(S%9! zbiDFb)h)9NGuzZPXBXPzJ>uq4?`Af>yK-}R+|sx8u{tki+AUflk!Qd3?H4`>rgQ`L z0)^(xi}{I<|AWu}%Bv&KC3djnxy-U+E(Up22UpJ&5=V+V5`v+ z-dfgd)Cb*!Y}5zcglyD<6&Sz8GH1p5XQO^Nz&#MVx?AnFA1i)!HtMjZWLA)VtS*ag zh5y{6vrQy7u&|AvP#JZ0zA4i%khHCjB5t~!3pgia${cE_i0L|O=DUN2oB`(}-=1OT zBj2CXp!1P$(6IB7@6ch7@Kmo7{HgXT{*RLWI)Oov9Te?*JA zef7ka?O;_STVg?GNF=5n9`<|P@9H|-WJ&d%*PJ74kLpYjXu zjkK$FnYy!MJ*S{v+A=!;X9t-H^$+YTE9wXC^1Af~c@GC37X)>G(Kb60pHd ze)Gll-Wx#ww62JE#MR3C*J)TuY^CJ{s#we&{9Ytw6RtqM_Eu2!E#~41?Ae`b3Mb@f zshj=P7+JnmR{evb;HD#i2_FEX6+2@k>Cu;IO{xbVcv>~%jWmhs zf~fW>11Yl65+vjSkW@h~XjFDQbaw(HRgeo}l$BC1gD=^_4*Lpfk}AjrF?vdy2a$H| zoFi3`3!))`8BfgTEc~OfA|EIhL|uq0%H&CjqVkWCXRSyc@yx2^$`Hk|q{Le;c|M?v zL7-dEyowY~l1!o&Nzg6`1p-D&30*;T^n7;?Ct$W@&EsAQc$FkAL|GF{A>!fG&6A|A zE6IF+&_U=EM?r`PJzYuW8^rRMhS;DLVNNkj-rrDCidm2-d5 zD6P%0Jg>ohqk>-Xa=}?@i0`Pr`wCx`_gLTa8U4$;L!w#KC8w#Lt5io;QGGJ;Z>DHm zvGgdK#jzaJtKw*enpzx7y5utW{Yzf`d!Bz?IF|j8B7kq`<-ii{Jiv7LLQSS@d{l7y z&j8+w9tc5tF17_<%{h{LVEJ@hToA$ZUi1JI0$B4iT&E^eKCaFz>`+7_7_4fVV5sv2?-0x=h2$usXL6$g}2>79?7zEo0~q0ZuyO5X{* zX~0WsqHL7dN6<=*TSq+9oVZxs!0?jj<;{T?b-YCQMYFs_$pggk=_OXk;}O36Hq9Wp zDEHEO0RFg|9*7g~pEr?G0C(7Ym(HH>+j8lqE#K$Tz5k!=e)Qu%u@pwNZ_6Q?*2RUH z8YkADI4VSum(jnd`qTvtP>JCH7}uei#lAAMlM9|00cx&rCs@wBqTC;A@jRC)C3_tQ zngzwVH$fEBfFFXYLfk6F+bk%#LKj@^7*<1YvyuUxq6MxTYjmcBg=1G!)x*y%# zW4wAe3vC%ol9I}`HLZw=Tplc_hTloDx<7{lb2wNN;9c6g>gf3f9k7zLa-3xvYa^NO z&}qBn5LT>->)t*^5_0)3Lhl<)B7QqsaJ$!&HqRw7!-jU5Ex0?JMn3we)lsc){bjn5 zERXy{N)RMV)LRl&`J61Ue|gU0`M&aKn4tKiXJXA~C2n|h=u}nGO zeKkE)Nm975a|ZnDtb^I%kXXlP{G2wv)M4-7+OXVSlB7T6YYvbl8P$hNVy+#bTJW*Z zt)oz_v}V3P=u_qRPlWZGgADbI8#&p}P=6pmSYeVMb?XuGC5^NH7bZLH*VoXlhjGZ2 zZQ0=B%D1o$ZG%_28*FTM4d4NlNIRh6gFQ=EsJqlKLP~1ob z==5@J}d>$RqBUAi;* zs=p@e+TZ^+R-oCWR2l_gsyA1Hm%g5Pm1rR^2u-eSPoa9R+1F$5!fol(Y0LNN({KB~ zzVWl(7!X4(DZ4&(xQD^_0J0$=w7jzRl|c+$5Y+`LUO-~@NH{fu)Tb_J(0%*cX=(^mh`Pxxc-U=^3SL11Nfobs)!=*RcgjGLJ$U}q`*p!lt-{x> zt5Nht-{?0*gdhCBp10P&D)NoY9cmAE)Vhuvc}T*Gfgcavk>mxbtq3ouWfnoX0-kn) z4T^TJ&m1)cO>6MRr$0vWvcf6*QBQ(fzz2ec>WO_EnV9)}> zU?d6e2*wmQ=qtj7s<^I^F;Wh z1D4#8OnE^6?U2MQwHA;f*#lp5zdIun#q$J)Lmij6N0KLSNh3MHnj3&L+N+dw5eB4z zsaDQ&hx%OJH3ZNFzj z)61G+U^=WfIXj(}I!u$zO$kWM@G%bW2;*_9oRDmXKY@v`c<{nI*`jh(aocpp?@|+_ z{g3rDTaF8>yrV6jpyVCNcG@53o4ung#{X8{(N>ZX;oZr0>@UNPV{?aL#5qNVAz8bs zC#5sY3p2KIPs$e4eDNy8_0y4DaeUcM2b3YXas4ocYggsuWW;Xz{j#0*KV9r{QwqlF z?yBFvYkt3Mr~PI6a610+Vpm}YcT(m~=U@AixM0=otlZ1{dbK=Gedg&szji%xA6u9- zSG-MZ;#!wYJgNKKzsbe+xhQ#GpJiXYIarnSyYY#_lUJt~TI^ss4KFsuT;nXqjN;e* zkjz@2@v;IdyGB9Y8m0D<4RjBrrMZhiyoE>2l2yBmw+gl(vk&(3v5199GWKF1%Z5Ip@?n$t4>=#-)$GfKu#N<3A?9Ga;(UQ*aeYD zWT6Nv?hc5;>8^z!pH3GuXM?6;Ev~? zGpg&TMN~_8yqn4wqDUxFKDg4+T{0$3L{x*lyb2c!8TveMwWMT{(v$LKC_SM&zq176 zf-}22oS7-6nTBJ*ncWOE^0UA7KfM1x58{2j8Ljc%3zS{zVrV<6EP(y1j11SI$mN16~J9gdC$d4nz_N&1d1i5V!!cvAAjs$~6xB+>1XrQhnX z*~u`%{L>{x>ynnL<8KF(bh~DCgV2;=M+a`Bm8|X%kQI<5;Q(XD<~;K)LQg*Ot-SKv zoupH%^Q`XC7Ie0HkFaXB=BP8H?uRE7S22=hpglkNEuKjvAzAoE9Vf?qApfhr(AF?+pi6v)y<^S=F54n_;OWZ>4ZwP^J z%a54dm!P@-H-GCZ*RK!cvz}*bk!~K+F=#)sMFQ>u)eE&Bl1s}vCo4Sb*@tr4fMvf@ zIW=VqkRc2(DY%kBt$D?)?gn8eS=$Z5PAZFJL{!wE{8^2zWkJd{efl{h6Ky23MFQYh zTj1aYbnQ&GNa(~OWeYSt4qjiPQLRj^l(ekHm#X6)1D>o4T)Y3aPl*=2Yaar4C;z~f zC;O0@SYoA7{R@j+C!7}cYY87CL)h@O+zv|aiB+lp_wRn=fBj{lQZ*CU)(DWGC0(u5 z2n5)gv*mngJqI52#M_f#gtH*8y9*Y2Vlei0^6$Au5cI?dAnL5TqU3zVLQi~L!7qjm z%7@N1f?yp!9rjvhw>1!mP^J2c!2t*aaC>N~R2K}^VJNLuu&NONmuIZQd!*%>lN2Z4 z*Nn*s;EZaED3S#(l4Uf)8bFAZK*#5;EK(k}4%k|762;~@`+;R55@lAa4@BD9k6QA^;ldBtTu|kRusjl0i z_Bz!CwDO}e8iim8RE2EWuAIf1>uN5Gfuz!+97E^5DnyTQT?w0E+8_0Zs*pd@T+$Y6 z_}UhnA$x7mYBE33XD`ZaxwF%j@2hV7S3mpfKd_1FhSs#*owW+LG-)Y7(9-t-ENdG1 zj_3&yBq7owsxiDu-XIB)y?|#$#Ds0I0yzv}rqE!@`+ujk>xc?e&kSANRMaWa%10@B@wovDT_Dz-Uk88I&j zF4FNK5&BiHwfIc+4jIsa7Z*j^Pz$W`R`{G&56X6^H6n^Dw6^r1@O z<7?PuHb$(lX2R44API$Bu;RzGt2x@`eV%I6nrA6B|Rf=3ehx@3j|*FfO@l>QX^KSgGakFbO; zHy^sBzV_r4qedcuje}MvpsJqiM)rUHzHj}Nw}xic4o=t1*3SvhmJ}R`)df8lLWbOS z&ZLD424CCS8!zoEs~fvu@U?*uSBr=t$gsu*!8}QzCL7t&5z-u*S$Y>lwg!w{2trQl zL#ORrVpD7Uwia;;YEwe~F-$4>ibiXRum(erG^HNrC{C=c2-v9-nSfkQ8aMKal2Y;| z3q!~m{9^5r;<>sc@<_;_;dh@w3D903b!zSC=$IiU7{3RDthCA+rzvP0UlUWGv@;*6 zC+l`~$;tXQsnLW4(|~A`%A#Y_DbqSnARf$1qTN>1PI$GX=pQ3Rk9aJ->`<=ANgbji z04b(xC6Vl@9&z@sT@#(Qd>cy;BKv!P|7U*xw?`65vr%mVKS2Jdzv)aFOIsO4*0z|^ zHqy(U`GPq^OoS+OBTE8g6|d9nRRX`X4Iqis9-7*=92($$Ei&|sA*Qxnk2Gw_KXaj^N$YHc$Hsm#0eLV~_)JyfU!Z8r6~{Yofj; zhWC)ok&+w=TwkCnfggx~bINEh1C)?>ls@BbUcG0R+yvv)C(Nh@Au_sDL z?HEY8C2?GHZb-C2l>0gz01MM_&atE7u)Dh)`;xA@yL6Q)rV$I)nwTYBbu;Oze{1`V zANQMKru)-X=b;h~gCfgiU{>!IRdbj?5NLHOGc?mK7()S2P-&aWsTo}mWi>)yQSDo8 zt%hx1!35Gw7eO#q;LsFY4c2;&@CyXMgRrf`oxq^TSH#!?9l3NyD5z!WI5f?)3xY_w z^t~fKVaynUVy0bkuplKtkxLF%q{K`Y$)S>z1Vt|SxHYL1$fSTM{p-~60cOo_j;fZSyW_CXeTPVp&-eYA2BhVAZhUe?#>ICc8eA-;C9B|zWd8AFZYoe z?FTR;3S5hE2SOI}Z)-Fyy?_F{)d>}7fEk@RxkI)Q?gD2{w*3|K0(`~7@ywZ%Es(bY zFz*0$mKA#@L^4?S6%PohC5MVmnNH7b1~4C1WYR&-@c`DC_8jR2_=+G|<`^?VqLIIC zE{}KtE@|^Fxuj3Wu&)@_X8<$YDdO_b{&L)l3zA{h;sum7kr9QYOuWSS$9!|Hm+(}R z+Q8x>6gN}fDKLpQ5dSwN_?9C*>TC9|&AM&PV4$hbBY{sW*_k{3rNe)T*Au2ssvVJ_ z5L%{$HlaJ8HYJ^rz$g6LpX!?$1!U{Zwc`^iS2Qesx+BZCHi*g22Y>t@|Its6Q9x?U zytHJd4eu}qCPLetQMOS)tbJw4P8Y-gSIqQfnPYX5sjz_^YY=l&N2_73qOyIFDNn0f zap)XHVWPPWqy_7;_Qr)^Dh>iD2gNCx>83`jSqc*YoQ>GYzq*Q|(7ecBLrF0ELDz-k zsIH?z617l1sQMwRmVLrNnxf}GE$5mp__aWPXMJ&@VC0i8Rmwcz(`q^=l)KK4gl}Vs zDY|lsOub^#dS2@Bziw^p4i9s}<(TsV)8}Yy@PxvIwFt}{bAr_fWN<3UNl*D+!Pcde zz{Lp_JH+ME4J%P}+*L@XoIu4hXN{5ZkP23}{G#oauNN})y3eVwzsb`BGPd-bV#|Z?cus={ zE*^<^PD%Fm$P(+_=Ty1h{7856oDOlnE$y1*6HE1jdF`5{uB)izKt+c)Ev$Wq3J+X! z`-F`p*CFJ{2n}*^psGe6fYzXqZYT+Mn@MMB*CcuiY2wL?F zL2+HniXw;`gnlfw10g7nybFS=4HZ|ch^Z5r9S%WS7C$tKyzGC#ir{2YZzTlfC9oB( z4GLvp%sx_M6@mi7aY2l8(6TU$R{N{PQ}SaYbIm9hOJc&KrrBdj1>xA5XAEXC$<0~2 zG?FAAZ(sAYU3*a)&2(bbl4Nyv@H&b8Lw5z7McTh4N!wr6Ty5CMhw}t)ZRhCu{=lCA zEQ^xp{i}~YYE#wgngOI#^XCrWHEYtkNI!y7&!{i-Z7jBI-mLSt%m_l)*p1H!3N>D- z(tZ{?s$PEwLYqz_xm%LccbA+tH8paJ+Qh(8qQ03D_3!xj2OnDIEGq;SA-lK?4v6*W zdYQ9a0kyU9&o0t=nX~+sfB!kA3)J1X5@@Giqjg1$$G_=0%PGmf zkUlMQmP@2e2kONaE+4)XGj%WDj+(ma<9LdGQ<}@OT~PNcRjrP z(ziVO)_24qWVrCX2`($09B&)B;)i<*V)2J=r&#~hpYgdLd~eX_t8?0>P!4NkpCvs3 z4*NPzR(b{xy-g4as?`y*W9lHiiqUr~R(zQ70A*$)m_u}c12DOgAvzH8?V)oD<%s4S z#ld4R3! z#yq#)sQ_`Nikj2A$*Q}C>1ps;U7*H-tQ0as+k0<7g$KjfQchSCM3LfcG3}r~Ky4#( z$WqQpDOuT$lqxDSpl3+O1H!#ZDNE@l@6U%N?f;k@`J`*e<6ubtP>lnT;!Qq2lWytw zB)LhOOc*B?7^zUCR!aF=vujQ_={evDdiHTr0~3~4HLhnjQ;jo=`+Gj{y}vD?hP6w` z>)qBfgr-BE35>UquXpYhlsut1=r%?j^$FCwwdibXAlwlZWP)iXFaSf%ilTx%E-I~* z`@PZH$BLqYOb{v$6un5!p4MyBlYmx^~HKX1w6k_>8>PzZB2gP`)p`HlYZq8CFxONDPg9CPe z+8o`N!!v=;rxhn~;mz5sZF*-q&wP8V-pPx}nSl8kjcQ+2nyVgq#L&gL-Z|EEsT$T} z8CE)7W1D(@E>+$`f$NtqH4#p$C>MS%dAY+9Nh@Vi#f_nm7uTzE*XHN4r+h>`Qc>se zAa|AZTLO_K5V2VC;bjDJ_nv=vnPSE2t0}SKdQz;oUeOD9{#X9_c0JZRgt{VuH83AX zMGa?I54`{b_pomrh(lUGbAA%s4pvV?I5{7ontvLL+xU-1N@egq;A#IJ9$e)Ixd@Brwu9(wJS zPy6cLW7teJW4BEdXT~y3X1(+l9Qo?<@Hkjqk6YTm_ZFhK8zqXn55ExXoGEgtz$^fx zly47HGDH%&*fYG?&iB0V&KETeBndvxz&!y;ylJ;+N#fm367RSE@<02@*G0#T`kLJc zdLbjK!pJXpQ;wh)G9opzbK>HZ$?VHeMkE}c%*-2B_|c(3wA1FBxu1(>k7F=6PxD%O za+OQl?G3iw-Y4DAK4DHLqzpA9sfnh!c74L~k5O1+Eb?ie_rAaU`h+W$^KA9$0nBLt zPHLi2d-)=jl{1p4OaNF3!6V0mH7Y2lye4NPPv;ZLFkBIs)%MU;MiTV?IJ6|uByz~f zzA7WBQ$rz$gkyV-IU@-g1H>w}qBc*)udem!jWefQhf#SYy*hWSiz?5Iwvfd?Uxes?hs}YUwdB+$Da_ z<1LMA^AgB+qBc=QZseR8LhYN~TYx(o8#zN(Kx^X$j2Qo2tD* zniuo_e4ZkKhHnP*7*BV&tHKq;l&D6$kB3F*BTT29c8doAfc zgF&5ZHRleX(?+|x7$=WU<+UFdn?^*%zNRv|?XLPz~5^r#ESM^+=d?p_b9po5?&r?i`FB>xmZ{ z3F2Z*mN^(Bk+pWR;&J{;XGmcXP%$2rN=S3qT*rH0-7};z)&xl-s(t+R(Ji(1%E)Aj> z$#9QVNs{)~X1gj49)Jvzb6kUArxDDh!P6Gh@eE%0ECGla(*IC{C9wBLUAC zlHFeOO!4s48B+))ZLnYM1$3EzP^Nfz3K zZ$X2`>lpdSoaReeSmZhzL4l5GAdPgTXJL;@>6%xRr^EykF7(&|J*I37Ql*Xy#fXje z&^ZfRaG@As(E+(uS=iHADVQ+bLyTQM$2tqU2RW`}OxHl#H$S_|!oof%E)>%UMM+j` z@^$n)Xy8JD+v#L>a~9U<3Xo=7cQe`w1-FZt8t@LL_TxU*t$N^d5>zUTt}*E*$?68} zfYsq|KZ2yU&g_n=eX77vvtVC<5&)kDY$Fd%Wb;r(r68Jv;(Tsv;bUTn6B+Ju7f3ZKWIV~NN z4vtC|Q9VxSslPa2o;mDr!6DpH1P$7>9CGO@EhQ7 z&6VF&_h_>PCdfXQcV|N%qChMYZ5WnNGc&wxt?cKX1FFeLfZ2LmrzkS7XZki z9wz6h13Tik&wPEFEalzuV^pw0FxHjhU7u>t{)OJRb4z=v8>_kfO!eb zPvKsXN~&^?Ab{Ih?U4N;FfW1mDeVttsmh;(K)pY=!@UBN6PTaU{-=3NPNZMTZAT!A z+EwnUkT<3Cm+d&da6QH5Zb$m2IGx?$Vpo-lcH(fv<=EkTGZWShbWar%76hu_FWYgz zJTnb{f`sg*Y5cq=bP{Uetog8YHJXv zk6+i;aKZBJ)W@s1$9lDV^O>jj$*;S2A6s}hOQ{Q+xYlJ8OYJj=;XW4I=Skf62M<=c z!-XKLwBULht2XPpNxWAC9m;ow+~n2iM^9Nj%hA{Vr|>4=z5=jLj}vH{8i7_H!2f{=8;lN?oWgNy?6g~ku?Z{fJ%Wj z`V(m!^l^rULYrgtzH5Fw-gns!eF4|Ko=S}euQCwo+o%2O7yPl?JaN8R-y=YnHIrCP1p{1gca(O)TI_gDHm!}SR^_TpaZ~wCB z!02xU$0SQlvmRHQ4oRNqZsUNnDUc+|TM7Or^RgP!UsXTW z@@{EX+k$@#FcZ}e80A1}TOV5EZClc?OjvTKboKcpHQshfL`ag51^J)#viQMARhnw6 zzOrXgNUqR2>PWr_Kel+WPj3Fvb)5f2cL&+E6PBdPb?3-s%H4VwYU@ z6U(e-+NcL?Lz^YT^^Z>~(8mR8#`y?1&dzM4zRr=B+f{yW> z8gNWozBL>SI6m*s|M^e-2QlbG7iyK>GAQa5Jt*qIqbfLI)?IbigUj;CQ!hn3&KsoS ziq-#ymW)q!Oi!|x&D4&LgH~FT+c%*%-4*e_h$zJg_xB1_W@fbD#3SHV?2LUyN&4q5 zX~8EXcgTK8@R=l&Ilm|gI?hVd`dE@o_WUB1a5tzAGoVe9$)Ka2v*v_T&R@f9^TwbH ztW3QHR2)sy1{%YIyAve11^3_yF2Nx{(8b*rNs!>~u8Rf%v)R`{&aa;1Pl`;w*E+)tQ_}hz2SfD~N~4!wX0pe7B;LfW-iOYKrl@KU5!Io>f|3I)CO)L*0))!mFrE>{mX=nXF<*J1mJ>svOW z_&D6ub!BTzg4uAt3EJHdw>AHYl-4F*Jx!~xK}i&k9ZVcFTm%*;LQF&?rZ9iLX5=C1 zafEJ#9Vf*fLXS0;I)sF>8%VO$x&Y`erFM_{wqG z1tjf?8h@bm{h8!>cbYN{#bkK=9-gGyYHZAl7%%fF#Xj9{x&uzn;z_wO+2TmYuw>bs z>aX9qI#e3=7ORkk^s$~fB){gxi=>mLE%8PKEUQBkNMmlCgEchzT3-O(+$BhKwcTAK zCNmUErrceRMY&(k9r`Z^Tr^taLRM^Pl7G*{3vXui2w@Fc{tZ_nItH+&(K>up8V+_M znXv35aH4y=L{UVK+1jnbln(tszxL5}{KLuDi%i5h2Q#iKxlDbo_lsO3R4#kE#0!BK z5vI*}(!G;vGM1>tCJP3R&q8F`79U8QxqOFCpW6;6kbBHb6KhbsP8Fq?#0Q~-2HG?1 znh*@V+`CPJ2JJVyBF09(MtMIJ&Fyi8ok3&=EHygtxz0nLH`^nF78Z+cZ^Dcz$nRf4 z5KOM)V-JQdQ=CKG3$*B8yY}*oSXB-YNJH-v@`JT_8H?K)6JDkaQ0=!^2K1cNOXH_H zDiiTsI^OhE->%IfefnUZTVYTaJQ@G7c80%p6~pLNED9u)?z7(Wxt9#MX&u5j(rapr zYB?4pF6_E}t~*x~gxnD=_KTy(6h5CxKCMoK`dMkX#%$9T_c#J{eyp|NY2?F7kZEQp z(;4ff)+lhn-~KcZ{#X|(cM=*J=Q(JnE;Pfp$9G&sU`4e-&m_9?d17f>Ac=@NaDc z%;$~g(WcOBPZi7$%tc_ar(;m*_vB-N*bPU@oy7pQ5H33QRYkGxT|({<0Gjbq{*pGm5xt_X^IaO1it0j<3&@YI| zqT5bYbs-xW`S(hBn`11EOVV5pRd)zI^YFb5BO;|Ss@k?ZN_*IF4pu9!mVdp{q}<(ohLd6p5prNL#17Bl2>9g$6jVvU+oXpyJ8*CJDLq+s<2K~OhIiUat+6J5 z6FPVGKbDhd)L*>e8Qbe|T_jq>xc9htqicU_Sa>CnGig#Sw~w7CF7^dX{_s-xSv&VO zCggVEPe(~Z1+Nf}I}&D#Y8>JBmw_IC;uBbNt2VyU^rlnNq&Tsnpfda!{+0l%mlzj# z*%<#mTdNy(BR%)+InJ|lBlh8V3CUqZ2HU0CJxAubKbiP}EHg`@rRn5#>}B%|TDM2n zO~k&Zti*eFe20%2;D23L68uq(B-2^*?cs3tO6O?HbrPF1+<(-v~s zHC9l&yL`PYPAb;_v7(b8IQ~LmFVM9Un{xxr?p>tNi@-$MiPIcV;JOQeF1~vwEHs)h!oV+~(%6i{T zK*vcB-^sAGN)W4t_ty!a5S=EKL}X;{WJ{A<@B z`e(Jmb8NEckWy=X%<=`~=kCu+@7Dk1$N9tTGwvy~s_yR`bBWFl?+)E9&IG3Cr(GMw z=H1Ga#xUmS@o{jIEK4bKU0|ye7dsN(^Jnr8yIsc(oHDSF>B;RE=Aw$I$B@zJl$r3u z3XHdnO>hE}n^=wyuLbLwlgz;cCN`SH!4I82=v)nD7|06-{~ckobM({v%8;d9KrdV{ ze$kk4ye}E>30tJc(WzE|>#bz2h2&(h|AExSjL0iNXHHDa=Q%~!5w35kKZVL(gbIH+ zAKWN!uV8CSfq;uBg7s34ytr7XC%MhOP;c=4cx`oVf2nsdY zLQKW5@jF5?_vE2zGrnq)*xeQvwDeZpr##`xQg(-WUJ2E0HfY3;gEfjW7eCXR*KShs zPR^ZZztuMd{QT0`zG9m#NMsu-r13gmkVvuO)6Xv!-a4%Ax8M&t4HDm-qhLfo{&4+~ z`%B3>lOhn^r|)U!*4R#CLBB-p7qH*(+cBBXieg<}BItv9f=S63S)v?STkav257tkD z0HL+oo1H=g@9zn>8p%Gi`ED#^hAhUpfgAm3#O2{=(qN-7A3BwdgKl3{)qe6}H9ZLef8n+!t6 zJty0YlH*!Htk{7&<|6Bs-=NL9;J$*LbWBcg$S+De&MiM}%H724dM;$y7E@1$Tf!SO z2<8u*t6GRSBk(X9VJRvnDx%hD_pjX;zX7k)gJ{=vPQu!Y-W&T2Ez}utig}jGeDtV4 zd4@>Vq(IPOa)Zvw%gHP8VS>@$Es9DK5Wd|aM0)f#+p1FnX(M3XE?BNw*r!u66w{Gr zCb)!lcS+&h@|*p^V0sQ6zg7ABSiCOd8PS~ML+V27gp@3mp{;jkq z;H3*DCBT)cHNkEyn2`;vBy8#+&q1()_satE@V;qOvJh3zSYL%h^<(7=+d!5vU%?+8 za*@)tg7ivM9slx2zqoS#_(eDYx>#W#bwdjKJY?r3amDGolX%8iS>7Vp_&Clz_fvSe&N+HVox{72{kQbJX zkuu%qKLx`sDtGCAIb>~3BJ^FV7p5DJ^%3{1Oa~<@Moob2)oxrfjY1}UcVC2HJ=qOT zl1z{ym1iEB+E8NNR~|Hz#j&QBbXW|N>ef|?`aGVe+1z?k83teT8sY^wglsy2Qz#jH z)_ZxT6O|U#WJP_BbQqkuSE|=tTefr4y1f84r3$ue8jpQS7DcopT9Y=(B$1|LnXhGlfNp0?MfL}q+G(gtG2wP5%F7A9 z^mRbkKH13brn6%7Mf+J!p%}Ifaf7d^sMv=b!#YD=7li(rpI$eR(>41yB@b*BI*9P?rv=BQHFX-!KS(k4l7jB>I#f6N3YfTKM9d+ z7QSY<(8Bona-nfr1KR|>@L`lyBj6_DS2|NI1(Zwfni5fMrFrH>VbRMi&d8N9{jc61 zf{XkiY*9NS^faGmWur!n2{h~6R4d*oSihrcjK@AM%yo=fYJ_>s)k>K9$~t!4-^nTX z6O19%<3+0I;p7r~f-SE+f0um5j3ESduH|j*e5HA(*ayKx0CEEAgh)cYW9QcM#l&Wb zIA~R0{Z=IXRUmE4qV*&FFF8DForG(w{EmcgjBj`iczqD7|0X3?dkYt$e5Rsvl7P7M zWfV3BMQAC@e#J4E%3ny7`Xy&y0q}CsH9ku{duc0*2@A+SCnM}S!;3=93)*E!bL2bV z&b8%Bd3U;)oc@&L^n}A=E0dWgU^n-=?C|zPm8mX_*I;cj*NRb7_y(GdhGMW47#}0Y zHB;;ZpfWo81eqkSr|&t~fSRj#$_d{z!|3H)8}Z+&GApkLbO)8|6xp9i7dGH7VN#Uv zT>UlZ&Wc%by!hRyfdkmE?8}Pzu8OiohMM!t-d=H4E1mQuYSY^mejgGMc0qeB;hXmP zNw8@|=9%C*827L*09)>N&FLk{AuBAf{&={gaC^bku+Uk<|3;xB z)n;t(fdb1-`eRxo+7itBVu{ABkPNJf=>F0(Vm-#wiA&%nRA)|k{Z%5#T8^xBpMMMD zf9#ZRT`~%q$=uuy2G^+=#T7n83-g?+_3Sx~hD_{!Rv{yoykOWO-qWj3L>DTlIqt|9 zdmHG%Iq=xC06;FQiN{S9=96lC&Mb@wOundV(;8$a;A9R=fuRb`mFddXxVrucOFP{l z@%S>nR@HaCcFl$>>ax7!t|XyrWh3{BV`29Wjrk*DK|b2R6zz`zB7a%JEA(isn-lPW z`BqnBVe5y=sLmig$V}!whO%x>|J?ch;7KK=)vNoEuDg`#yVV*=vWPa!+Fukwis=>7 zMtD;^=6^L+vbY01y1xWnv}KR>36gh1QvQ?1g}(J3}@ z{vGR)*UOfZwB)ZXvy%N)oDc5d+*xqk&#sqp2VCMG6C)6KijjU zr+zWSjb+`WxYNB3^H}ynHx-bIN5lBv3RX zxo*WswM37brOyzIQtC^)cAG$!?0@8g0r)l%jXg!tWpI*Lvczv+m8JU1Vyu`KRPYUl z(6FarAfKLHLrt~wkzBi91kTaLsdq_e7e&GYyG5e)_A+WPC2d%VU(W+JwE3)d0}J`p zqxGm!8*3w5xtFkEGh>h68zNK@{^`%Za#YY2s%GDFFKKea^o9U+C0tt)hf=aGyGpXI zHnXL?XRKXqntQFLrx(rmi3y&vy=UL5t} zVWRHc@i(YTE~4WcYrm7QN2X^adJz_OyHrf$^7+lzuwO5*_(^YZm#O(ypQMdk2?8Clrh zmyXtM@E0g(D|2lez^eA1REJ;}Cy7ZlV;{>8*Z9=QuF^FEJdwH#K10%|f; zfg1dEif`WgTy>{!m;*xy{WsCM#??oI71vJUJ5WjKk18wJ6%*V(8@d=5=jBJJE2?ND zuML$i`}kq~athr{yd8OHy7sA!%F(9o&1JbNtV5&X$uyye9x%@MRkw_>e2zwsTWjTA z1u-Z;_0-AE^@!B({kf6s@V5GlIWyPya2AE%AV^H0ljx>f>3KCHXe@J>HCxwfGa`-g zOPr)gnwH$%L=akOmJUl+Kk6sp(`lE_04~ksQw5TffcBN-0YczpjX7&kxt1%vwg z1ZJ1?Dcz`Q#gsstK$@2=0||JAEgL2oN?&+W*|_zMbCk+YIfT-fDoP_9MKz1H`Hq%a zDP`>!J2C%yqRms8{s7EbTjq$*{MJ;>IR7pC;#6gbC+Rb?BHt0r_Ah_LU)KcHjQ0vC zSr`irJKsqM$9Au_@{$Y{&5}D69-;{r7mhI!433+_3gN>aR6gc_X30!7ZY~JpM1g1N z0o#}N@nI2Xln#Wh>ON`4b8lR%K5bM#(8P-r(h@@*u_#odeew53g3uoGnK_fcP-UDX z!1H2vdroJ1$8!izO~bOpShq|aJl=xutgxNxRAb#sjTBzFB!4fAdn|d^B;!QYt>Am| z@^%@K-UuIrjImuK?au6-K0qtO!F)KSiTMFQGnDt;A75$eZZt;hw_@Ds27jJPo2+7- zt4I_{Zou&>^-Z949wc*e9)h=FB865Q{RafMQz*=8#c9lWuKiQCfZjv zCa*VX8zZdRjRd0UPOnX}^-=(@Dris^i|k53l=9J_GTV~h5t$!vH|Jk!w)z=HH&BT$wpGOAm>yqB+uVy}G zjbJ}!R|gzwesi7ls8b2JAX9(N?y_u-PsVkaN||LRb=iaD{)Px8cE=Pi)7n@Ms{!@l z@t_0kug?06L}#+pceCNR;Tch}49x=maC+(CaAbSZ7`>Q59DDkyH#{Npmd;EG-hVAw z>I&kbM|t|xcLJ)WfvDx!Zt8=0KYyVkK<;!}wd#Wec!}y+2 zHP0k9FB15!b%dol@&nl# zMVT9Rbn)CR!kmmChrpXgtly8X5w&H8e$pEpPpc5GGMt_>{%5I}b9690&y_4oLdsxs_P({@XN|R1?xfV= z)qtK-(X$Hb{HOpC{UYNnRqE?pMsd!AgJbyRZaKgTH257P@TJ3W9r;7k zXhU1z=56iga%Rp)wnhflOF9=@EOzBz(>t%LwRtw zsCUKnk49ecq%k~io2nnKg70UN2;tf(#-r!{)k=J#*NRDY#OeLaBb2RL0pvtWw~S@) zQ$7*GxwQDEKEc)%Ei$I`!N%L)yWmmF%3V-DyQcd;Err@G*W_#u7qir#-yI*u+;Qd{ zw`H83@c4ULQ7ha2++DBD@4EkE-xdQQ9Y`K?cLOT{6j(@D9RkjG^u-h1FaL;C)oN`z z1`vj-IH*>vH6~7Mmd4;y z_v^9yB6Unc-t!#$(z~wyPI2*Z!*Aj7!Y|`-;h}s9<9BQMu}0Gl`Fq)QJP%e+4Eq-SBuEKb=J^h*+N}<^ z0}Gr+l4W(q9p3G&m$a2-vhs3BOvU-?9#`BKMilrAp97e4TZq!NevGNIMU+6NjyH+r z(fiR`=Er1)v1x>x`&W}YTcxVxi1AW&7WLj+++?T2|_=jJk*|1~J z>Sl&{7~gPUe7N<8(JtfE2&SYL?qaBQ5@fh1}P1$?`Ri6~hm<<+TFjd=3XkUmOR|{XLNSMb()eQ=zmT z(JjNO1W6mlOhp*Ny@!_sjhgj}QGgcc-c@fM|j55;+o@WoZTv!hDpgi8JF#5hfEh%8-D;I!qgMAAd`SLQbd8$Lgriqh0 zdc*Bf`!R2^)9!WrX#m7w`CSx{UEUSv)^q5w#%8S|ty`N}mg6y4L*6O*UBN?L*6riR zZ@8LY+%!TA8b3?6D>Lo~NJA?Y}MH}0@@f_OYwg`+9j9vumSp1n656b6&_E+cNwS+`y7LN~aoF!^0Yg@5=h!##soO z-KHg4&T?2JBRAV!P%$D3$FJO#I}Wc!r7LW+;JDq-pq#WTGC51k0Y3hQb+MYE@EWj_ zu+n#6xi}gnesj6s^4}$UXBJ)Vez1-CLq7`&}x+c42F1 z)9-AytyQ(YkA)#*)Ms<;T?jNQGT1zoTQq%Ba_i#BhqNw#ZT97ERV(X7j2lvV#g-Ij zXf(xGn>Af5`?1vZPJAO8?Z(atHmhm?oxILpROd&w<&4jMJrqK%J;n96B~9rt@h+)C z#%lro`t-KzWl(4Sui%lk+D?TvH+ifj>(4WVZ9pFFy9Rm2%ea{Fx-#0xS z_;*%ig|IMBT2U2n!w7VG3Fi9owVMa0>?aR$aMMUl_*P(^$gLzWcUQ zE&s&IXUWJCh03UH!~ln|!$9@*b!5>(^zhu+d2ReM9E?}5ha$8XHF#a_4`f_StN67W zy=$>3?BPLPfoE{TrVaup^-|p`2`D=)b97n%Id${P9}}#U4q8B|`ba}@YxQk~1P65> z<@Kx3`K){mnr4afmy!?HjNevt@7~7+__SIWz+ZfyG+tCyZ-XSe6eOUs_@`v(hMircjugzyfJvih;|M~;Uj=27B- z^9T=!{;pMRWCS>SBaD-x54mWmdK+&%wf|@ic<;!kv1ikAc}UvzNhS zcENjD;;t5b>Zf#!;6Y7<;~e4Grun(ebMvTB$}`nP90N?_WC7#n^^EZ05@_DR8q&G&u$R+ZWspFl*Wq60WP_|h6GGuAtrNr6NQio5<)apFSIh*9RRgu} zP4F7|x+^61p>F-{rrQw=Qv%jp7CL+BG?>(R{Rgs?nYzBa!$*9!^rC*nz{e}dMfmO- z2I^>f;qTjo)Isj!MTZ@#0aj>#&=#>Tphn~D2TD4&8kORN=Ah{J2({yIibG|DT^krL%jWGC3 zlKeXMXpKi&Us$NhkxpOVSy$f~o!h&guHH@jM~xekV6YlR%J^)RK?QLSUFTiiG62wL z3g{!PHONs*O^Hca@)cpHM8#5M#ZnA4J}XyLM3EoMm4B+Ts(>hb_a32;4z z2-y@wp%*gzQjs-Urd0Gp@V^SfK!cpPp2ASXtwMjSLh)xi36_VGKa`64!ey?mu86^e z*CY1UfWCCpaVJ4`zdx)^YGGFqLk%QT`71b5&bq?D!vvptxB9~{pN=?G!cY*Q6)gon zh=`rivF%u|-i<|&tCkqR7AUd4_#5yf45oqZIpC(a2sXj1E72B z(lZaTM#q?>4geJHQkK>?ubx|+)qBOtIdc8%Q(fwe3Riaa$w2(>YVnsxaXn)8=l|XN zj7Mn0;jlBZFx2;LQVKdkoFwF9KVuEVRS3#scjwo8b=V?n0w!76FDv393CjGi51`Gd zaoBs1g$O$jNVcI0u?u3)@r_p)Y5aTh|B7Gd)~_ygfL>z5Yzc$_@us1WgcLYWetk{M zEyt65K8dK&+!d>jzDxP~zw?MoAksb;`H&MwoAa`G2xN%3p#T!-LEKaT>DjevDkza% zXMEac8FxA?O2Kw}_iJh`J%(BV18)EuB+Du2&r;df?Eguhmu{&;P5~p=7nBB7&YJw2 z^j473Gmx$Xw7)18Ei^`rN?_$R{Oq~v$nBro_{28rjTu(EL1GF8O$vp`{zvndl1`o- z!9xFgwK_uD# zb;zVUPn=vB??_IPQKUN|Sx_|WWZ%uT)6xw$7jCDbKPrZO{ga~F2=u}hH@)Ju^MmWvw2!yzgAPf#*n13o`nZ*m6T>-|2#1@ zBOXB~O0XUG=d9=Km?B{LYVJ{%#O~R_zZ5%@v&|Dj|Sm?D@YM~<$LH6jpzxo zGtYNQjmYh3SY#c4BBU5k z$r}qM6r`;;zfCC66(^%`SMmmHQ}v%|^HddC(T`})x4$K%$jKLEpIoOir~yqma&(v| z9vV-i!AYUwqqR+IS^XGTZ`MMl;3YVnK$g$LVzC|P0^(1HwA$AOr~Z!1EJrV9C0)yT+c6r=%& z(v2HrY(cA(OJz0X^`wW>QB@y{3Gq$oc?G@&Z^be4CKU%n%9Q4Y%ajV5>6?GWgKSfA zimo?`6qLu_=Cm>H2WRiXcYPiYq&#)V;8mhdmrj@i*q+#XBL2;75GT*gZ;%rskLK(g zA{akFNFMv?KaL9v7Md1A6Vs0xEN_!2dJ5bd8}7q>_q`2VQAcvD$z4z*V}S0qtw7;} zx>-O=p0VC9E!%gl6D3)zpa79`;_)L0Pt$AbmW}&Jy8tQY*0z640uN$w8iWt;Nhw+JuPWT?oozYnJQoQD$#U{{IX`&-wahmZE$tuVU%{nfy)O zOi2rQBaJ%O;2M8ha?evM4#$rm(7@wTLQYCfLPJp-qn|<7&;jLgOYvA5K}j2i3P|~` zN?eTH`@Vln2@uEw#86SqggvBS#9_hP;yPRromvKAsVv@KsCaq`dIriMBP`1&{lx0a zyb{?=7gL;8vjrD0% z;-yaDdjamtC=qIFcsi#T5Q{GrIx*20TkA2qQQT6i(&(48c>Uq0U;XI{`)*Felo*m# z&UMqRk_yIl>*3mllsG<|w8?21>GBh)V2keBRf`|}og0`RVNX5^c+Eh0petq1`CP#5 zMvGf+;Da%-NKnp1P5sG)SO~Rr&WYKeW(J%YA@R`tkzEj8$y$9q{il9ty9m2F12D_L zr;NDc&Q}D#b$hxos!YkcwFDuo8%Xm1N&G(zXIi3Y=d#LqP7pfrpuy>QCbyd7&Up&e z6vqLoOlEWbEfCfh9p|m&4I!dJrDV_6Vk=+H`NUQpg7{q0%7;I{Q@W$9?t4sAVqoPH zS}BP=bf8iGA#c}=N8Ra4*gEO3&l;6@oai6gfY}>xZHBt<)#tSovKk~ST}McxD))Z4 zo=YZo{GCJ{;It=4q#5X%MUcfDpb~%5>$m91y@@gItl{)K2@5H#%sj9cS2KdHn4sh~ zdT)MN!@olXIKx(4MPZXN9wKKE0*PWq^cJaJv1Al@8Lydwm&xvr7($HLe3)z!g6=lHg-7n6{+JfPAEcV6PfRFFnx% zK|!`w=^DI~pIT~rB6shm?Hes=6&!sAVq%huK_MuJ>9;oReC*jqHO)U6X!A`0o8Lga zTcFvlYfOjep#$c9#isdX>K(@0d9;SG=D@B&@7Y6uvSZ8X-gT^lz;Lp5(f;9~`cx zH98?~*sI?rx|^aADh=K&@IfretToRO}#?}2z3qtqYwkbe@(eLjhVQ{+MW2i zVuN<6dS2#FVH&ZMEUrH^WvET%PvGc#)3_y<7)`ioB$G;d-J*HqB_3XJicF}JNwH!F zs}wuhq6i<8UwRh(&kRpS2&uYMkzi5XV_MBc^ok*bbuyvVBM+a-Wp=!8We*@MF_TV0 z=(Xx6p^KCQLEL|5ic>0EnlaBZS$dWMl8zwOP%X2?X>U;MRX+1Ij2D zAB=Z%0&5O)7(%ebuge%iVrrvXd4NpHmpD{6XJv^#5V1A>0e1mq4CUOXKHzZc>x|c_ z=Zse+$eWFZrECRWYXZl3+ZO>YP2^}F+0f?sNL*bqkz8J<}D7#(8hB3dCT$If$Rqfw4f5|$rtwue&Iy~ zw`LrfQy@M|>o-?zTj^gRqT0S9k-$P}+Ki$RJKpC1q`$PE$E^u2oK*m!MOV11`^>(hd#6DBZ+oXulpK1I&X@%E3;4)oJzY~F<$5A&B zNcxQX(nw98<}MBQ6}g~4LLYyH)G|^?BzrhX7?4^SiwhOWxprB1d~w3eS(yK#|KzyQ zhz4@6vjh8q{6EX}pPakiBUFW1(O^xMKcXx0eV?`LJ6j9uN_*F6fjMo8jQwsLPkN-^ zExk~v866u%C!cf*AsmI|DY|3dx^grqxM9^LfftCm7_LrBAdqKT9i8LP7h~q|Frxp= zE{-tNBZAPn_>y`uP>K~ZKN&?zr^SsVBZhz3Q&mwW_pn1JK5XM<3#wszsxrwbRLjB% zK*P(&E{Y?Jmytn4WutG6=ml=E0Z|QKM7p36Lj<_igRNt79mV z?4�rO}615j?o4OYak{aDMD-_ae?@ux|6LPU4p;p|r(R06pR20?x;o@F3vB zUQi#e!jm#bSb<_7I`*RGf12HV;gDk$@~b*hokB{dlA}Me?5aZ~LNhZ&3|7jF^RI7d z|Npk*#hrevAs1!}$9UYEskv5QUwB^8LYhJ<(WONt_EXc}IZ73<2gHqbFd#bYR7nVm6syF4dg^IQ8Pm4)9356oL5MIh zAI*>YT;ICAE3EPq-vS6zwB>@JN12al#mU7J2vInlx@b%yztjUT>-^JK!nfzeeWM;j z1wsDn^^6NgKA9HV;CAb}1K#`L;25eZE&Uyn`!2qGAQR@LS_b@$s2g_v?vxbb8H$0Z znE6x0du#<0$6q@FN+tk7`O7XT}$8YwT@JDq8n z*}W7O+z7VJ;`(0ssAcynHp^hNHkRCeWY+7qG6upOGQ9`!n?ldN$z@rTlmtNk@?#(k z2<8h8pj|7ekZiUIj--Hp3+69}IMeFymwrj{vs@<@RH4tUu1l&rFj;3L(qNuUEM(;< zv8@duaMZDTv-y~1htTQ>)LMUbfV`E{eO%U30;#V*`UM$cX8hae^sq{knY_IyQLpDM zTJnJYQ0ja0rOwUCBnSde?{dpfCYkEHb+@EddurE^oc*iSoMV(2YQ!!78ElRNlaR}Y zV0CBu7XdwKZot1DW^3~T-sDF)z+!or{eF7U~OVB77GFE+r2rUF7-L)agc#aKg9zBcuU<&<>ay zyZ+;jSossfg#Qa(Xy*jR76SKw1f_R`gs#5f?UDAzp;F)x4GvvBhE4LT+%Qn&uc*~_ z>7{r0mi4*S`*x;wh~?Mqlzb5*Y{9T2aly-f67-$sOP$6XbgTnY;-#d2CNfAwmBV{g z*%=jP?4{^%{e_PkhDLdAw4n0h)KQ>u%Kcx@*g5+eyPz>O*S|2o+xldDXxwfrh(~e= z;j!or@B?^qk6-O3$GA@lr$~Ww8?erfvlx;LUU2xt?~(wML&A()EOqOKHIfsKmD+|g z3FlS)QD?$>L$IwrG9fTys$_8*pdZPdj24roLGjK41>tJbDipUKN+~fv9pMHohn#=v zT-Q$t`{x^gez-jR=E4;-?Nn=x>Cx2pUq80UG7K)+_Q3q;tbKrrHL^(;+m+<{@sdxQ zIA3t_3H$qgkwN(70f0?y1ShB@6DVD5{Y%(GobaG+Nm5A$@yQXTTbP(_otBrPVM7Yx zQv758oHieN#}b!FdE4c}nU;1A`+OWGX8ZbMCQz|ACB0;9JsQpp?^cle1lgY&ByYnB zuE#mYdveb1zrw5W#5$b?+b&U=OW54-1VxJBfgzJ1P2ow%oVb~=a~6VDP(cyO;%?pe z`o(W+5u%6`ibLqIYw!CWmuhTQAHnRsvhqSzQ9O*BXYbhft1XfSLP8wCQrsSkFz{LJ z;DjMKJ{3g_1dYbn__Ho>Z_yP8i6*5-z~Evf@Qu-2K1yBFf7*7n4KeeP_BA%;d=Sq& z5CV|pgTNwZdiuhmd$eszc<3q&7%|kzd_aG`g_E3#-4SBlbafA#bPLD-8vkH&@y95W zV@qk&|16UHKoZBDHieXJJpZC=!_)`WodX0Zqde8+Ya&%5^$&D+KR1|*OQbsPz!Z7{ zarwoL!ap|zNzt+L@15UyU9~=sD*JJ~2vW80aaOe7q@vi!;B^vX=3SKbqH%M95;wAE z*LQ&%|L3y=@l@9r7Vj*;Q|u8MHbQ!8H&TaKvE*3Zi!uH)?I2=FsJsRHES^YLcdRas&(g!?x*2o(@DF_+kH~&nPjl z*(!Ora&{#sCAM;&cvNXciG>@e#<~rS;G$-~)?Mkr#HAfsDtkxM8HDY2Tf@M@{G9V= zX6}>EE*(bC;+L1_g{Fv7BGI1E$GE%1h>UO<5T|+}-knu?!q%|AHKHdc+eXK#kU252LODJr?xd!T0ZtwRJ+=Jfj4JC{r-U^&PvOri%IwBF)|=5P^oyA^wGf5^S+L&JMt?U_ zc>`5#vMX(-ru!h%9VBl+XgC2oB+mq*V_kltc-Kc=WeO(+XXwZwYEKLhkL_K0i`7+b zTPQ?~a61a&A~V=sfS4ItHs>>1tj;zm8xJ$=kG^UhFexiyV6(IHva{dS*H0j9$Anm{ z=x;2-d;9B8P6#et|7Ph6?hjy1LILZn9B7vfUmp;ah>$yk{6a=^PMSt@{*y|{{X~fs zUY7E{74K7M0P6o;Yy52%DEahL*Hwy|>%Cj45x$`Jd1^8OzVd)kI( zP~8=?gYHJK%T|T>tAs zdmPHXxvHBQIcPA3z`KcPdkpSnfboLV==!|95Zy;k!M_T$Js6+aT6!r({mq6b;b)OX zjjK`g25QyJ_}|tHGf>B6R$xx@KX0q>M4&@r2wDO4%j&?eSj*_K8HT#&Ts&$)2?b)g z8@;(DvM~CEPJ?J<+Al~&cW#?Ri-Ia4bd2tWK$fvIJgWYr2`8{g(mJBio~rsjCCmam z3pb$=`73U!Ey3V}i?L@0jv-(%?N)wP=)ol|?x@6Mtq#jSzbyOyD$=65W{5cj^~rqn zrj_rF$)R=(D@(bms!k1+j@V;xRxXzd@%-GU*A*fQilF#R|M~^@P)4@VUkk+fwG;e_}d835M{{%%((B3 z8@(VeW&4#W_f@4tp&RXo3?uT? z^us}oB1hd6e);?TLJC+rYPoG=g^Ke2FTWf3sB>O6eaaMToA&HYsC1a!`i^&u$nmcN z@0>M6m?J(7{x!rUM$_c`b6{`iuG_nis`^PCUE8_KDXJ5tF(y^JR-hlLJat) z6)u&CD9v0)R*o$BYzgtGysPzuv*sripii`B=p3+)d=#=O(h)&sng4`q;B$k2Z1agh z#)>JZSPZvK83ma-`WIMLqEi(kmw4*JCp2yEvd4DJj0Ae*vFll<^yo2d)c z^nLn9v76=bdDF~|WS$~Yp^!B_aJ4dMlRU~Nc{N|KN7^}9UJ#x)?*YD>?x@7hT2s?! zLBz4xJ12VR9&fE=y~27P)Bpv~-u6fnFMM=5%e`z`jZy-c_Ic!|r zkozxkxEqHL^MzEy!-$i|mny2)kAqb%h5yVXcH2_VW8(a$Uc53B?dt^nJi`g8pV}Qo z>Nfk^>68S^o`3uJuT?B*d))RLa+=RdC?bnrLd%CvU&7S*gb!_4k7G;vJW9zpZEfXs z;6I=j)&)5OM3=<|*pKnsLEYlmRoz3fM_UpzD;o$#yJW=;+zYk(B1g2m(uu)S`(8W| z(PDnY(5YyGzWav#g^u4tvNdJvRu|$+$Gu|rJ@6~CrUCuUvT z+?QBZZNe|i9iO76`AHd<4o7U+c8$|;FbFu>=&TRW)%P|5fB=Bi5>UvKU~+eC_ou+_ zTYNYKlYR*Le`-Fq_jp!6FH&|LTk@BM)j?n!9lefOej)lH?+}SUwbCUVZD9AY*@n&I zwiDux{VVVdZWcGYV2A1rZ!hG=C<@Sx_+!)EyeZR}YQ7e8X$6 z>7*8c!e6)W6L_bnFlGZVzB`?PJlOJh>zWW=l6qvKeRtfUTQ(&I)6>^tH~Q0vhWJAD z%uX(;vANUbx1MKS0wSlX#y-__jKmRUF8PANr4Ck0XA$8uu?*>_&i4Psln0Zto$K_3 z9~wtfzCQttDadxNWlBtS$BsDBBEMDAn44w zSu%Ai_Kvswfpl_&@?J8k0`}cUsE%U45c2+33K8DARtgUFC+?A!z<&zxVNi=fGyeXr zZpu-5@_W#*Kq1?gf72Dg2U%4jv(|$cDDBOdo^*-w4}e7S|Dxt>RR|D0J|L2nB7U%GD|mUK z+WoHkO>h7n)!m)vf)Luwbx+kA^SBI?pm{{=pV}eii;EvQS-^j&S#jWWsqSTI-u)f- ze-XSIH^jR4z)8q%Je}=~H>2ZY?pm!$k|GV!=Mx*OLAs&?^&eni_ zg863?N-zZSWW6f6rRw^dQmhAjq&F1DDH9j9X>Pz!5UHHaz(5A==JZHk5@f8`AGu}` zJu%ui!akeqBGl&tfW9~N5-J0seqZ>|R;vy%Sv^#I^Fwp&`{d_fR(i+r5CVNK!Hw6> zydj-a$C}ppLK~>q!QU9p^-aChs-g}Ot*U|@(z{gh$Gf(TrnG|+opT6M z`~M>;{@bU_I#L<(&yTp}M!g)o%r+Uo(_46{c=>ERR%EhjjbVSu+WxVSj`E4i2}Z4M zT~_$Q0xiX*kYXB7k}y-=e@@X?7fJOiknV}@iK8z|Hj}q9G_!vse1g>BG=3WdtOF`= zFPQ$@7kxsA*r@_q4|RmBm-50xXlAEXbYkKFR!yK3!Z+?Nt4GdOSQf{DHc=#{2nboQ z#v~5u4a{yM6paeUs}e{Nkgb16O&ou{$kH4U`euP0Sfq%p>lGrWLtbqQG7Ba(4%>$f zPkl&rP?%kac=3zkvAqYK58|YZfej+1>gheg$>3(civr~TtLSz%EgGK<483<^np^Hs zj`8^-(C@};QRy#BdonP@(@M-!U>wsxs_qrhYr6Z%N#AE}gIrMMW{!&h@#CPxacTjFmWMipjYi zy3EdBR9jf>8#A+PDRnSi+pU4$R!!{WU2bCM}ibm{=N#l z<&HS|(3R0u%+RwaUe$M`mKCn%gc#bfb+8t^UXc4kt8@(!oHpGxIVh2B+6}_W9YS2P z`sSY!D;Z9?=%JIS?Pz#E^8XO^6;M%aZ@kw8!X=&*k8tHC$d*J`xTWjuIn1yG}I_K>Dec!K+2-K<~=ysqU0FPg)Tvf00 zA1uo#Vk-(e)G`&2uxFIB>mZO5?TjTF&F~a-3`TQxsuQP71&tO?D3;dJ$T{$VqPF+a zv-P|9tc~@g#mZmqenl--B_JIf;xXtw>}*zb$=qOERnq<@?J=jIbO|s*?TbD2G za=2MH+}kBDf~Q>UjR%C7G0}`NytO%kWf76F&`wlF!TK7y+ej`NOC)j>Tu~XcCE8$G zXuTKXT@luLQDx069Bb-|iEFHF&YI|-fkk_RPWQ>W{DlO?a3n}=ynScynlpc*Bo#6L zIbd}dtDZ_hn@Uwuq(8sYc>#5SXwH9RD_Eq=AhgJo>N z##F6&h;1?)_);Wx%w^Q!Lt%|6?bu7Nk{%D;a z$brI#d{v;&D@$RK&1}83eL%K?*1jx@`o~%msV-RGnH{Q3n+|*)vIdrWKPm4gPy_4N zK@HSZYS$0g!95_z&F%b55gUJi?4oe#j%T$M2PIAcAMne5Hr((fQ9XC=|LJLn$Qxh` zEShC)%(v<>#=?O|m1%LqLiwZ`R>E-j;nt=s9B|Fk!#M*?+iSA$2gc-p$$q|dND3(w z%S5jQ1NvCeMh&)o1hj%090U-@ky6+3=UaP8&j3p_sQQqe#lyt_tIzzG5>fi?!n1EN zb++WHx5<9PL+aqSR8_$X@3EOomX>j@Y2b+?Vw>#cWn8GDjos)aKVX_p6S8OsvTj~v z^PG)DS8kQmz=K*xSXK4*eB}D4mEN=1t=_cy?Gu4T_vsqHD+!maorXIS#{rElKiY_R zA2|7Gx-PiD~AORd$8b3ANdGcN5 zHf08vF?S0W5nAWts$J_E%+fRTre-=snJhhrU)VYh($9!{KkFZM$?b6FoZam3%Cvk% z^M6mf{HP3||NnpYXjbkuzr^VJ9_*nM%!P4ACoGqvxl&lYAzw`QADxrnVGbv2qHo5Y zbyd636GqVqO=w?E z_icfI;is*g!Vo#2RcPF2B71X)Et0hH&UA`ST5Ps-3j5yUb{a#_kbAKrHY<0wN3~C- zJ-ebLPP^O`#)N4a%r~Q_DZ%Bv*up)nPXY1+n(kSPUy17Idce6hYjn^LKvGrEWpciT z4oHt$kXuH$DicrIpsyd9bpDR3&UAME?!gyg3e>mWkx#r3n}SWc8+{N^4FSmy3^}k@ z^)KK$%>pT9=}r6faqqNZ^&w>L8s^GvmgLNn1F&*jZ`vA4;dvEM$T>tvZVgROKd3L{ z+!uNmTW4I%9}p>Yl&Jf$+g39p9%o|8GU6vAS{Rj7R=QknrQwgPM zV?UW=y0(yG+maZ5KNk*$@!e*CT4bZvw@uNNO?&rYbj_4cV1{iF`|Lsc?rjgKDD7m* zGU@+lox7-wHk5d1jf&VA6-g}j-14|N76^}k4#7Dx`~OV}7}C8X)3eUCQwoU7`>mNK zKt!|`bX^+OXTG_HBoL z3H?q-)>ZSo0}>fq>dHgAyP^}=!theZY|!+91OIP_1}KWt*`Ms<9n&`r7i8<<6fEcG zd{bh*>vh&FPlhJ8$7vePnnkr@S?rUQ8_IsKH2N(2J9o^rY2~{|D(Y7otf)0O`H%+@dCKzALav9)`^9^^SPQtM(lu9SU{wSXQK5P_cGC>ns{I0aE(ci%nN=< zOTL@bQIfI7r=h4mTxJrL0ce%>Cnff!J4o4_n_iflwYK9&NV7Yewp8pb;N;NkI!PB% z@2watZr+L#S&NZ~sQNmiHbYcItTN80wcC73N;!_-3xpXCO;m6Hcx1-CJYQCy0&LND zXQ-6||H^1V{U4ik%-+POshow^DVu@s&g&eAjTxV$`sL4s=JnuIsC0jCaz56Uy&*WH z^ZE-F+#N<===$6x-uJ!g zYc<1G{rs~>y@`8UY#8FA<G+As;Q| zQ=JMhU6qD8gvk=jJAWfReb5(@@M+HG!_<(PyX6dCf}nOv+93$(-lWWtQt zp=ysv^P3ahDpc4YqYvK!t-sdNoz~>V*y^SAR?|}*$BFSrEmG~1kHmM58zz;ZYFjv% zaEa{#cl z@_7=^_^31MV1Lif4rlcIvwDJ+YSGhg(R|zYnowJUYxApz%W)&K0^07yaS{IDK*3zO z!dhfJ?Gds9aWVOtcC zN15F5Ins^}G{UL5m_4a4xgCT1!B5yUbY6Y*kTB2%wA$uRWPkVXcXp8fqsDi~blMtJ zX{mdY_Z5@Huy6w!4&SBdKIpSDPWeX~D7!xQpN4B_hs4-*X^&8;+PeqNmApN$90;UK z6dJW`xu+3H8RfMl==~BeXWIwrrQTd*sq<3SjGM1Vt>)L`EfRy1Y93u_%fo*K6co`s z1U?G$IF!^#@bWjLI52U0^P$ouuvR zE)_6xrjC1LM_|cR`TREZA6Jjr{LZz_JvoypQ&!V@)Snt=p&lM}U02Poi1ew9Gs0+@ z)(KV=J8EfB57wK`??FvdZ@No5NGc#7=JCe@mByTbwY)8xSA~{+wTDPf^+YOSr-&B| z$3=rIy|jyEE;;lZ+INpd@|A5wRcADMK-)=m+BtD_y*qc&dlWz@nWaoNlf+l&P6DC3 zGV1qP75HD@nSHqtDO|S`8e)x!PbMG+ruNPKjn^zbpR)6ls2!RBr%EEfhIEc#PW3S6 zvAGg|9aQ;Y_mlc#ib`kPmKRg=Nu&M;2oq_qeQu51D?t|-KkuST%#riXENQj@&Tl@O z4S9vyjSvTX+L70GwCYU^F`l$^GJATd%$@6%ipk!@u|(H{y-zJ&clewu1UUp09VrMtk#ptMXU+R`-5R$_O~3VSz<(>G&Wu&!|iRd>RCdefxR z>S29F%%#5+K7`*zqYrW?94ry0Lf)b8x^NX#$bfFxAzQEI_ljIW8`jIG7GIXEs)AZM z`u=k}QkQ+j{f>GGbyxO;Lra-LHa>RwuB_{m-f^i6z2A06Y#5B;c1N=W-Dbr5;pVN~ zGj%Qaf@%0V0`KaAboTak-k9TLHn(&Rc5JDKQ&-X31&DUw!hl8#RQZ89EncxvTuoYvWnc(arI;r|d z-ZdW*N90}VR+_hGK|ZOPXA=?hVMC?T;`dDLAI2AG^xN!ZfoVk0x9oMu9eB-^LNQ0q zEhfG-e{C*}wJ8kN0}Vnclrls{5~)d?%Qh8MPs6xF zDF(8W;98^dEGy{GzCH%+6U_1vYDHPYwfYZXGWgN( z<;Brb^yq7qCMtvvZvw<6AZ$S@#--l*QF=~G%P~jhegZ4vp2RI6ZvqImoBrgcOIa|~ zi6zk9eJX~bLqqG-N=#Ls80_LU{I_|K5`)`VmS(cZqK z?Rrsx!D#eKw9JWe#O|`x8O2v8Qr7Kuv!-b;Wf|ofu%!1Xk4CnA2QNelPKm-~jts-X z+0IG=>AXzO?=_7}^&DaBZ4ql>%Q&H6>MdE^_)$CTc#nEWl6D;5(cb))L)=$kT=`gM z-j`bVf@QbF!9$EBjd8SO(Tu0+p?Z^}i}pPxI0Irw(fmfIVvhb9(5TZV>sF*|Y1oXt z8{j?AQukwzdGvv*E$Lc~8LvljSWKsC75m)Qk2&4s$2e3!&dt@F#-Ov4g9lrDK68Vr z0AOGS00YiN0*l;2QBRHo^asC@wtcNxA%<1clSYkWpwwgLZM>#3;p?FOK)DtK8 zlajawiCVh0Pf~;4+tI!})p_;uQWpHjstqg+@R;2pEBu$I?Y$%9D)zng4`9lB7*pAh z)OTITJ)ZFzDPF7_9)(J#ZV|a&~E%&}SP9=t= zpG$gW3oblLB^D;IRE;|NV9opYfzX9wvVer^TvDe@UqW3(5x+3k!B%#$GNYbFM>oaw zgmk8#%C$AX)^C(ePB{xJ{9fVUjpeq}w_e@~w&z^rDN#=qcyCv|&3OD%*1X#HLTIuk zfu{K)6F1qh1M3|(<5Lu^rMB6{UuXPBB3_Q-ZBAnc%tE#5X#BHw#nVOa4oBLN^~VUE zHeggBl$1R$-k`-N6HN##Cl|#Bnk(ruOAO1VXeY}mv#_Uzn#WH0RF7HfyPa(YXWIyQ z-tzI2#mi{)CW&{`Fl}q6AWMT=9D5sT!abJ-)Y2lH{2@c^G`r z9Y>UHsFuMF5LfUZvaf^9)q0Y*n2}<#jf(w2lJrw>$h8cF>1Ow za#l-k8EMw{(Fh((pJ$iGh_t(O*LG>R>@~`y0upYCDmV@osk-EACjvE3(N`rAQ(?N_ z+4ypuFlg>TcFiY%GOianMa1n^w@pFQt-cT@QvTd)Efss?^{uW%P-hgd>kWTTk}z*4 zw{pF|2?Z!6aGEI!bNZ)Kzb0P1!VS|8>p$#6sHvB&b5(-25lH-S1a7q((vBT?Kx#R2 z;D1=Or=b;`00@+idh1UJSjbi(G)o`1?Tk{4ng-1@CdayUthJdnDV&d)@@jtQYs_&U zC0Sb=v*|Y4mX^}-8%x`D92ks`O_q#}bsPLOe(qt|{?}^5O*({fs)S_aY9P;+dkL%; zb@UE6>^1sojN>GYtIXt>hDm_>24CT9HG^0Zezk`&&~bP$g}?pA|5S<7D_QNoca3mj zuG>$hM5~?Sdpe>ay@hR|zky0Ezk5uRou-}4l zkEn3QK8@nD?%F6sHyLOG>&|lrc%gp-E;bwhy<|uGGfssyTJAl9N3e!Tt3Yq*XvInXyFv%z_7ls{jK-fNNc&M@6aH4 zRT0vdqS|FPpL)>qv#{_plNVN1#3jP38ur*(0H?`P9Uyrv#?Vf#Ro-pCyAx4h#bcw` z9ViSJaL2@DPD@SF8n^h*ADw9iyDde}mC}Wa0NZ5Nn5(+r|8j z!E*^9ltQk*18?-y!*cN_5#*c#6v~x zy~#XvS(1YB=Cf8N^lX+if9JYVr)xK0om>L+uxOl6hH|1i`SR6vfzNZujSZ7=^Qe16 z%(n7+Bl#2Ozv0tEtaR`2X?YiYrJ%2jmfpNnPqxHGnY|<;4bpV`zUZ6~S62uOurwO{ z{}f&@E9Ft~3C*dew6)t3h}-awp-P;pOP)Ej@J&osZySiK0Xx{J;*gLx%NFhhd!Hc1 zEe*S(Lm+o&f4z7Ms2O{Ao{+`kunEr=W#$YaBQk-L7%h0brZEc||Fym$uk18go#nP; z9Am{N*tjX3kILI3^7j5yWu5e;oQ`(#@(#L$kxRA#h9ir3NP)GxYUa%9dh&cFkQ#D$}(NUFb>Mu>RRE4*}3h zv{~2k=;8_MWw}h-DEQ_l%9B~Iik4a>q*D7k7`ps`i_0;1hdmcFEHz75(Sg&^VBH?Y zq-Af=d7^Y0{mI&l!3|pJ63;;SC6jitt$x=(MAdLQdm|2dQ|)fGQ8(|3t6W7V#49}B zIMVlX`)BT0HH^0A3;YLMHN~QRjBf_(*%-Ps{Bv@EW<6IS)hO=8Zhe*ZY49!h(Uhwt58N2Z7uEm_0dB`T6%HWtV(azF0IIML{k~S;7`BDJp31 z0#@ceOcoVA;cSu0>h+1ZEf(FA;;EcA6I(-5XI+mCL(#tmw_HYxdK|qE?Q- zu|`nQQK+4{%eX4o*VBxh2qL`*z1SN``!GE?$a~gje?1*oFw^mRO@AX!N9=InJ;KG0 zLdy%$qKh2ois@NRHL{=%HUljftaARAWPseYb;^sis-oQIoEn22D1gF05t~i^ z@5xnlRV^R=ph*%WHGZQK-;Qt+ zlxZJRUMSVA*W8vY)d{=|otR6+)lWL$lT<;!R&-6cigspJehlFLlcd*7FTz;H;si%Q zrB$HK_u%l1%ado*kl9RBm6Hp4b=Ra*@>D?L;xT(x@B#wSeba$0$MHztFJB4!Ui`NY z>8WI%Cq6BUVpCsuJ+z;S*eL&!j{hld5{8QB0f7Qf4jlQ7uXc_LHyxvqe(&4r=41{Wi<#J?DB$n^$`6sWbMIx>K0yM zQ-0zX144d*8eYT8Zt~pr_mG!xZnH!*QlUrYvcIRDI_bmV_?T zqdpJL7h@DI{$O$I@gjz-^qevAlLq)ryS#a1xbIT%Rq&C}$WGbP*B)yjWZM^bc?9R#6AwA(sHZ* zO6rme*@!A4){%=I)Kvt2dfgrvq`4Ee-EN1;Yhh4Zthh6RJ@PNZ*~%#`0=xu|vZ639)fU<+qbb<1$%#B7@riXyz^A>SDy)K;TSlq6rK2_ z@hTMbEMqIjr>fdd8X96_2+{ZZZgw->Y>CRm*Q3ufZgrk7lwGg-+nlR-sxR&eY5!lZ z(^ihK9Uru87M9TPG5&o_XFl|9b9+T@`sk=PB5h%6&$qmm~m{D!-vsbuv zo?As6+n}n6J++wsApnkaoXi+l?F5I^Ugxr32r03_kcJQRaOw0*TN*uL@DCa^&@{(P zYYnHoVZdNwv!mZl4pnGfgAyMTZGChRig|D-v#nZ9bWi;UIj-BUdC50&u@riy=D80s9Rfed)-86 zJdTFvSZuudt+hE$fp9llJ?{+zPeSOdPseg}Q<;+Uf&!Y+0sc4BE@JN-&rBd711{6a zZ5G;}GcnJAjM>$(Q%yTHo}l)$l9%OiFQkd~=k01j+x>I<(eHpQM7Yxg00~b2ny-r0 z014~aPo(?5*Kw3l*gi8yCS|SXyew^8_0@ic;aKw765b3<2E0|NxX4}+<)i!;r#6kO(<_4DJ|oj z({KsddPgNZ;8sRw&Ey)_YRN8b>soN!l(5je9}P6WD2E-A3uDW(S=aQwd0Gr>2@0-A zb*H0nZ173BqUT;qI^Lb?{;1jZUQNgQ=iq75W-z=rket~AAVCZO(%BN4F3YVX{*10w z;I)rWF4}8TR!CW5#McUiHf0htYB*N@ffyJpZLBe{i0sp-Xmf8ds%TH`YN=?G>Dx+U z0Yl0`B(-Z072W1u5+O3cw!s`e80<(&$8RECM@9E#+ARK=1K2dDsPl6WJG^{vGuy;& zLs(pQ#h*JwADXF(L+5$=?YK+UamYX@FK-n|)Znc#2&1=jGh}WJ-|F2rv@mNfNv%OW zh?4NiJ7M_sE9zbnWq%mkK_F-hVCQMj;|es1c`ws1rqQZvBh3YgBmu^qTL-c2-q6!H zp<$WIqPmr6vIQJprG-eZKQlN{xBiTMAAWE71^3vOu; zr_)NuGEpoagHKZ6Rod6CKg8f;mhW zzH~{e9VX#wI-=f8=C-s#YY=5oWCp6uH_G(bfhn{&bZV)Iicmuhm?YdHCmiQnL0MatN~ zNB0S~VONHkkTs{VT_G;vXo=Ay6r<#=TX)`zOthK$;?FKnh@r*v!d%GpvvJ*IIDRk= zFFH+SCBj0)?LMDRIa^y8ecBg_@mkl5#X^qOL0NO!mtetk`h^Gf*X;by61sYEtRchN zLvUGvy(Wdv3+&%sjJ?hm-*Xuy$X!l% zmP-<-S>+#h9`)_^(2-ra)r6cOEeCqGkaOu*Tgkv#73a2qLmmM0V5AJ96SiG2 znb?R)KIH6`^wC2+*(}l6wX(^s!!t8M?YEF};1v}m)28dyCe!jSgl7e;wtSp*jCc=5 z4G^j$<5x5?#17)YV~HA_at^tFvmXC|Il84l_2`7VbC5I7 z)IH1H_Ne||`h*mfDNL3dkio$s4D^y{iB~gq{l_-$HiUs(Hox#tagJ19iY2W1lqcjY zHgxS^HWyfby0+3xbP}b}J+r^MHzv90>IpF0+}yPXf19Iq5`z=ItT9>}J|q@3Go#)` z8jMX#1DKTwhsyW!HKW#(JYasL#hbD@{rfdgmvnhMy^;`?Dr*Ns=5~D-8+p#WPesxu zA@r>ri=fblYmb(eN105_RI#HWIHumWqi#WZd*Oj>KgMacJ+Hl(@g5p$5MTw4Qp@OR(RrqQGI=FZMOIg9Bw>=`5gfqL+I&DaLrTaBhA8;3l$z?uRYp` zIaZ|kkw&9-IsCmpXyHI3=hNv+MKatKSZA`ZVBMi|bDsA6DN%UCE*F@%aDl}~;QrDb z%UsL}0FZ%koZQ{#dyDr#+^szh-sqif2~!Es!S@#(gU^YY35n>a9W8Q=ie+@Ho4SPvyW6s}wi9d$p6ILdAl zH5R%UU_)KCM&4uk4!12fPN?I`bo+;fyUN2q@X0`%2T)gvUQ+9@`)j0K@Dl$EtOg03 z9vG0`atl0o=?6tH;w6uta~>z@vI~!1lV}T04|~xvr$sn|Oq}7m;Yza_yMdKf6I2ah zYnHybro7vSn$=ibtFk9OTIB*Px!laT!iw5s4zD}Y19$)A1T1~Ke&M6K-mX!uw1PFg zFTGBIhwa`@bcn8dhDPIt1>}RvxIWMjcX*PfKZe+~1_cDGv37QfMZhSK@GWgvP9ib@ zJ7Gd?g3%VnURqEUut~i_K5F~hp6zLB%!4MB&9JrAv#r?$Gun9bK@R684q>6Q&mXOu zI*J5XwD!dwi@rNvH`aj0T!ov4J`R7TmKr~aIC{zYH-^^Zx>v$$?BG9JlI#Iixk4iL z?MNNElLC}SclAGL5pOE>uRzI*-e+=+f>u<<++@|+hZMoyXMdkFQl%f3Zd%>XPF6QI zYjHd_55ussNfm{RmgS>^iVQ;^i?%5(HSz!8Hdb~0idg*~KSDnsI-^ndYmO2vH+RlE)OSYR#(U9D3FD4?$VPSP8}+ne{C(NePO1?pM_U=4+3IOti}H=xj>g^1bMhzU9fp zF3@4uRp`W&181t%GPJX=+{!?>(}qhSB*L@psI}aXE}q#ToH&lv0p~g4=;~l;&nCV4 zZ3l5(Lhk_RwA@z5;Fo5Xtn@4mli+CMqq*aj*iC8S8R_cV#`_4hvsiU4s6No^C+d*Z zMv#3-$iIumb1^_DP$-BQ91#CsHjt&48~AP)zY6&sg6DVqSKEx4l}xLuZSZ*AUBxiA zYozePt)rd!CjV7HTRh43!fSu$z<1{*tpjtpVZvbkqF#x&$|Q-XhEm}hayL~J`}gN_ zOR~^7jch363wkf{4gIgR_QaP|8QLco<@q1Q!d-mM$&==#bAYLuI<8}9afaM>Sshk+ z_366CatnusCr#nG7D2QXXD0nCykzlk(44!5cuP=8rC5fD{m+no5)JIovw6yuu>Snt ziEmH3a@|pE-qB+F=+$#6BUWK8sr;|8J$s!;pqqfU-2r!F`Ja}50 zE0tz(re(8U5P@n~qbL%RYmZ`w4N3Yy&Cd%6_3?=6`cuhuUWp%XH$!#DjNTB-F93lu z4ZpHY-4MZKA=V;SlEZ_QIab$uyU(lA_UFunX8M+oriOCteW{li<>5{OKW!*qC2Z)I z6FqJ+tI}diDUnP%ER)(29d#c!Nx??w^Q!6F8oi4pclE6Zm(SI;l8ge@CLlqGNqE3P z9Sp0*s0YLv=#4VDz4}uY{?NBaKwhD_woHWi7mptSLCb|97^B}KUyU!2^I=F`%<}gpmq=!OMaW!uGjJK`5knY;YgyHic%KENx0_K3$z+54%=M5d0L2E^nJ?`S0|zOz;CgfMiDP zyvQ{f!YP5>iFs!k$AQO)#xs92sHMF@Ny2BH&x9aU6E;Ggffe@#D{IOJ)QnA8^|78xu$qCLpmKuCcr$s4q z17t?$b1!_~^C!6*{4~aLv@|W`dxGW4e~?^HY4XV$%=QLlC*o?jPbW(+cLAcc^DSZ> znS-eATj;j#le)>MB-mU`_kD9D4+2y=W;!!l%UPPbXt(X4MAlm;QT6-19%JB>B4C&G zV555;OGN2jY+bX=m!=+;?t&gNW@^$QyI;9`-O*hwi1`D(2$(ED&pWvD`yfEDvXmH? zp7&_*p*Y~V>IbYhP?CjZPEWhl)8D=G+oIQ|>tmtlm-9D0BA_ts6C5h|6Q1lP455fI=kLriqJ64cR73$)*DNMURpBKP9Cz#7_KgjGK zw(`uVPaWGg-W9QF{2tqX8Yz{twi-;hDPX994k~#fc=n+HD#&j`ZmE1T+dsZ6#$L9Y z6WkOp~6sJMf!O8x%7H&L`^j~5wUzvY&MYSY=)RpkF8TXoB8TzI{FAUr( zA4P%4F`O^x$T5PK^y?;sA5V`$yiJcnpI=q}dHNZ6u>sObH*NM3Z;=|E(P*_Ifr@6!sNqKLRdA zOO3-<+t#gV($l~I|DhdcneBpkIw$%~rOK$i(pWgA+Drv6-hr;(H(MQvt&VRYL% zUC8gGp1OdTQ=DL|BF!)04sv0qvy2@l-*Mf&NdL_sO`S`R3ri*3Echa*JAVS&=N>9a z*GQGYC5)-R)_2F2=v$NO>xJez4KXGLIjZv-UF^w=@;TgeuZ`lUxoL^>`V*soAZ=c* zfngjaZjXs_IeDMJGUf~JH@5M|04?s_i7cW*AUuhiTef#HXC=A|$A_Qh^2X~K*NgF zenHwZ|7mi$K0F}U0(qkPOyOdFWkziTQjw zm&_d4y4;}W7TV?)dK`v(G9E5=9c>(QF~Y^{xo0>@m{4lt%-^!|8hr874G9g-z{_ko z0JsK=59|h%Z}Rv#UDO{{=FhA8&MAtyz8NqUIbZye6_q}>Lk9jzYky^vQ{8)98OdG1 z4>M^(3G9*&S;xFUcF7g}AhQ96->za&1pa@_uIwgRs>wmhu95UDUMpi2NUMDfFUT@-U0{pTCp&ZenJB^4m6a{}_zSgp1LMIVLlK)%9%EoS8lr zV_fexM+j+lRdMidV|LE`Z-&820x?OMzuNOyxHa9#YSg1N9JfmTyjk;)OpnvB(9$Nc zZ4f5;_$ft^um3IhP_9t+b~U4d9t3oh$Cs>R`!q;B5#gP9%sCX*UGRcy!^xk}j0kbOUZD4c7>Q3K~-DtXzyH)Z6}a7yU?EpMC&87x{(`bnFbz;HqTNQ+TYN1JK*9 z`!E{I8M@}awhGemP!_`EaZNC7XJ#TmV2XNE&gAzxC}5Iv`5PA!!n75kpZl39@JZ1G zuXMxEMJ@tblT-UB{D`j~>~EyZxXicqEzBl^+`_ zMTbZDF-LZVN9AqS=*4EmRpYE6G99_?v9)q$kg@JQ>vCGZUbFqF7`k15G-J7fYj93X z{QmatHUI7A+(vCt{TC&Wx{ifI1F-j?UeV@WSAU2?>4WHbvUX5LqXWdP);T9oE1bQq zuF_brz7WlrkQv7akpahEN8k?kT(D!bj&a#|95y?{OqeR3I6A|8mQz!~C*yD&OCl_Z z-Vr($tN4cc{0A?NOhL77N(|!l4@e``^5D8{2{tZ2kIXP%)SHoP_6Wm2`cPQscP+BJ ztfWh)xO^{U^wTn!)Yo)XNy_h_}#?&B1k?Fd@eR_tng&^$Yv;AP=tGR~TC*5do4+FfKgGMNsu^kH6I4L$Q4)fIYdI z(Q;T6INGof^pJiI^h#($HV_MhzSHV+(_zPB>V=lhbug@@5s&*aCJs;OVO>`LA>Et!b#J;XG#u_~$J)pZOoD&h02 zjCyX0Lm6w9n{mPPw0xD+HqNib~*hDu|p zH`;5)#m3`MPNt^EW0QW^ProQd_2ePGEz$69OTEKka+_BK$+D^Z{)1UzW?B*co;_VX zt7thqc)4rirRQV>4anTi7#3>{STU`ui!z%*@6hmCCc^1LIfYK*kdnnX{guAoeS&Eh zNtxwX^S(Hi8fHbb$fx?Blzt>l!h@z`7Hxa2uiV5Rnp;v|ZV#3RYB$CZrXT9L(`(J< z1EJf_vS(iEQ_qo!$|hf1jLAn5t#}k5(#EMxypnReSPqVL+49LK`S=;Q zfPlfAynY3;H~gW?BoL%3fLwECa!LnZxvfF7Wf5y&B?XrF*ysbh;*@QsWIHccgQ-dj zV#8(wbuFJF#P#8(ITSr|qVDckwnq$5Ki@dvaHsEi@D&(G#`Ej-Q9pPZ2bM^gsR>}w zgusbCRKcHA`nx`mzR_lSfI81bNvF+8WGCC13<4yp1gTr)MnoOF(_DRvfR{d17VE~EE@rTEf)n=?ThmZ(Xi!Z-b(3Mm^_m9BA)G}bzFE<7QA6jv z5J?a{#;9r^`UO|nnd$2Dzyn%rODkWW!bQid=~_`xsd>BQSf2#%T+SzC>B||yK^g9o zHbM__A)1Q>O+fL}o670rD_1mFek_oj9{;#E^(B8?Ja%cPTD5t9Tn<_@26aj(7AGx1H4n)w6nCWfJY z%V2kDcE|g1M3`U=0mN>%W2L~%`)X*uTPV7>QH zk{OXb52W`@xWrao9+Mw`e%L@dtLj2o$NWJB7K%Uws(Dl6v?kqy<6(HK3SK{u`K7H@ z{&wRLc|+Zx^xp3GtyU%bOmOWe!YLfd!rXlKjMKkzOQN$wl{Pg(jH~s}ML=fKfBj9? z$77MtKIv|x!FK%R`_9K~=xBzj81zYrZ@gdnA|AMp(|DjZFn~PVkO0RK^lp)ib(K<` z$gBY@j0u+6k|EZaK&DF#BMW414IUtW z5onD9jXPHghsTOSPpMk^jZ|1=WY&w8jxPbZJ?|?4l%`F1DqRs930stX&irH7J29poXke={5!m6Ogk|a zX-uSg?jqOCkYB6Kqm5n&k)7L1v8)Dt;pk;D+s!aDI>`}Z7z$jiDEsBNKzmYm?nokI z41C?6{WfT&?0QGZYmPdOT=;4E#%pk3NXtf50-lS)^TQ*h`h}tT?+Ck{JI)QFglH`m z=Te&Qyr#ADQf2BCeeYXlP(33Ij0I@E)Xeg0X zQrPjwp+g?Mt*aX~`VUf)g4xp<21pOU$fHelx1x2Hl=uQ}5T1Lfw{tNBvzlZjsng4i z7NeunwTwwQ>HO>5sSmXP*%UQ+$y}VMl~7r8c^iPbO1gOn1c0=P`Z|6gAQS!3{Af@)zPC!CV`QjPWpbP< z>|``tB4rvj?dSd^Ysy|Q!zX%pdV#68^rb)gYrx1gD)_-Ci5;2Q(9vxoS3Lwh_WvdqbM)YNT)k%?UH`>)e$tn~LF7%b} zkb{F6&TN#ybUA;<3+~DZLbT}}mKqW4;o7u)UFP9hbF&MJ4Wjmq7{=Xnhj}UIcZBx(VMWWsjQ0Lp>$yz;nj3)y|&Khi)!97_{W4g|>+ zyh?M*Uy1v+bWcm~(k*;=V8P&_7P%hO)EK~iv;4{8@5A;B!_zV4GJ!s91sA)FZ{b3) zcK*pkarR0vrMVc)qcAs|q7Tw^G?{(CHff8CL1A{jdHNf>AXl8z>Prv2BJQ835;F}> z9==v{8Tmw;#0k&mY_-z1rgF3AsBlrUN+E6H?49wEA(a%G2(O= ze#$Dp?5pgF<@;f_Ez|1D_3nY0QT_@fY|8vfgsj=9Nq~hQRa$6~FNKJf?s8!CY0BJt ztKgx_J}dLM_=}E@AFV_{_pMK9m|wXQfK{NF_+Vw?2g_8C-amnZSx}q8kQ#)+9{||z zhmbbK8I0K{dM=ku$XcQKprN63ao8Z6;^janu*SRqd*G^&h%&$Mxa$`uXGh0j6;j|dp zN{y$*YSWZm;p0bV4*7qH8KB|Gtu7V_MRqQ>K6lJZxZLfdby7gHM_eYggbJo_bFT-2 zI5q_@G||5F-_Nh09OR-J`}f-rfRbz-HS3f{=JIJh>P;>qj3Y|3KUA0X4|Zf_12c+` zh!c&mVEr!$OBYbYf`P=lon>W`sl|TNa$^Ge;`Zq$t9cnA(y~WAxS>#B0H$ur^gpS< zFMJ=#y{Y#u>Zjvz-P$_|y^9`$4OE?`c{OtH=X8sorIwy>{nmC{Y61QK?q$b8I#unm zjo8NT2&;XMjhe#?_=Cn>$&Y;AX5zY)a&s)?YuYxLA;{zM@}HevMFD&{Nu570H<|5r zI%0Tx{?)H9SWJ4>YA66{yxR>=p)kP+YUX3DaK=Te@423LyOI9xIpj(Td$7W-V)7rd zozB44%xJy{Hp!vcy0!&+F2dwS^@(YS4D1u}I3u%XHLSu;=~l03%h9K9IIhsHjYW*^ z?lR#_lQWc}jAP%-g_CBT;m2a3bWU~WBOYAO&9Wm9$g0~*2?iJ?ihrrlzhw3k`)~z6 zcfa!X012=Y$XLa^mCBM&mO&*jUpId9D{4#hqu*FUV! zyLUg0x<)9uC-){hF{T>`9XWWcvfi{4)5enR3UbHJXsG-q$Gmg5IG)*)L0+yqjIk?J-akjd3| zLNQOT_xt2indy7&73}DH*m5xPWr&A>cz1*`=X&iVll$7xU(?Q2S+FFqewE}%N2vn4 z_GCn>P(TZFHpA$6j-cIyN^{wcHa^6eX?&gwpKNQZIN8@rzl@5Ge6)n*|Hg6PG6b(R zU1IAv27>2M09XjBa5ZYGDK+g*tOx}@1~jnlImxPyGdPON1X*-NC0(G3ve!|3$0MVE zNh&SC!}xcJi#t6iLE~sKQ#F54a^$Md0^mLgVNQG zQt`{05#UH7k1AaOq7Ii==vl;DHNZGo`Tx*#6<|%SZTOsHp-2me)KR2M0qIas zP*S8vNq09R6e&SKNofJcq&r7QGdd(kcaHA*-w)1zUAuq{hO&L{`##V8+`$1mSTi4< zv>0@lG~k_M=~9W90!J$&|MsiN9K%j_xP5CFl|97XK_Xht-YeKP;vINIetvT4oTe{0 zy6HdZ`tIQxYUwtEI8?EvM7kDrTeZrWbYzZxjrT$!{iO02RD=@9pB?{V9$FmPPw|sN z!1=j|CX`XPN%WpnydaUek}Vq|aGxwP$0X{_)2;t=7eP-Q6k0v{?EdE`RMP++Wf6;v zcG?%*_i_%Tz83@^(`G4t-DWosF!7!>G4$shjs&A6n4xgmJP+O@%=2|hr*5?yfg zJgd?+gkxM!0l(rXIxTzr71y6>oNi0X06O9RH{8;qNMyMfyNP0&*W&n+*->Jydxu3K z#3+?WuR6~mOF9y%UF+IZhD=iGxxaG_b1=+1VXMD_yFf5f&mA|=gdP4lS;90M8WIy& zgj6M$Y7ZN2BeVS0qjiEqKy0^t>b+*_CYY1KJ{&3eNR@`==;pyqrmQ-pu@t?#6&b)l zy5`p>T9u50&TUTaB1hNu5aZ=dhXByan*uk8!=I#s`U8^$YE{07B0r>tLfB>uZ4MIj z@{r4i``-8ZzGtHRUx8Q|(q44YoQ)9Md5u?;^+Hy2KsiE-HA|%M(dcYj>X`DAvTa~J z;S#PtYG0qwDSb2%Bi$~#Y#o7+u3bgH94k5zy&Lx9WZsH`=GzpcV^6n?Z)W`-xQ9{~ z0Ip)7tH9MUEA~lK6Sn*~o_@(SmTq5ASni}Sb6zE_&MMBL#5c8;!;6xvkTY|Q>vKGq zK%hPZY(&X7ZjqN+y+Sa9F%?J=0v!CI8^TeLvXNuzSo^KG-=L$4M*AH3#&~EFNuuZ& zc8#gaEexQ&H4SZ=GAhycOv>LFeNJ+iSZpFp(i!|C49LNuQ_A%zuXHCo!UW+@#_a1T ztbH0B>Io!A<-skaE+V-(( zQ$;ipl?6ppL9}9=1;zBo@U!|{9j2H1d$=f&@1wHv%hkTMsnnY`$73LU9y&#)i#?XN zzyr!BW8eV?7>6*u?_j3G?G)gU{HA5v_%n|{u8Cb-kU^o6YfXQ=>TRV5PcwO>u1%*9 zN>wbpzaL&~cHfT>lE2r`oo&yG39zd{KLA{S%T215M1*pQ*JeZ`z`Pw;Tb;H}dCxw# zbzbz})yz?6KfkbiW^~!Y1T)iF|>{|3Z{L zcf;O$Hnv;r0u47_q@i*O?~S=lCXID~NIRtjaVog>a?3kleC=h#+%9O@uolOl=*d9V zWF}A-tFC$v?xJ^AQ_WU@)AjJp=1mq)!j2;}i_CJLl>d_grmn_E{Nokl4yCx1L*JE~ zd4^mL=x7Vk3LHG++NPDL9_7(U5?x*wF^jo_gpYu)Hh#l|H&?)oj)k2VWI{o2ek7Up z>^T{3rm$V|Z5OqK5g^HW2v2jiV|p>Fr|SMXoc8*HN6SK~3zA3k_Z>(b51z*Qs=h(` zThC>Nln)Gnk-Z@kY@bl-|2yo?kf#ca>gDRKr1?JYXjtkyKAsVBcx$a{!4qOVo;x>bhgmh3qea&J4f+OqI|hA}ZUZ9wbSEE7kEeRQnSPCB^4rS~L{1opu=yBk zY6Qb-6>?{QNvx~X;ctB*Wm=EpWJepO_M;^or&i^34Cnl(Ct=# z_pT$GItGIr{(g9P94Rgne9-r4y83Ssm+|l2I$nchgmv+u&9qEiV#Ep2S(Y1SEb9wy zyp8lbRCq1~H$dbPKB7q|$vW}gr}<>^#Ctp1R)I3Sg2Tdertu^EG&TncqzW&(D}R?3 z_Q%!^tCsfJ9=vts7ZAWMRSS^IYYt4tkHF02<$VLBF-F#QttmB{qJ^#h0udDnRkWO_ z>!1$)YfeF=4I2HXa&Ktx8n1ezYc?AaMZ%5;7O7^k2FSG!Z0gUuGL_Xt1b z#G0+TJRp20d!;10D4a)37)Ou*Cyk(n@w70$;-q`%xhVkM&e#GKL!@J~X_4dMXE^I; zEJwkPx04H}RO4)Ifz+S=9nMlo0Hs12g0#u+(ukw5zpjG=;9O;G>G(X0zws42XECeJ z)ejg<7G(_|Ef8qg00aX3W56TjJTJ=*!#WN>G6k~FuBGcpMx$U^Gju(B8-Fy*oe%!~ ztOP|3K1YK#!+J0NZ@L)~`!i*>#qiQ?_APpd${>;S)G7}+C2H8Y*N<&j#$|e%c3i8n z6OQFY1IKgXcwj2uNBF4XN4FAHOHssRZnK@R>-Nb8McT5S=0%&Cso+-s1^{aXLa&nY zOj;ayWCBb);_P{Bql+5JZX~lK=Y7cI$>>liWW*YbdH`Zq=YTZEp#{KxtF zd6SFvkZcXv2Cfr-)9IP5hqM+od;=Gd}ysnvMGzaRtMfhJpq9H&yG+XXlp%h zHF+dSYV)tx(#oliffR!)ddomc(ysB>%v))%J~9yvoG*OvER%VXc=EJq4$R0b-&Qz= zO)_eMkU==V7#`I~Q+s>D=`>LB66r}V@TS>{NqTJrHu22o6BlIGgS501K#<#r^p$6@_RucBZI?)jXED_Wvop9X~@JF&HzFcB7D{E^O2f~jp8SrE_P8X*eEm%XAwn%QSq(Y;c%z7+%t zZa{fv5$;rtvJ+eC8H|kPF*B787R0Jj`QXHlaM)C+HK&>hVIBtV_SKbUDkUTV@4N+F zir|%E=9M}7sJqb7%zDtKE_`MyM0d}!KkW;vK@#$n36G85w8mnkI0#1*z7c?ITIckP zjG(W*5j@jM6hueF81fIil3l>^;H$+osCIs+WIrFv+aQZNb*8vdCNi<3io!adq}^J< z+*N{H@HUHWCxU7^G|+{eS*|i}4S3nPNjM>(N`0)4hz;}$h@ejq&>)WctZvFAFgm{w z&aMt71AFDvUdmO+p7Cr5wDv^j9bj@6yuS9wxm?j4S=wMwR;GmMHB!K2tlJCmx5!Bd ziN|=Ke{}-j87OL|r$zb>yw|7h%1CJr6oVhc6j?22LmJq~cY5SyECTe|y=m7P=!9+G z-{MFF7P;4N2>|W4KYmd>_4!9*aHiQ{n7zBKbZwZKCp(#I?5rL?ZBV`}X8PCZ2Pysc zCjF)s^^Q(Sv)m1u(CQ7|xzg6ZS=DjLDDHdt_xn(t!!F~WNAk0ll9>>>oRFqB zUU&2h56aD_^K}%&d2UE6B>1`|m2)x`W2%XKtmArJp+bl3JMv|GqIrnuC1IX$zNYuv z#F!xncfCzJ4r~q~wR>yiUU|-ad-8+T7huf)P|X&2|D^EF87GPf_FqjIq6!V=?ebR& zgEO7;S!l-w(zo2UbE0O!B?E4Kp2q4*e|eMs;;(O*6uxbuT2|CC(w>!5n*<^8%A-h1 z^|0WdZX_6CG=PYqClugX?L7Xq(*;Geg6oxuee}XvsEt-+aJ7d;Znl45J*VZ>sp8h1 z_$yld&)Pp_G~`=#7X`lE0TryN8U zDqC-X|#d-I0x=B zvllftOq;X%9eV!1j+>Qf%)48m$>Px9{Fbx7XONuxc$pGG6Sj~QntsRZNtGhVBi&K5x z4C?u`rL6WL3TaxE;C)wm(*%tOc1rq}J( zy*C$g7oGc+Xkl;oGYiV7_f(-2D4ay$Y148k9l$EQ(unrE#9#$M?n}yq{@W0FLBTVf(8``2ayHK+<`^96S1JT$*BeP(OUkrZ~Nq%m7 z1ClM4z0>-=&pRScZ1d$sg@1`e5em({@oM8BLLFu`|y$avK! zJ>#g0loLLcfGTDpmme=O)U%4?mPJ#AIS~*R{GleVSa*+)ZbBA|M9+rK+;;&NMk=Fd z2{+Sx;!U3q+X(b`!BWxCXlqLuktTr#puKCSo%}U73sRrV`Yec&NNE4mK8=d@8k@Lj z(FAB74*6c|Y?>=g>r!{q`naxGZmz*+mKo$?o~i76_b$_cx24Q!8xcB!Mzx<<#MI%^ z?P6zj>3$<|rGt0*U<=Tu$dc0$b2IhtGHc+xINNg1#q>H7c^f}ATqxKe37t}s?SbGo z;Rg$K8E0d;l(+V7DiTa_{lEiWr3$q|x#s=#OL-}!wMiZ$!=*V_>!V)GJeo?16nKXo zN-Mz4o#a^9tK92vCdjmar-2iFt9GKe(!<$$oOKzx3vL=Aex=t)*3Wwq{}cSf3U_L) zv=q)^Z<|$YyZgfzk)-hyYBYKnRp7cQ$qz&TeD0kS#hY0r;Mo>dngio~r8$K}|w;C7kav-XiFjqLi z1xL)^cD{}UV`2m{&gMics^Iz);;||+Kr2;pt}6D+-9FsfE_Q_u9LHulcPO` z6l}rJ6@PgNUR{XKmrT(oWe%_v+GlE1;wL+yOAYf)hRcU)Rp{`=q+Zv9+{c40)5|he zEesTkAVc=a@995dKXfg{YX{#c*y4Z`$G!uh;lH&G3V%`mR=L`=?y^O@+ohd^B0vfW zFsOTawtQClr`_1`fy6<_btIHMB1<``%(hn=*si|fCXHKD^C!thwlHKZ{KJea1QE>d zCwEJ-orF+E_ReHoOj)sCoj{|esa9Tw7uI6m0eu^6k1SU6a=Z$5CR)L{Q_wr6WQJU~ zh^KW^=^nTNG}IB(M2T)DTh66Dz*bYw6{pke=y^+nS;f`eKbqYrUZ0cCBw+R+#Kjd0 zEAxmvTQpjgz3+YEEYbD)zg@YMOToswL6D?5I285#}Y~or~^xOF7$VLNF$t>e4 zl6Wat5Qv~sHBFuZa0ntS7zJ0)vbUcrmCUmD{y5$jmT+#2>0CB@5$_U2{+Y&FQMmi< z2yfe4*dI4;nZ`OqF8gD$4_6oU7KMpAfPYYa&yH~8k~g_6tTozqMAu{$NW656Pg}Q& zmVGvXZJh-O#YMoY4d*jlizJP-jDM2zZ{S`m8GTka%Z&pS1T($@ZgjP|i_539AQUR7 zp&zX5n+dhlCO^ORD9824Hi`qIn=-9u?Jjx)+58PkV2e7=kDwoX-kzg zbF95{JH{Ft`lK}H5mYVE$VdB1>Z{N^Rz*PffV1vNe?fxF;dDkhZ4%JsU(+1M1|iJ!4xkkaYV7CSPTX@4`|{sazqAypEkk3Yn2`3-r3xV~VTGK$ zpuiKByCv6EOc|mPlDJ+#g%I$)O%U)TMWBJi>kd0lz!NY(-r^ev$`x+mCg2L+fIGC# zXd>QEd*jzp+vrR{> zbp7M2r{41sP0Z%d*z6-@!D=vl`3WQtcU^d;?K*)T!!S`2;1R=nJTh=>EIy3^A9*-I zZCS3tC9(~dM`bSw4i=S;YrO{fbRf5HhtowWu`GTrS17Xty^IYN^b^e)r7eExhwPIx z?G}kJrd6LzIQ*h(na4c7N3j}D!aLcR?iMw1^CO&r&&EKidD|ko^!**sdS?LD-)ObL ztd1zAdamx?#73R|7;3C~?(c&y&Mv3ITnwDvwqqn-@?>-K1nPzLrLu_eel=L3pGt6= z13{6r>?8i>>eGJ$8tg~15R1)V4q!n_T9Z)DY#=yMpoRxPfy*f@J@rOOs<@~*ZPpPW zVgS2cnOf=WUth)IH5Fgj$&-Qgk?Ey8rUZj6@(MXocUg>l=g$7Q-Wifn%w{$;9Lan7 zFvLUgyn70kO_Lb5(wP!_T$?9u>1k7xUc&I!q!Y>+&0#0*y zE!`A@Hwe7M75XK;-@=6JfgGT>Gs}vD))VFSG^Xr{<8=mE3tg^Fd+F0=H)jS(Gg*#E zFyjRSC6F6(q{$$DDGW%`Se|7%R3xQ2Ev1#n5&YL}SHWV8LQAEZo;^`)$<|lOy&8yq zd8U*s($;F_a`;K&4|tv2N2qz(JCcu^X@0y*5O`(VI_KU8lYgV>WzR$YFMx)@t+zob z=hwHjqj7d%lM7Aw-);Z$CuK=H7(WS9K{MAe50QthR1b~P3QQA?v}Mz=w@r7KKM3hOQM_;W!`{;|(lG(>8Ku>rJ6U^slCJJ*iV*=PM@Kt#W zbFPGcxFy}L+RfC^UzI?d#EldnXRFKi>a2JVy}oW=mBRa}#X#ipzeuJla=WfmzFDzu z+T9x_{1@9{)K&y!9>!uUBZhG&R8v_)cI z+>>~gg#l&f#fr15j2N7mvwSPni$2DGOHEUgT4bd*CXrhSs{blm6tE$TIEl%lOy0aN=+BK6p64G-SBC9d`(Ak;ibu_Gq z5-L~U_M@Uig&yGI%f)y)^LVU0Z`X0#_~Wk15GF)5!Ya@^P54L2j2_%_w5qj#2*^EO7d^7kDlpmw-N z^)#YO;P|z1Uh(W#x~$0UookGm?cuv$xh)gE44r0CN0A-S7|a@$cUR5aOyT)am-z-X z3zUPl4=Ng#2XR?y3`-KJLjc3_o=MweohO5Zdp&O+dUmYH2rM?BXdLL2uGZ3#5*GD-dF}0iImnNL#wBctY~`7+9ZM9LXTN?hSvFi8 zE`)OXpj*d8J;7xa(7DDgti0_mf4c>R{A5+2@lv0<;U`${Qm37M$6$01ODtE7}qjxk4+OAa!b z4){D3mE0jxXyeT*$J+VwU*UU|g$lksi!tPjh#{f!mPs#b5a{a0hq|BopNc2p8;;nR z#8C#6S*#HwWIe?rZE_)e6GTW!*xeDqieh+i!~|R53(>Jnb|&3>1=T=G_($!*Csf~` zgrT87layO0amR4FqwhCzEN#i-T&R2(rZ{+7m`7~t_z}ryJ84@(&ZaH8G){9;vF+lP z%u>E^s?|~FZ9K7**brai_qd(E$>K&{n;O%lPz;MJnekO3Ej8E+0W|n05)0P7aSNyo$r%|Sy02foXRtZ8kn8UkjNgVAjDO*d;APg*vA z7ITU{S$ml{W6RgHykk_ljW0y4{RtbLt6><>0FnFfW4Al2;@U$R+Am4$V@6w*?38wS) zlZMv;2dL2hYJJ>NU2|z%jvA!-{3k;*-FUs1i>gJy>7u!K^9kh!rrrPR?w>ebU#3n= zHsDc&;QTrxrDDGNq{G{$( zdL@@$G113)10rXATTSXk_E1aKlbvOET)A>o2Uw<-Bk>Lp^L-Rb!N@FM|M|#U(d{-M zUs$XB_ttNF=>~g%W*a%ldxb@}tBR_|kF(wjn7`K&7W4^k9k2HAOsuNeZ~LP#$&=NL zR9J};e3h9HN6v)=Xpp{$v}LNGvv1`fKR{|`CdahCX#558=t15=LcwL-;@qz2Zgvqq z*@@A%e}qsY>xOpUn0T&f0-OM5LV~+C^`H#j;0p-m1*@mqsjNifaEs>5nPZ3MmPK_A zUdoW}p`0VuH4f!|Nvy*q-(#XV$Ixve5;>Pgv5;J3~B zeeKx#hH80i^SxYt{g-yFKfT)vD?Av;KW}RTBT35F`9eqCsIJnXBESAny*p|Nvq`{{ zaCA49L_-2ygF0<&8+t2zARFr43bL>&`??NTAmer5x}NYBSM1V!efv2YtxFK)0n@N! z8sSW55E)Cq-8Q-vjESzPBjvczqh;{PKKs1h37jZof!I5=){bW}{6r9d4A7r>OT5M9 z4~JX)E4w?M!9|bQ6sPwCzbh$~BbN`W`PN1?_-!iKwXd#{9crCY3zLyn@1TmGSJ;Tx zcB&d%`pv{ze=JopzZtVZn}~aDocN+bvJoq$WD>cp&qD2-I^Ojfeo@9>xNXXbO8hoS zJDj+Gy4goC!Ghn}H1Vm-u84K5Rl3S-T_34xD<2GesgCp6^;x=Q#9Xrg*DPtBroO3| zsdnv-5bmYFfqLKM2ONc}+An6`kj4L68+F$=it&~*K8CCgsG@Q6MjNrkCoPrtkSMo< z4?b2kqZ7ovdC1`?vZmO;>n4xl^0TN_I-9M8k{!^>5SbFd?pxy)`C_X4`+GA3{|W1U z&UZgG;Eg?VvHbj6{CUIgGaK}}m4cbxkUelvr$0*+Ft50Y5cNomnG?Tt5mgm0=M}<-9q7K$bbwG zyH90(>lo_P0WkMqi+`%!;*z3+^RAEejKoa(&z$bf^51;~O`FLlE^5PW^}y6p|tt zb)Bm99bNX_^{U7J`nlqL-+{TP-x}O33t3cI$(u zbWQHB@{L%~|;(f&z& zGm8xBM+_oI))=u)W5EQ0dCLH9WU+SldB#-!7|c_cR`@4V0lrJOvYsausD$Ui?0Xma zqUqdh?{lelCVxF0A=NYyf|Yk_>6^Ak^m;N2WEG!u4_k#|7wPw?HDIBoN$T4kPb%uN zQ&c<16$!o*0wQJns;T4=?CX1Xq^@0roV>PWS#L%KY5vsIo%ohS#<4=B2=ouooE`Z6 z;#YuZ8Nkc{!kZt(*?Z5NgLzi-4{y5z3>T`O-DTC3VENhbfyij9ox;R$yu72_O_1jW zacA-mzK09l0aq#quDU_fn*X(6^f)RR^O^Tb_pn)zS{bK&v293M4Hgm^7~((ZDiqh_ zN;~iTKftwr{(SWs@%hA#FN+(j6alvg;I5Myx5jaK4qC5&z(3)5AS2b&uPktXo0Jns zT8pCg-|9a(sLuf^2yTGTA&dqxHJzSSo4fN}AzUo$i23wl4_lMfGZN5xfW{*JSh^sH zeHHI7#lIx;47WP9%iHjDXnLZuv=C3-nF%EG)^4|S_pXYCCa1;p+^>$jCEaE06fZ+> z(mB>%ob3&gDOtN{_nM2G4ViRL9hd1OdQn(cf>O7cG%l1}>Xni;^aNua@fcZUTJ{Fo z`xmhzFExdbMfDnV|J9}%+;tcm<6 z)?m0*MyqU_n`tw4wVGwk`Iwyvp`@gqx;4h&nc5yEOBVc;sD&?YT?v&y7DgoW)xVBC zr{NcGmwpY_d2^+QJK&#wOZq0p(-QOqUF9V29}hnk53B6q5JEI~6~%N$UDl&ER+ce| z;fY~|*TOE{iqdV^L&=w90%fmn&paF(;e2QkLQq=arez|YtRJ;_o7!e0bnRcF>nBwP zpPyd|-MnfdqP{lNc^+D|lOjBl7pKk0Xq*|t zIC>vP>m3$GAInDGXq@eHT^N*B_k8O@_w!V82l0!;KCFt&_2pUuE*UAM30}y?ixof@ z0g0V`TL%^$ebW6RegFdL;_ytB)#X|+e6GW9>?|ZK@_mGzrfRLHUIg$2=}^xQh_sj% z9=$d-DsEyhD0ZKhYI>*8b<0lj5fqE@`p9h(z9y2fl-qwk_n8ZV?U?(2nhl0LvFM+> z+4cILx^LU0Qf=L764{h`g8Lsd1wxdln86@#-W&0^ZRNZ(uRH_emwYG}0-CXvX_y@9 zyw6E*pqW%3GQ6q_O;NW8tsZ9VTiC@**mik>p2(+KN^_neUNFnG?NWx!#O}TQL^Dsy zn~h_33O9%4jnO>wVExnMb5)coIp?x9XXe}hO$oV5I8i)Ie@9nY5$KTC3w)BuT;j_L zatilr+o4_^glOCmbMS6QH~o!8J*jw`5P=JTb~T(5rA)WUPV&Bfkin$}@Y3-|QV3Q) zup3d*GD$CqC0Y~QS)2^x)KT@Gu21hEGMaov<^E9w{rya&;BWG~pP?#sm#0GWH3B^@ zuKKAO6__%{?%UVrZ-dcpG%T`nCVyIa@HZ;>`}Ud-=3I57|Gl#zh8 zduCgbQ#d0gG$E+~64)VJQAW_iIxE|8`iU;Rz+VdfR% zNTyj%Fc~m2KWkI;YAv3bJL@m8YE=W%i5tj1vP6rhwB3~c?)m40m-;#cy%@DI<3Z$afwV4JaXAP=7 zM&%?@>xW4u8U_rLg7L@Vl0c5xa*jit3={j=oLv@`(Hp)jD(0?DU_sO#THXb;h=XK<+&kAN z70Oh&yoiD?Zy!X+ASk-3gRL5~WeP&>E3j9$$y#_=CVl;5Hl?k$=~uH!2-kade^=8& z)9B76{hZN4kd!l9KC{=~BKg0tq$+1Obj;+v0F$L)+x(Zq$HJIsTv|&{*Qa#*zML`FglUi?UlMnh-*1n#sAKGT8m_ z19S(wc-a5zWr5?|+kSD7(C#KqGD_(Z#0|fV|8NGkmd)+%J0P&;6KoC3F|e{rqjSz`2j>2*fZmaAIC(3l_l0w7 zXlX+#w0q#aJpLDl@4j&eejmQodM{^F?Dh@hN#aH54fiMZLtLc@4?wJT$r^iH>uIW{ zawUaGyx6ux>?6`Q<1Edo*qUG7|GM8bHMPbtonNpaYw13fFj%I1*-Ws{(<# zY!nA#-8Y9$+gUUD%WF{aD%S5(1j_G#9T+ltyF^HMijY9$chnf?d`DwL=Z9%3f8^RE z`qv+$tlhcK432-gs5!2TBtQ1l)x+hMEJtrU^Qu5sgkyKx1hp?c%h&)bsnXUgr6zfr zsZoH4>{ZCX($9X@S1uPPxx=5Hl0tzGpqD8My3zl zZ)AQAw93_Y?hL7vg=&XHH<`x%c{*&>I;|D>fuXc_U7H!E)F@3 zA*Je@#3S5pu5nF{`zO{21Hn=A@+L>;)3s`i1Nl;0{uVR|HU7hGC5crkEWuz9cfq04 zhWEPZeCXk_%)w9i0#w+T0OZ_Qh(P-jIGR+A4KCjIEAFSmwblbtLoy-E_bc<5d1}sB zgNj(^4*&wn0`3^1cCgT1Y9bvm5D3XZ0n9&1Y5bI0wIsycc4e?MCc5OfbpmmBBEtf1 z=5~>1nW3`$ik(>Ruy=g$nA!By$LYGtRQ9(M}Q83)UHk;^ROKfy3Bt=$O#0!(}th4!^?v}&{`x>#G^gQh{O_7xXIb4G{RA8B+j zSfXEj+2+CaJ6>a^154MqzWfJY?bs}vi)ClJ!UnyiWEvIYZ*}z7t669~l27)i6VB<2 z>C?#e{&7Gv_Mt;ZAj7p?%GMtV#H=T`vwng9s4r6IgUKVWJuZs~k`l+$*NxLeF`DsI zO%zGc37gp-iY?A-)F*tb1draj$8$YW?29COu~o_vF`vuy$S@^U*F)U}9@%WXZuXI{ z%=f5v9(!vtxvt{lcAtKvw$cQqe4yU2ii6+6Ng}g$O4Ls6zHTBY94$AY3Zzh&VoosDJw>uOXW`j_<;s(3#XujyYkZI{Q^H_4_ z4PW4R=bVL#)R714{cH-O*v4cYj94?LNY93RRG(6Fw3x zj?*sRBYz$wfzw3Pzg$tv_g=PTud7JS59C|2$j2Hg-Z=QvJ;$PC3tA7`?)S%(S?uYn zYgRGWuU46|r#F?@Ykfh>lN!0%C`nmXJOs0xi>3l5@i1~mE5ZA-D14D9%Y-RJ=ATPT zpvY*dRoKyUGc*Q!m&W90Uj>D9J7g6{ue>y)wcPvMi|~7cQZ5I!5vS9NCgoBFrv_1Z z$F$ALQGLoVqxhjIDHT%=y6C>}Vv~yEJ?8yTzrK!UA0YZs3JmuDj#^BvIAId*9qt+z z`iN@59rwKFA?0X#@{H;r3QDp8+`!n7q4MsZs4~c*ZhoV@+jqW@I#`Hfi$r(ShlSs1 zkt^u&7fxhkRX3jMOen8sDU;nsy!vM$!If95fh4>_YtOQ1TaQSY9@dmCb(3CxRrTJL zy4j;|Qw8%8u)yCYNN*lH1b9=!wq<2SM}ag>R1?q(-mFqto9K^)h9rJQ*E*d#9yq~Q z)PMk~`%SvG(dTz&uAzOKRETMT={f`nVuqgB=oFpdY$FdnE*n`dA>sb{omkP!R6r;D z)sz0KPkM@6u=_J(vQ3^qIyX1Uj$&*B48W6TZJAQW7I=F*=>)$oR@rJvk$K)jF5<|? z{(Op0lFZYQLVR87@YmhVgz^U2c;0{zvHWVGCIJ}eo9VktORlw{)i5-g2(&Eka!;y( z1bdD->G|n*9%-<_f@g`9X^A#!aWZy*zy2ve#wL^rM8NV%ZI6ofF@v1|!^c?P>IIIJ;K~T2^#_^HIUsDN4?`zJg9yU%$P}b!g=l+Jalu$XUVq zfzjTlB_G=LXAQd(i`ia3t}>eOoRe=KbSOAXHrZ*2MqrPMPdLg=HV=eU#a=UpuwdJ1 z7Z0bCUW71;BH%#S;=An2g@57}9ZIRIV#)5kX<1Oh2AQx^wMCClr#AyuSMZn zl1i{@?&O{G+hpg{(s;s4om@K9z7#RoN^__C27G=$!8|zr)YCH6$pyH@JO}M|1s_GB zRN)L*g^Sgnp6bXpg^Z3G#MGU|fFP3~x_PM!XMSI%vJ~6n=eJhB$5n4-jDrmt)E$Ox z#|nje7G8>|+YX?8cgST0z^L2eU7^A6*|}SfS?NJXe=mT(NWr?4LiM<7hD(0w^FLoj zc4Ckvi^tC{^fRl~a-hFE-|xT?|}( zXKRWj?p}&{eWmsj(}-wBuD66;nsI^GLhEdD(tKv^dx&vR33`JUo?TCD4A; z1p)D=mTW)IBGdhnIgZgcu$kaLV%3v$B=|h5&$_ z(ADIh?8Tr)0sMRJ*=&j>QzY3UL^O$cU8i1M^+|T9-Z+gpwR=5Tv~wVIud=rR!l??{K+)+PMeL}+1A=VBPzus;SF2! z>o8TRonf&tN|ybA=zp5C<}5FGw(Z`L1dT~082F0S_y3sOe-F8G0J*+n=Ir7iwhlXT zb0UT;K_Yajc=sS?I7?J77?Zhw>MD%gPK_G%Zy8=sn2J=&iGsZwm!s3G*v(~Je;re^ z9RRF4p9(frPql3FFRZZNr+HDG8?R&r(wm^z7TzM)GX=2-Zmv2Cnn%|nqjl#1MJjgY zk{b=NQWH-S$GbANuRU|?jCOv)WX(Sg2DD%_`)Tv_G1h!UXkwiLD0Z(R>1)tm9ru00 zOM>rv|Jjoo9uvYav56dX#jKf|So)}uj{;NvJiPTUa?W)@Gjk28SvrgEs`0aReaDZ; zeK+G5ZrDuNP+GgCd9Hs6xs+8EpR*4Z9mhfntE-!%&dy4zSrQNS6M{70UAT}~eho{n z;lX!HgoU!sqr2(C<}M7|j7gRac9+if{PK$jBZ;3+N6FW_eEWdQFTk;o35Q%!R7u?1 zaC{JPga-PerjYO?-UF=5>QnlmqPlcW^$;eCXT9bxul&U6HVPgn9(K(-E5pnH)yc8D zi*E8~&uGWTq=)?D{X&Bj>vlf$nQI^I5Ga$Io=MhCW?FyT(o!WKL-9>G)CZ2hR;(=y z?RVu4JZc-4xUo;`2^{@TIL#$bCas^6iVSA$dafTzyQGq{3(nw97S6cjugPOJgH~;f z$i*AfTF6Em5Euk<;d%h!x2c5u#&0(=a&GLr z^W2YHIpQk}bR>PH7MDXu#`aQKn?g z;ZgkSd;f#(_14W+f}GMc`bQ~%o!s!9%!}-A-+)(trGRxWL7zNQG{@k~z)#Z4?xGU5 znO5(_nHp#`R9*BfVJE~&|5g@UgPROm?{AkZw23Ii83(%Z^-HcO$fqM&P2bq_DlF~K z8pAt{(u_GVc0L}RztV!&KoH(>zbj@Sn8Dj7D)@c7g{2y2t=Bb+BZ@qv!W*yW1+-b7 z(uDmQlYc^0B&E7fqoQyxjf5Gz#dz0M)>>|U3OXD95e1GxB=3tBeu{~W!>4q8B6{T} zu5SyxHTSfi1C|!5w!qwQxBVI51zb)K6DOxlD;GCHld;TK$HH!pM86C>9;wMpBt6|2 zx2UH-J6>AYNtDim^e|m3x&H0akJ^Ruxl5M7q9&1mB~(;xU+@}x^(?&*7tuU9d&wG@ z2mx+LesS&lRvH&IMrpSD_W}@SH)VQ@LX&ZCQov&`1Mu?1nD@56R+oogGlM5!V*`S;aGj zd{?7kv5L`GQ=t7l`%cNWv1-QF<|0+Ld@8IDPINLew(@e6aZ2PBd$3MY?N&bK|0n6$gOrhymbCOHU&D%t@)7u_OJ0ddP z(K$!B6GXQFHif<$vus2RYUL9vQO+)v(T%4W*o4RF73e%T*=Kt_0yw8YY~KK}(SDw$ zw2hy$Ehgk`TrFvwn6MM0Or);DNGwEmeP`SH6O(mi!w$r83UjC*JoCst!EtlVlr{py z{Ng>$lsXY@xNTI_Zl0GZFs=G>I>^G>ODmFHNSwSAt)kjT;tu?^v)3~3xG`aO3PrS% ztqc49gB8=$ZVcVr)`oUp$lJX{w26gvD@ZqoDhgU<0D&F!yphS0M5eN;Vo50?G|ZqI zSI@Fn7`F(-W$rSSv!7OZbyMkCf8auHR)NRziW~vM+Df+$+GVXBuwe z#UWk%3d~eg_wd9yg^Tc#^CRxmb+lWOK2UYxCszio-iyU)68_ljZZ9xMQ%;cBw)P%* z<7a#5ZO$c32TipeD!I1wJHuIO7FvA4D+8of(v;rKHXUYYiD%7RK*@AT)z&L1&}I|v zmuY9RgG&d)%Z<40Vf@FZsSY0iBEUO1y<5zMxr>y+Xk5!S6nDZ{00ml++h)V+@m*x#?j=zi(P!9o;`e@lhqHPllFB zVB?KYbkf0`NSJxo$A5*a6R1Z42n~KUeY^Zoa2nE!yxt+lEzARQ)4z}7la*+hO;%g{ zTbLcw4Jd|alJTGiGkXE5IeZS~vmhQ}a}b9T2QYx|;483}@i}b1o=xW0_#&y3AA~D? z1!f%Xu>y=xqW>M32X0=HiSrI}6N9=I_TgiZ3|AhN?rD`#C)+vE$~k|lx?i9MZ$C9X zixk-+-i;fNoTeDNh^D?P?H?to8DFN`JuDASuq8?Bzbjj;}3q>_~{}FfET9moU{We z$ElVdZl_9l5A^AyMCJKRX^igS07nfKe^tE=@N&XfzM)Mbj>;};jIV$(6Wci|m~-1h z4rWS$SX$x6UW=R|F>ugWIIXYPrbS(A7;(`McmjlhKm~-aaNpF#r#_6gHVBNt>%m}K z(9MJ#)lV!7x-@k=kcq9g?79)Hs*c~XmoYlWMSUTK%fcQzY45^eFk@+q>n~O5IE*!} zpxn>zq;gTOyVH#x+gVeY`*C#Jc|}mD#6pQ-X095LxFGnzzl8$JEkGyM?MY5t;KdLb z-R%fokBqws-*l%B!3jfvcvVCV-I8a1rdtIWAEy;3VAPlJT( zeH!s1DrVqUKM%6hfL}dLd85wcE~*T;6l$%8G&#lJd+qs(?r&I>cUYcKEkeURS!%sa z?XX^l-E7%*Z^(I`RlPz&kz_BoV8JA&rul)`;WrII5p#>8%X$PDfike=0D$RaNPQ3R z+SCvAmJN3#o1Upw>`TiKaO4&+EN16#1}0Zy16ec#-L_{#v!hKkk1~E?&wIbGlrOUeXYB&8rZR`dY)2 zXNn#z{FbQvX5(-eR=6_qk@%9#Zt#HCtNN3Mp!&9g5~yu13&~ol*o~fe3mM>jw% zSxc3{^)JXMMt~dvWXqS8&WD`qj?V}Dt6UGRS%FwlO+!BugoZCMNCrCkKMgu6Aev@d z4{g-|M3EztydBo(qyANM#T64@7wJ>ybZaqghpzD_+4ku?<4UgI1N{XbhzDQ zWe~0tOf(j|%(?YPFzrFvv3{+ zVAKG3GeKB^LGIR~M$^m3v9Qd5i*oO|gZA3I`0DJ72XoREG>4l5aipTf8r z4;sVE;YRZ>DOGg;&R>Dcr*kzZ+k`Ii0gGmc{j8KQ0?!vR_^?KO;kn)ZWmz4B69Vpl zRsbD*u_WPcAjn;VaIW>r7o|;v^0_nDG&DqpBJjzb8&0(6zbtO~L3*@$3A5&1iO>Lm zJYUi+(3Jff?4&e7F*pPl#*L!^bk%E#BD){8JLPN2>ylRRZ?WfRjV!OatT%54>RKQ2 zF7_SYrN{)lR~*m?>>h<#ax*X0*fRn#gt1MXnVDPt-Dr7}$_?@T{bX?^U_6j)f2x(= z;RHT_?7#rP%^XRNxyN1TM+vO)il>4`ox7fh%u>M@RUktPkfH!+P(aIhRUG5z)(l$< zK|w)d_xyy1}+;`o^YrOl6iHt zHuHM{svY202LrOs?NUoOo|a|PS%j3Dm*j$2^~du1GCn1}?~_40`>CXc?Xl$pPPxFUdMZYB{hyz~uk%!WxS3@F;64#smq$bvf| zc}OG;;NKA3jcc=P5_9^Ak~0&=*l3F79IW_Puzsdk58#0@w zVG^KKuB6PI>3C5#X%rypGoNFXM3^I3zAOCD#>J{2X~R*gASsLlqZe$lTSQ&DR7XS4 zc^#>@j9aHsUFn=G66akNwF!(qfRPsWhPbT?=`IxH3}Df^h4;X-OMD8XM96 zSJL&d6e+n+1Wl9Q`-@*MM3)OQ*Yj@(i5Z;Gpo3bhCME3Ta|ihorWK8A50;SLmXmZ7 zo|7|$|21-seIjHu)4OF@BUdI+7=&)(q*LohWNk(XcE2?Xa@YmTnQvo4ZNyepry-fz zU+%=58%%$zE=zv45BX^eCorD!bk)<1cn5(8;&!eB6sw4fwxFALLr+5gbfKy*Yzq9$rcNhYc9Ll`7(<2FEr)mgWCr13&ty+V1QNJ1Zg8D^nn8;r6k2-(% zpXBRfr!1a1dWA3fRsywrKpMPV z%I>aYlINvZ2=00DE(lKtQta{1&F485ci|LVHNC>iIvBk>E47Yy3}Db;9B5tUAFaw! zuX!}n|DTN0!^^7gj`GeV>#u7pDCJR&BToatVQ)>laG-S!xKn1}t6NDFHK%P_@~BTq zxfS;nra&AhYbb40QfZzxQscx<>*)EP@d)f9Mxe!Y4yEe*7Q!${de@ZJ6AX5T$eoYn z%r0|xqP%!xqty>$XTtl3iIjpLF1Drf&OHXRA(Fa`3NE;kiGp)JFxIJ>gKKtQ<(^RB zkLKTmzcJc4TfJQg@Mq{X+A`Ms4rhoz@cIZwi@>>pi5~W@EJDs;oUL^G>AHrX>0Umb zfMS**Usr47m0cX43(pw$BnCpYAjm>m-eg?Yel!a_8ChMtJ1!Es7}j<((bGe*;zc-N zyUBVw=H|~n{+*vZMP-}M1FH;{ch11Z#J*yO9WPMfmU$^|P#2C1&DGd)yn}B9dodUr zLg&z|l}itdc<5TM9p0OsQwD zPVwiP9Ytm-&`;!Ry)~i3EWDAjDae_H;--kx?8(cQsg!HEmr+c(GnlfL#}Q!nwwUWKiR8;;8Aem7SWWA++`hINzwPG zzntt+pK~=Ds~PUB>`;oi2gIwz6I;;7HZm}@O%hmc`NOwY97@!AuHH5wYQ~k;nq^dB~YeQIpTy)e}sUkQqttjy$4tVe0r$Kj!T}&I&6JB-)n#C3L^_Hfq zJFz$tbpjehfUZZYQDsB3QISY5eno`4gLxgL`oR0E63o@vgz_M2f!XOwsrvpm{h;I< z%y)h3Y-pTWLl=+v&GJ;*U`#CzWN!Z|z7|ZDh0aXD6*L$lxRgBbms~VTe)2%istCQl zC+DK3=%m@aj_9^Am?ksHu~d5|{BNOcyMm5#A&e3bY}bWeDes=fueHK9n+#N4?_Lie zz864Td>n*UaQz7y%7M{ec_$q1p=voQ|D)+Tz}b4=_P-O=YIPW`S*!NmLeW}5s!DBD zGj@o*YEzqv9W7$7+M}pl)JS5~2sLVtBKG=D^!Hs?63LNy&U>Ese(w8zp7;Fqh~8}8 z625uF=~ctpxz?KC6ARAb4qD_`tIE`oYN(*%9hjID8weI|IJm9&NZDDPH14F^a(9)RRtqJ$LY_5&g(7I;_SQjzqLO>2I?GokRKpdyf2vc&AwHZ|uP zB@}M_kL5|r`;!BW>O~P<&ByX}JMV}g$I@nsqw$YJ$K!-i{6U;|o%QOYUeT$pFZ-SA zif(C03}OIbs)EW%#r?$2^_WA_H5eEABRa)4udI!qax!@3UCS4d7@)5Wu--iCqH#a$ z`T5fBKA9~8p+$gs{NM}q@G=0vgV+8m1 z8p$nxxA91z@$^~ois~6Bnk?j(bZOACd1Sdyk)G=1a-^BQc-)wi^7~$!j0UwU#I`BZ zR-##a?BpNj3<=GIrjhidQ;)J35FlAfn6FfWn8vuxPv!f{SX$L{`wG!(c3HP@*(k4qBFRS{kz5r{zXkOkvUtai-u%TV&m!$zC-pI6y zv^TP2`EA>{I4zm;^9>Juj-X%7jaQy0;Lb?fOgFnL55KKh5zp2_@H5GOC)ti2R2O#j zL>3IB#q!HQtyzRgq#>{Nf0)4qVBxS!nG;R~px<2Q>16Pw`%;Wcckf_AoJI`w$8xY7 z`&MOlI_q~B(6FouKa$!fRv&g(a>t=Tf8zIHBD@wpH_%d!ec?S6sILiC*&X_?GQ)M? zdyDu2v)i}&Px9&_jp5uM<|t0e%pz~j^otHE<&JPhcnHvZ=c> z?0kI4Ai0+=#GwvaGW5%busrLR%l%E`794UP|4^{o@QBAf+LzT*XU9O?sM@-elLSq`)V z>4I}(wc;^K=N`qbL5w_?Ep-`br)T=C<27)!B3}sM;9;mL3|C)WFaOD5#!Uzic|Zi% zBl)NO&XLu-Deu6g{Qv4}PJdiMWY6V^6inQ-5V4eBqe|SE!AdBw6IRLPW9ICKmi`5n zU=Rv11A2Q`Ueq*nf8sLKt+#%duCm`yog3q=V}SU!%;G=?^x~tPtQDjbg2qTn?7|U1 zmo%rkcJ_`>wOhIOd`*Oa6{CSxd)@QKR;?YW{inYOe1aa(1osWSF_#tHiM!=xy*CB0 zj(s$>om*l;!7LQ0zXYbJD)>Cn`m%@X&QPHt8%eYz&ef;gG?P2J;8uUNKd`=AFHkiG zWHmx#H`^dAZuRpwImY|{XDi4CkYhj};KH^h$pb%av2Rpoo?l0rIOogj3vITSx2=8U+c%9 zp8%_gXc4~sGZv5XakG1r|Bo}CSP+)X zeSEf4RC1LN140qfD7+L)n(j|cyv0MHxUK&IoAnK_Ww*CY@Sv@23y2!qS~bUByRiF9 zU4glbl8xyUS_AA1nf~a)jkgAl$gu$l!)NMXQXuEEd<3b#@wR?zE|%>d&@dMMkvlHi z_)?^w(8`5IMS0Ej>kZAsG?GvznVNYvlkT4lL+k|CFR;`8A^Jor_C$C&^y9g7g($cK^H<8cp&g8Hz#|OkU#* zkNtXxeqI@OZqjz{TFgv>P-f0QV*i%htB0>OoLJwKiLhw9%pZo@?^YO2eAB-sxll$> zPOOgyZ@#3RX(URr{Wbs+tAjW$=gVO)GG;e0$8uW_CK&@%tpMZWEuiVEc6wmrZXl< zijz>|c`6t9kWD`OVYmSDV*`pLkv08hZ}C%(KSNRvP_2I_ZEy#AmfiEygS#F+eh2hL z2ZotTx`SS2P5V{*6S5@&t622MxbAQ?SG(1yKYAa(Zt;Oz{6dUB)tstd;yqlJlRseP zcfYSLEv=wLEkx1$*iyQwF=>GKe!Pj$+IK5NE8P8i^(yw?%VA|jc_i3#1={)hSxsxV zHTYhOc4gI9HZ_zZy>g0NZR84R&$2eZSKiLnB~qy5VvNDFEW}+t2&F8;2EAEAN<^7R zDgGeckOJ+I7R{uc+%%j*qYnGhe6x+PQe5%^kruR6w6{TWyX66nC5t9sffp-A`kr;B zW0A3rRQ;L{VKHa=HqnYgC!;=xaMZj@TXGe&5E{T00ZE9avg@--eTQkv&LyefQb-_+ zR3~%Z%Xa+S@kquT`n--xZ7-ME^VB_&?omjTo=5F#&_S3b4IMGI$I$TFN*EU@Hs@OQ z2AmCyXYdK9WBzZ4g=0JO&QRCq`BGt28E?Eq(;8&>;PlF)FGaL9vWceNzKmkk^-hJq zgV&wTb6Ddc^M(27f3Lk!YI8Lk`?O`XS72EmGfBCZOlGfB#M%8>2V^K-!L=zXF-ON7 z2pzqG<%;l%C5se^*uq3F3--H{<&sui%b=LdG54C!1g`{zo0|Y1Ahg!LY!!hGy*!lU zxttKM7q~!m2Q!GjBmact2rRE%_s5#i0IA2c^!vKT7W-UQKMCrSut?MTKC#I1zKCUu z!h#ID+?zOFbpP-|p{<~BX+wTKmz(^W**cRzbOHZ-#q}BAK>OU>J-X5U;qnXA@s7@` z109?yuISL(|9~9i2BsJ(V)0=<{jJ+%&>^4~?UG~KvVuoDS!7@p`@V9~roo@`Jxdg-Q%t8Y-@j>dcA3!N{YyuwJGXV2&P89Nkj;qQD`Une5?8+0s78YZC#3p zr5fNWY-EXyAP;Jn3^>`U!8mQl*;JXa&0C3gp`A`sbeeZ8-)pqjaasQe*%1vq}%E+F*ZK#c}3`n1!ZUkoOUEoXS)KmGW2`UY{VBA}P* zGKAp*gA<~wgnF!?qq1N{$;=-q$Gu`o`3NrRdk;v{Ja zX}9e=;JPXyoVh%h&4dY;uN3W37lPO5LSrJcQS(bvGe8rA3mAj`>qs#8K{P^L6!OE> zmJ(0T3T(+nX||y;t~mEQ2C#nfV9Ac#T{S=GSo3R33M0K3djx`F$@C=H$KKGh@}>Ee z6>_T)zGV*N;+6Cg%DnkA12eYM^fdo(-^s8~V3v>5U}~T_YIymbZ?)}_N(Ggg1fPUh zSn5A5qqyyEOsMR;r@m8%P1@xt`=7dZ@!S7d4&Kg@yR+#sJ&K4fZG3U^u+M5G z2%dvF83Z;IHSW|pLJ%mFvf`BBprzafhI=9pGvMzw+3E~&KJ-Wph(k{5_hprH`Fw=u zKxkLZ@CAobdAte&dvgJ#Rsk>blw;Pb?9`?=rN1W*VJ@ux+ zo(euSi8i4gzEqcYLVayQz>lwzU)io_{T{h=>w43eHA6X8+sps^nkL%r+Me}$r%~4u zs?_se`kW!TFAv7tICr?0Z9>(D1sCwOPbvk?+R%Aap=w7Ic(uV}22PV#-t`oCVMzt3 z2D{)9x6kA-jev}^jNfAv_v&?J*6$1N%kJ#C?;q&J^KnnEilul7M9aLV;ijBv_Jx-s zOs5>gQ@qH0S;2Ys$H7LncZrjzNoE9E5=P=v$^M4g`*MWP(~ob7TT@ zwpTfsQjJ4;ng09pECh^kyfMqf4OBEqq){7JUwe1XFA3YPi1^yy2ZVKMU_S550UOo0mw@%^S>UNhyWqvXC$cR3d)>~b%XpZ@e;P}M{!^L5t= ziO%lO+D)w85S16up5yBKtuA)_$#|Cw8GAx=>>PL`rfecQy96!!@Fy!0R%`g2`_TGtVLibaUKVsE9}+!9t~bL5nu5 zXLX)s-&0PvvPWYJ)W;EPk6+$U-hsr`tJ&f zvxt>=num9-1hHxbRlQp;I93GXp?w*Xe$z!``E4Z%OPJR8wNJR^f6?x_D2lH-BjdYjozXa|!4X_^ zMi~o9+oAQIkbGUNLS5`4DDFolyJcHYpioz^&{lW{{-xy;rTM%E<{D~ZN~E5{L|mG)>Ph9I>Sx2 zqqtx{$hb{Ceo;>%I&BFjE7}D^nD59+Sk*5FGFAUkT@r7&^`4r$TLR;B!_YeGkL?PZ z%VTSqjD_lSOZcJ9ex2FQzO`Y_KVZYQMf-^xw|Mp?0-sD6r+gACiIVqjI`0z)&Do>a zY`8zYn@^o0nn&ZD$aJ6iltn)%_|1xn-Z4+w=6x^EnAH!lV{HJ|Anx8Y0FKCI% z4NJOYMp;wpy2-rK5d@}Zq!H;%@LI03Nm~D=)n(X4eutVD($aNSW+vIhMqXo78l%P+ z4ZN39c&TA*^+Ly-9jWkUF40AnV9oEiCqn*QMu$-YzvftL%{{25QJ9>sjmzvgF{TOQfs^u#gU-^LzNsJwhZywVxNNa}N+j`AD&%k};j46w6%AAt0`g)r&5*Q}3 zsRf5$$r#a$gG>BD?nuO^`rH6j=LDBCP9-4=8e|vH2UZ$tvR~e~1dUw!TeT4UWsT)= z8WwV5l*K>K5C!}7$@{3+UqDwGX}nYK{&-%Xorxx=bIpF_&Y)k%TrC7fhoz()DZ5McdYmFhdoJ*23hgXu5nJKqCI@TM1zh56VDcyhw zBcY5ZNun42U@n;ERSBHe=totR_P$(*?jNJ!?^VODXVyBCmx#-Y=|#iB*{kU| zp5}ShoC*1SXJw=T48v&w<`3YOozZ8%Zv#tZf*Dw8a7-zfw{F_;tr-K@WVyPIhi#n+ z``!cJzUPo%d8O{#sJQEjiMXcbZ$ga=bQuC+I7CNc_k4FYf>W=y3p5a|5%=8iIl3*w z0Xh=yXC*OeVQ~{tuB(){O93m6HZg}#Q}+qfGt#E8#d7(i;!%(UuHEaHIB6fxh!gX{ zehpNQ0{`sa+g&Dbsf7`3r)KM3gK-Ya%xGhqf;DF^+|!azopp6=vwTl|X1}LvSyCr` zm(ws@T+3jy{<9|L>6-V#L0)Vs0aj;O)fhAIplxm6Po40PZQc=bqT`w=u>5e%lr*v( zL{0fx`f(0e?WCuR%Tsdk{K4O;mJ1tACb3d$5s3TWHyH-H&YuS!{Cq;Wy1;QP>9%U> zxkpzJgUKr^(^ET!SL|8()G}P|LCm2nMe$&EnX}CA`^JcqD@h9{K2pEspeb|b)#@We z2ABiSKQPpH(x9H2+A3E4q4O^vB_IsI0IkELrDo*9$&Qt6DpEcs?iDa}0fD!iUHp`2 zPe^cW*Rlgkm4ps-+^(Vkxv>4HN;wUtBfm5xqQ*dZ57w$FmcJRhq@(EC+kObxvozJm(3RBQ>~DvYmWWRCc0Uo zK**@Gpe%8w`soV2%lH2o+m_w;<=@%4o}Dtb-;6ic)5_I-`g_87{n)%B%z7XDL@=-F z={gJSG)cw7Q}Q8?S$!H-JDs{vkOx3%*ujp{;j4Wow~C!dIO+EWUC=gJl|%Se*nvzEd+PAO z%TsZ}8V__akKe91FGXfU1dWiVqlRPC@J!Z<jcU^9cVF(gD#-=@6s#h=B~nltEzUNf4UmqCG5RR-Ey zJr6xB%CE}-Sm8by26KfMI@_4v^&?L1F(F>WY9DIlQfmIhDq8u%-Wh@vugekkI1zua z`E|L`xMVrMh~zd2hH=|^BW2g=1z$_l!8QovJr~pt8p#7x3AGHilv_~;P z*(fGp(`;L51IYs){e3Mcv;$vjqc0%%KpPntN2ckGLb@>l*8$ZK8scRbs3uicZIYwKWM&c=E>(~W^*Nk^h+Ss zkGgZm+=;wD9ofYE@-lBorFU)hXO&qG*!bE+=N9yM>uY;fGAgbt0GBDQ5UNd*{A_jgDrF{}H-TIzUwh^#xB`7bF@w(ST^Mpi4!L0)jslV;f0 z#S{Wws*4q1=Jcm;OgjzMyR|y23EJKXgN0XR0SzL4XITAf^N!SZ$PFWn!5T9shOJ zUktRWc&DQ$);ev(`CG4UtJ_hIn>&A-2)y>~g7(7J9^SKpQ8(ljMmAE3a>m4bs^h8QS+t373xu`Wx0H1_I(#2rJA^P7aI*!)?0 zr%!9R${&pYU%>0CrtWMlZx*&liv-zx_I$zX`KzSAnkE@k4A!y}Z$mppw&&ur*&C#5 z-a>4j@dv;Y zx9jQ$^D3b}0o|uQKmSs7-;Oa);fjlnUC)>}AA9}%P-6v&@~lRIvel|3M?isWsRdpY zmt+_bL}3-#+G&~p-(yO5Qfh^*ll^Z%e^yJk3}(gJ*20L#J?by2Ftd(>zk$_3!tjC3 zq-JbSnW>1(&VsUD?H^|DcQ7vm@alK1fV|Z*`2|Khxi%&GD4V-1)fHl zCNr#df^TTW-I~q=K`Jw}g~>5LM??2YhJG`L23F<#Vrqb^su-Qexu;$bUn0F&iV`GF zL5f?~*boH7@vgeq{Rqz4;OgsstWr@j4*(Q|!I;=oPiaqGpkMwA_Kf`x-$F>o&NI5} zw&D<_Df!}3t#&TeqtNnRAkA&)oBdm5w(6mW#_+Cpxi3N`JYNv`79bI>>m>m21b&K0 zX9?TtGP&p$<~d=$2DXu=Mh&5vruHYe|NDfE49-1I#c#v7U5>wcZESYG7!bi6ocM^n%h<&e6LE4Hs+WY%53GM-~KcmE1=Zqxkz>%XBrK{k7=fgUHEYOmiJ zG_cLSPe{s%b)8m>m&@Q%X4G3|VaE?<+tN>i~lS^o(dgU+a*;i&GG-fiUiK1X4A9(#jo-U`}fqA4NaRU~A))lACI;?C?< zG;9>xr1jXPXPP4_&C($;H?X2s1-mk?k3!FxG~{)Q-Xg_<+8iipnEb_ZgI*M~w3%Aa zGU1qRNo!%|BJ77y&J8Q4K-1jA9_i>(UTQ;yYcR(i4S@x=AONfyC}j_RQlmZQiKXBX z-*Ffpp52mDRZf!hQRcpIkF{S-?pWzt9{B?XHK`2PPy(OB z{YWtZG2KvU>3M;L2R!~RgGIf;+v)jpd8sb6(J7z(6JfFUAg*;ky?EBMgzulewZGQJ zQtsL>@G?GbhT_5C*RqS(uR)=XvES=r%Y{eJ$WB@dL(PO#h=F9k-8riw3f{uBf!%hY zj-m=(;v>Vv4{nX@p1ALQlp5GFVers-+<1TP*G+KD$qUL4P(wEiXEyo@kpV6;ok5i>@?wrSc-5-y}t{McLlkUv{Kz00#q=(Lh^W%s`vkYG-q{Ob9_R3IdpEw%r*5&#_+%wq1-`w0J?vQI~8`v>z) z^xpcOm9X!ds>V|Lxfd>@UJ!Z@g-Kq&Lavhs07VE)>U{v5y#0mCl-L}Y&~InYC)s1v zG91A+xZ!XJ(6H3rB~5}aHSz!CCI(sjvjXk<{40Dbnmri5#CYk%dpz<~v&)+MR0p*c zh+lDLx)VWtdI5iTE}&6nGNGo#5oCUxeHd1Q+4;&XM!7FTb!63{GHoS zTm?yWk%t9jkSX?UpAb8_F44Z++QkJK&OD;TwCz=^5JM4;muG)3t{5$;BkddT!lBEY z7|1lov-?&xs>a86mBXhm(^TuMXJv;H z_?u4be(}beX3KDomn@q~{>%{GDs**+_Q{`_yn``TKEt@M5 zWm5uyOjS281|4A@X;jRFtGB72N_h%!7fnAgf$#*r4&anJF3`91096U$`WkX8bT zLlt*hMu+#s34ic54S(mTeQtLMdf#9Px+!o6nhV?am*)#g@FPufVsDUb=EcG zOJ$i7=B_WxWd#t4% z%XpD;R*}53=GO24aS4UKuEg373Ll>ZKb1=*q>((hY!&TxP=QcRN~{GjB?h?c7cab` z!eHF|>=<%xIQ!ZHw+_HC7*C=F<|~&6_>D357p|6Jg!Cqs0y(Omsr5C~w*c$|5NK_k z*v-_e;4QTS)3jUAE3<_3g#y1`^kk&xPYj+CLj`;Q5fqJdOTMN0nEZc#sxpgem{}Zp z?S>?B$$P@siW&g(IiAMB;p z{P~3Y2^gGa)Uqs2MwkFlUJFMZvYzFV9~3ak-!@V{3U~rSjRg%iEfVQ+*H=VV#DzF1 zSx)3LDnazA-OAsAeo7Uv;N>$64=vx$HazsoN)mbV5Tk=oqa{$04E-fO*#s@FE`?KLmDfiV-ZW-J7#mlK3ROoU(-dx zTybO71{LM1BJHQ%$Yz>>x;n|(xy?+s;VB~-e+fn!?j^QYlbb~5`lqP0)xO!t>_4|> z%YkKHt!iiwPTOpl%K>yqN*vla*}5y_FR|g-vp2UH*^Z-D1a~|5mZfpX)~5tF<~}L0 zjt7;%XRdfAchuFL1d^q#z3kBHeha(b91CZM|MM4&zgsoFivtdo+0D* zS8!kA0os&+hwL-UayrKhk3SINjHy9G_uI<7eSN3p&)UHg;R2NDWsg2=40C%X1e>Rr z#FI5BP88@Gj?;&iaw4?;$4cOEIs{3NRXKczoBJrda7=X0nl%V_(}+@*EWEWL_E?yCdu%M+rP&QWo-t2hn zbXB1u@&rg%)wehj)#jU)MyZo}65sZ5E=#M5`UEWMH|0f1W!oJvc88(4`jAYs1i`ew zVqH8w8n|BK-mSI2-M&@bvv;SQ%d|4)-OAFR?H)rt*`ID02{t~2Wf%1``7iH=NSNM= z@J^ECUrPYpuj~LpbWqUF9=kys^*5>nb_rwtY@9TWV?`n9dI)UnlUt9Gz^3o-W>WvK zg!YB&t2TKt5}@Lk=VosuePZvcAA&Q3%1fqy%twi~pyc0vdzFilFIwgVk@sLQz>kT} zY?c$Xwo90=wtmtF2!e5l<^wXHGI7tU_pTxm3$t%nMRWI+TY6(AINwUV1+zh_M{k6U zZQU6Vu|9Kp9F!e%_(v#1#$f;A(iWkmCp=E zEN;nxcP&-GShp`Iaq-*Sc7yd=qSn+&2v*?i6Q<5ux(!$wCn_qg6)6&(S#jYNv{ zVXea7Dgx|>Z)moRMoAF(24d`^k2Jihlky+)(f>sGY}rjRNixn;=HM#WCXB;plf7w> zu3FIONMX=Q(Bz|Q$KUn=Pm!)m_dvhERG`au0>E*32Y;Q{aUFK|!Zs4d*I#!C&sewR zO0DHQ7}vqC?2TiV3tuHEtUL}ib-YvR45$n-QWp(Wv-B82GA63--;Z&Qh6n*yIXm1l zQyNB57T_wu(CB-A8uO*)=MJ^v5A$zQcNpw7n!g0l?Y>Z)PNT&qFh`<67)pdlJhgJe+E9X-LR%gDZkxG+TxK}6 zvd|K=DYh?@1Vcpx-A=z-?e**MS%#MYkKp|_S-q)3T)h(#neIGa@5LPey@uRx+V^C{ z98_iX<5kj9rk^!p6fRj(3VJO~Uj9S>e>tB>`=kHGaoVAcJyF~&21-mcA}@U^UOUa& z5lv_D2Rnwd1K7pcQjw+K0Ie1iO-)3=Cg9DXF^>2GUdqktkB6P6E~fo>Z~cQfU4Uk# z?Re6)_x|>67JVvr&0s9Pg}Pc3udp7zjH~PH<6pLEvKn zzRE2Vnqq!3qRSR>>9dHV8qRYbh%dSV(B1eiOS0g(?c`o_9o7AH``fpE!W*s42CJ8{NMWKc*A6>{XyY^-J4v!U4 zH(qUiU0_KP6cqTW$r?g=nB309?fpjw$D|Tbh4tKu>|55r%mNZsky0pM3q%l^4IvsC z9H|Y#IA^byFt!1dE>8|OZDF<|#;%hVlV=LZpTM3m3Dk7Km&+r2>0YrITxA3@;zB21 z3S!DTGm`fjsFEe`3^Q?CJ0<5Lh$uTe=M!Kl2IM?G@Q@7l>`+K5qZB2yvfHW+Cg9iW z5P$RWJpj9gsx)e7vFz=CJRD+-OePOq~k)7Y_ zo}oZw+?@4x=HG$%^t+?6a&NuV!*dVBK+PZQ^4zJeJYY2K?urMUYAF>_Ph?+AgYYEx zZSd5xTItYC&x5vX`@TgI=6TyNKx^saYl}WLLGnCL)joY0`Hn^*Cum-BF2o0{1d! zvT{?MvvP7r2~N$^_9R3k=ltL)RPxPHGZkmG1rvj*49D1ew9D#@&jUcF?PvfSL^M7i zbGz$%0fyXeYo;xG=w?Z?IUuG~xn=3*lC8P9UNvS`z`*~MZqi_FC3r~{0~H4(+8}VG zm9y=@N2L%)nDG{r_r#{Kx?p~<+on+L^1o9OuqM`>puorK@_hfM6-Z;k_9&UpJ!BFf8IXhE{uoFxOKBGRQsi!6-Zs(l5PKCR5V8}Pe_V|ZZaz^RYp}|uA3Kjk24Yak9)pqEE|Y!MINlM z^T9Y0MT1b?p9U@5nN5jJY5c>?sZ5#_v(F?sBf*(Fo5jh~rY<4f0wH_|be;~tdi!yr z)0)9RdU(GH(EI9_8u@M@7cqAODw^I$Z-OUBGOcKJ5oo7kCZ8Pd{31R{hiHVEIYF!a zAY@ecZa!{lyP~o(=7!ogtL9Ba7eqS4t5!(-M787&t>`2qbH)_NouliXtYpWOlN}TH z&DjHKaC@kVR1WraSsOV3w@ay`5Px?E`|l?-id6Z){k0{+Y6gb{x@UoeoR?9`W9bp@ zK?J>ra#Dc7>b;-*z_gP@jqORRbTi7eAGohW659AOD59}xxtCFeIB)B|86#G-@_3>_ zF}9CfFPO&9yxJ-eSkh*bG_>9miRg<&t*guitfWEhxxcH~;1#jCE+@Ta|2POzhyK^7 zcFXg}9P@PZ0-NMKNcdFVV>>4O7DpDdtPEau=wd=3p7k0*e_`A>m$wXSJRve-pJnJD z0;e;58++aGVK>5Lzgp1lG(5(Rk9O_Ut6SsquF!mmo&BlA0w|#QqF+gX6FDPAlewMnP z6>#qVRYkuu6XMs0ui+$hL&TH(ILRJ9_@!u>KFWOtr7H zFXw;y&+F%p-tLL%r#QgJ)Ki6`fr(t`^v``L$?kL5Ah5nqdqu7AC#?v>{n4H|WF#1G zH0wx3Zm4ORMK$|P(izfba($j^Co2g@dgT|TXa-q6PZ0$mp^=lNVJr_c4{i^f?wOIi z0JP<&G@I1)+Xf-CDsEB_w5_@*&96@*L@pF$ti|YH2!racw$@YnClr!cFVm%ZY|kD2KgIgD z$mK_+k6}Sb+Y5)uLslOtk^EsrW713MItRiFGNg}*Lej-GQOFU*lq)^6GO((vIs2AMMU5{0|fnmGol6N;-m*6i{o%zbKRLI3l zqi_Oon1p}cJZITZTo}kF?X@2w@q#a}rQW=hG`Ck?s~cOq$l(=y8sC`*2%3}Fm>v(2 z&N`|M+|SxUx1m-UiH1;7COI=04&4Dkj0_tgzAkkL$VmeT0djb%$Rf=ltrewmKk6#M zB^}ln*9^DC#6_!B0F!|d*kLVMYh=HdgsRQCPXF7IAZWqJtMo3`6gdpaJ1ks+5B4DU zKW~bss43Shy%}%0w`uUAnm&2udN(YrHD}h3?tLh|1Ns}k^MGo`2f=9R zUNJo$cI>ViNzXYZ90vRpxe2^!bg_ zxzSE8USqO;X3&Z5CxMg!Jcs9>Zwhc%_PGk(yM&JItmmrNMb1?LOV1_kRSTO5hNd+6 z)aQc#g%oJwimt{;!U+brcyWej~ zEqLIV8g{C<_!qH~!nwdVz6zu~&0Famq4aT`pOB_-jX?tMV|&Y?nI(cS9Ov^oJ)UwyagdNs@pRSP4vF4)J;lIBcH2f_} zcTY3^Pc1Dc(n7MOIHsMC2S|gUN_w{$a&u5qUiT{+l`jF^cGgvX7O9DqzD?E@0<~Es zv$}GX$$f7Ve5-l*!8JpM=uMiOGB`?ddUQ^pwP$SP5ytl7pt?>KKh&ZcIBni|%>j|p>hc{m|#S*kGP4nBl1J$bID(~>mmTVV+1dH`^g5P&AX zAvrMm0=cl$$(>l`9pWu`B#(0zG^fLO+@GI=24#D7H4#}~Eoh)-r0^Z06X zrpD_o0p4dKBzZY3Hj7rOq_x`LjNbn@AdJNf`lLchL;4^7_Zca$zE48Vb0KZ!GN=bP zmwlkv+PvJ4M5eTa5Sz1SBcQyfe7>)xDyFnsTQ? zT@L6r?(l(|tgI5}auW}H@bmt{dhqYwg#85IM>23T7|4aZ^%k{CYdo~~e7`x@xYDV+ zjl&zfc#>5ZqStJAY^%It7uy~aOe*cU%jRxSt*hIw4h)Gq z(CnKSj)u^J^=49BJDKw_Ra2HOQ%~%8t$a6`RB8()p*9c&OTl~KLQEx&9-6*RJfbtxN)~qDEA&=tj1Ct zB!AW&>)8)JrlYxph<5u_pwr);pKc%xJaJ6L`}sUfb5W1r)U5<#n$p4HnTesoix`@s zK4JvQD>gbdeF(iEp*Be~MAdqEetS-+6j^nfjC+D+#_P*gZQ-WSm9o+5}#p3>a_E5xi zTT*lPOIWScMPe24>VuBQzr=xw1lR&S0XuNVEN`{&MK5pA8+LOSS>Nf-mk*qZ!KIFE zVXH&MvujI1bE{`A8z#JU`eSsnYrKm;N8i&Z9FFTP-qP0_rJEJxROu7z|4-{+m=qV( z#JMqg3i6=S9Is~uRn!e(dYs9+CjkH8i=g&1wY=Vqv`huskwh~(G3J;`@iIvFNb@MC z;r^^^YR>n6wFGW@1=aDkp+&;V{^l!Kfc7M_sM2RmQ;;ngNu|FxX<*Onc4{`hIlEkQNBUnXI!K_kh*`ByzhP(fDRNPk0ceQ1fxxTl0q&Ei13-Xw-IQK zh!8z`>4w}^$_kPPhD|dw@2iZ*WirM%4#L&mds>ZW@HK13r07s&6O2lk1|)R&f`xQt z&z~Ltj^vX5M%Itt>!7+jhTjf;o7K#na-3R34EQ8ysUswrf=nF&;E~h4bfEH<>LD+O z568rUnfNJ#fyD~|(CA4pc%h1XEPYU%&y3{O!dYsEXSldE59^CXgL3j_3X5{9Htse^ z*OnO(?pl+S^xKXi6R=AgKddkC9=iV<_W05e8%uVqyOl|>cmoPK=Eok>_;B)4Ggw48 zpPsRtxfW=A@^02Q^m}}Kv#oV(^K}Z0;yaSX%(%VQ#ctzQf3*_E6tm%)55zyKhT1`& z`g}64lU)08Zzlyy=|;bT=MQ3L?z<-^@wdwAoHwQqGhF7^C6*-$fQp(`ZO~c-*l#Ig z&x4!xZAuZP;m^8Cp*w&jQW6jsj+$w!PlcPFCYDB2-*Qt0>Q4X_!}=)No+IbU5?Mk$ z7eUZLV;0*Uu|5Zf?m76%>ZcN@e+HKN6R(UuR&zBvFepYyvmzkZ?FErvftwbAHw&!t zo{7FCIy!0Gpr|wd7H4Q-&}GANiq|1DY1yD38E#~e2mRXAuUy>2B zdb40B&zx~f-XWnO_wXW9|L;K64B!h%;Ww==582v`JlTv+zE4-Bn}^Cx0@EjaR{{QQ zAZ2%c{#f(pU+{nil(y6=&8THuUrL)0bb`dEG6_Dre$gGEEy1#qWk?14n!69MW8S zkWBe*MWvZcf)kCdE7TcA-;AQjq|fzZ1}?$q{5cVC0lKG=$a-4~VLrtM)SBI)N)^=q z2y?$_$?=6HAi;O&+L+m&oT-FI*fS%aGO7G#g&9suLY_~hb{sZc%%r%4uYC`cdsv-x zi>a0DJCF;KWmAWueZNF5^v0x_W97;qowZdXrlpRv??H?2X?#8cdgd1PJv8*uu*0!Hwa0e-JX2UEKrKiwoQLuEVDqgQEXIa!OQ zx6#BU({bk-ddA(ims~I?WTsJ@*i;lO9J$A40}j1Lxs0$?s=F;7)Xv)2mMHu^k}G+$ z8LY1Qk64SQ?=kwU>(M3qBNnrAn zfj`Ip{s6(zyNrFpWxkqO*}!>xTsL!nO7Jw_d_Qcm%5a>?{$GXMiVe{UlfA2tVUvE( zWhlsavl-`Hu=MfKlEG?9$ao+cZI&kK8PdPFrjT-WNL`Z9_RqPvXTEt-k4>uQCFCd_ z%M@j6DENgAE2F_weL~;ukdyVs@-N-+hHX#WS4-*$Yl7hcsL=rmP5#~aZl(UG7gpkE z%x=^?n8#`SiwLXPx5hoXP^pQh;3Pbi7)x+9@B$tORUoG#TTT3Nbj&<2ppHffn;a+h z9t-Htak!aFZ@lF7I@LJ#)&9Hw%ts~y7O5H8POQHQ=04pTJR_u^On^<7dx&<|eL1SZ zbb#PXEN4%JB@disB}6yLcCmMnH&H3#KNfm_0Zavlk^J`w4*?zjSE%pkviZc%ekMUPgm_K z9-^W6hm3SG`@=AzEl+sBo<&Tl{>3Dc)!(~p}ILVKe6>AW*eD+%r<)xiHL>*@dKTVg+dLiw8da9`x z_<=B={4+WKztuU(p(-a-#zYh_6X7+B(h~cTQ65Eho0g_+*7H?VV4%ai&zwIDHpoUx z#&n2!KrQ#p6MN!$g@xv=R;Ig>o{z39WouA`*L|{s+cxG9shq$vDMMhUhe6tAk+3wz z2GdLt&*w8&Eewu@X03XddCJ6TE|k2{6fRdquHN>&@T2H90#ANvf2<776W$uRRmKIF zbcwj_{A3#AZhN?{dYAm|CCsnD$yXWC0kUZ>f+i9wpCGeyizRyx2WVV9L`--`3?Q@X z(P=IfuYPM?3z0gh)3@w3rK_zjdCJ{iciSTf491d%R|I<=Vb*mg$;T^eOlrGj5ZrGj zxAZ`|G2L%HAXnp{j-MBUU!GBVb8%-3Y!sl=umYssw}`IwFa;or;JY9lV?{Tn{%kOO z7kBDiA?=Xlps}653_C8i8?FhbvmzK!DAT@W&7B(R{OJfaf7!{z@h*Ck{9G68#*}R+ z12s`>v>M73Sh%5R{P|_)hJTr5W1M1Ua<_fX7%2XSITzDC1>V!#lL0P5#aBZp^&Fm@ zxLsVG-apnHes@&%AdJXipnmIToeZ`7LAj#Lu(JBfT>QbJuce^J`vRVRovx|0%i2Pr zd4VVvgWRwGo&8uGMQ;~k#Us<=wg3=Clb%->2HoV~sYYE?5)21J!=CdbH)6*b4&~U{)FtmcTKq%o>nl6ZYz6 zO7xSGaRGEcuoN^B3eDr~ehN}(Ve*lfj^ny*P| zIu9CZmwqGvDXv_73juh*n0@J;{@nx{Hy7M`dS_mom9pncx3$Fcse*zevftEp_F_6o z|3}kRKt=U^T@@7&q$H$KM7mR2Q94CHN|YWthOVDdN=gmgF-phKB`qK|LrAwU($f9i zf&aJGEaEwD9Ok`y?m7GHvv<%uE~g9RC#H33ycgrgiu#kq4h6qo4R(4nh&>V7D3@8t zd9|79zxRDWu&$$R^I){%UHZM?vu}bYpQ;G0!zFino)#@rhkte%=-B#wFfm1&4E7l3 z?k?wF9x?MfHY6&(jrgy24l(Xnff0wjB1puMYQ;E2dxV zR$vw~14d<8gRl0nO9AlJwX!R77pJjYTKmgisv(~%OhT(VehK+W!cpz&!} z>kwR|d#0e5RM4r&%6?U*JiX9IK%GwU%*-}>uhZWNapZpCxl_M1;L@8x0H1=_K5od7 zN7qN5E)b^?7NMAuF@!o;D;bIbJlr+;y%ew51N7>^2P)8OT~z>3F&ND9yzA^Fr{*;} zIRW>FE4fcffI-e89cwO$E_~G~s8IL;RvBGy|A41P6FT3pM_E?BM%B zI^82yDP8C?PKy<~(KAg2D`^VZ^e(~Ek}Lll%Q!yJY<7!;{X8@)V&Q16O;rBf?Cu&$ zuo-|EG_)!Ao6h!nV~z}=1i&ny>;`*19g3WMLe#+=o5BRn!(glyG$msaZ1sC(ts5G- zX60VmJ2Bx<*>=DjNpk@xL2&HPai_3TPG)MaTsZw>pNaKmKW*-?E7qE`muk|zWWb8` z0e~tJ4kjmD*Ks}X^PZnD6~%O4rDm_vO}8|#i?shZ-q#3Xq@awUhHD|E<;&fvx*5V< z+EH~Yo7t|~qjI$Yj7^NSB?sg?0x)76UD%^Yz}k6ze;=K*I*{ZEiz#k2OjlK;cbdIJ z@+l4*4YS{Ngtr#ytye`Q(U21s8mU>leZnYP8lkNHH!Y+4!~Ew0mzzJzm9^!ubC`67 zQ8LEyZ@)v_yFCFty*JU#;sxoJm^b~FI}t(lImb|`r}i9+NT%r~Y5Ml3^F7|Eqqe_#e(c^0 z^&CowDM~%RUTrBc3qBnYm&n_)HTrKCyc;vg-wA4N>F@(=jb`%?vvUV_%~mUZuY0$F zdBZ8!o`zH+JIC6d^AqPg4^k!d_>R$~g-m`dhBc+q;UYdhfRe=BB!a-H?He(I77hX#h zekgbp%x(5DFkTgjKeY)VfM%o$cCL_)g?`>I*K|K;-oQ(%!p8d2UbCS!URkFhXIbY>BT_9ZAY@;M zhhtfLOKSWE(qI>6-A~}0pj{* zWAYx~W<}VeYZC5a^N3dR={3SW^q2^ZgBqy!^*4d}0cuf`SF6vjofxjKoc+)@I$O)U+@&u^ z=usRRUNY4jN_)wAy2PdT4lR#`ym}_b>%W*4oUz2GPzDo&)+-}=UQP!lNwPPcVIcup zUSJw?XErMm4CnUW^?7=Nvxvhn6ce`}?R>OE;v*d8_@xu{?2fuMp%#n}cg%j_oT6A*R%O}O(@eDK)YrWH5Lz-vbD$7^+=vkP30BaqfI*2jC6GTkW zjP1d#t1Af_(#{9$TrzJBmSm?1Fl11`Pu{X0*@xDd?9brcd;D4c)Lu<2QM7UI_Y?1R zj>d`~S5ad56cGct@D>W#u*pP|g@wY2x$Ymgp4Z(|N>0fgd3b-GF90CLzfyCdWadrX zTu=BgwA>d-IyIStBM)QH*lXZQI^B9MVtfxYL2%@h!5sfrvoEYUSkW=jyE=->3YS!n zTlgLdeWAXvglL%2Ve;9x#{N%;$w6%6pJj)?2H~j-ZTf2{)$84}B~{fZD1{Gvp}E>Y zEuA|=CH4*;*gqWLU22asj3#@&HuS`eSYWd58&#@-qbgRSQpJ_rhq=#(q`g4M^;xIC zu@+6me)?s`04alkTz|D>>kK}ncu#I<$faoOVz&J^me9S`lS2Wbz+lm4`xxEi6`0BfEDfZfLe-!6x9KQA+@*$vfkIq;b-w?1r&q zGLJAXg4Rr*O}>+b*E}so6eV_1vPxS`_ub>&(6^X94NI|0*n>cX0Hl~d#bM)f%2>C` zq>ll!)bjE_lE!R)SMAt4pRd@a_m7obQ>XeJW|{DaJh3Qqm@G`kx{v!zIiwdrFd%2h z;r{s9<^l3g^@wri(6F9|_&v_MBn1>jLmL_HJIyX)pB(|bzKz(c>~(_uGX}$q$+s6E zjRV80w6u;9c^tZ}fP?x$^WTZ-KEiaj4#Kwth>OGoYIx*Aqiaj^|9O`De+41ng7DNk zYReZFzc2M!B(!>c)PxZ>pTvO&$zkNp>aQ2A4N>%pVFwI)Vy;<7S7Hfeo+rH@Zh_4O zV1ntaG3+N-VW4m139|e3`~ws50o<%8(Kh@oQQh8OPqAmd@&DRxSnvL9Ih;8$y^vGY z&jXS`fNSHVTROzLR!h-wu|MJAN|UMijre`eDbF)jw-vn!(t!6s^Gagb0a|TZijo5% zJ*}F-`~A>X}d71Cv?TT zTB*8l1FhxKJAny$@tak=Ui!kEv*%nJb{L}cbG;+4uPQL#)QsE$%J_$`z!$?61cwK)3pV@cu5JY?IwMQyyn=-Mk zGgCKC@}><9<)ihS9z{P_L_d#Gb?|2O}R@PVO&{l5eYk}PUuSuq+mVSto;s}g|f4C zWo^wvJ%6qjR<~WY{dA62wY8b^RjbZ&x7+I(9xor4vgRY%8o3kXxM&;R#k={wZSh0- zNp7UfUPfh1*^T$QVSty>cd`KLVM&#%GTkbpsD9XdA%UnZzu9DJ3@;~EqKPEsWequAxabT@y-K95iJ(j5!{svQjBBx0y+}~< z_0XnIG^df};aY4LG@1N4mo%lp`?Y0+D8liRBPH`HKW`0Cn{ikkXcuV_>BRN=VNv&h zc(15T{;p0ZuPWxO7;Mw!{@zWI67^&>`qNC(K2_1*@29O*^Bzh2Rv|_ur>!4O6>HPa zpBU&xq+#8GKz1hq@qZ{(%GB#!KyO$8Na56iJ_%fKT@iz6a&pN`rj-fdcj@mz!g>v0 z4!8oB6uKoliCe&Bkl*#&OvDGuH1Y|z{R`_RW}%K$@BH8QH3Q!`~v<>e$>wN)DvjrkB!;;tu zIxrgrR#3^Daa7OWjf9%Sp|ii=GTT6&LGsp(TlX<9=R|4;BEbQw)@jzl^KAI+?|bXh z_5Z#)>F|M|hLJl@l0Y7GzgwtBrfu;W*6ye{-un>U6Oha(- zfWJ{%gDwWC1Yz!chE<;j-|yXQs89gd=m2r8kA80?XKzhr*PY=rjG2f?15pP%;oim#CKJG}x@ZYWUVz%10=&cByryocTp_2iAf zOgnJRVt;DwDY3d`FaCaS9w~w0=K*HH(8I<&OXj<&pr?t6?MURkYAZd9*T;F1B$`)G z5pb42_+zM7=td{kl?Hzmelfhe>pmDGs>T;-Kf1eXigFfJV{`bp zg9icR9-z(8PMy9D?%eU(9U;Z+N8_@Pe}6PQV}2OA4W}kU?F>PqCP*@$I@gQLmiyP& z^up$fD5#oPd3yg(6sk@zdNZ*>O4nlPnC@FAeGQx4&%v-6JJ+mhemqrq^d$FfNM5;E z0~r!07|u(e7TPO9vzxQ+4vz#4H!$@D_9<7RBKR-Y$uEIQrXef!vE&>NW45f;p5pZ4 zv==hsb(VyWP9rD~NEITOS&O{rYJZ-#ii9Yk1^Gy|FW9%m=aD`Kx=pjx63US%>X0GV zo<>jOt4;*(2^E-C@ps|zECu;QM0>``$bJ-`FC#^Pfl|$FJ5Nm=J>zs{*`^_#i~NGA zrTaRoIs~K&psOLLUE3jr(z0_>W-4NDEiZHeeGZDFBEyG`W0O^)ntNfY{Y`4KCHEpc z-&pTqG|jMOvE}X~4+~nyodva$ucg@12Bz8@LoGc#CilC1Otlef1%!Qags!OIKQVO# zU0+Qsp3%rY;YJ`OxP8^%MHu7CIbSYj9;75FdfQ5j19W}nnqM)@ZM|T4 z59E|~{te$Qc3oa~5?xBj58uo5=rog+7185+x1VoElgIvtd^7WSd-8Eo5$U;Wm1Ifh zwLsPmY!<<$>24|P^DZ1ySpT==fb!DImdfePV|Z4#TNY`?M>=SxdG>7&?zXQOGcMU# z|CD7u?%T<}VQLhUT+uH(?7m|?d+hNcYzuzAt%TrR!yFT@E^%K175C1Q^#u5-fscHo zBLeXVvm(q)W&hCbf<$@glLG`@r{(+gtNmZ2yhWu1|Q zDS$G<91sSBM?Zl)z$vF!akwLtuz5oENV+7|32JLZSx6ZkrgX>v8W;m98A^+*z56HX zH?G(Qf9~B~L;jYWIaH-&zG{UCREQKn?dm0xx>W8yzQIpCd2pxb9$BGHR@~@Y*~9m= z(8$sulpa|Rfn}7I9wTNP1GH!P4-E$`$LZXj?_{6lcM7GgbG`+%``KV?NzbDTd%Zb8 zO6&t*xL@;|-67P%h`^ULOwSD~J6m#Px$tp^hrr_Jdj#P=m>Bar6HC#FG zzdRE2@2|GjDibj?W*Uj~ZdDQaD}fn2ah*V~^*EdO-c`h(4$&I858-G_D(3Aipn`=* zTBhy3W%`Ttf{mR~+3jIgr~_9`uw^m{mof^K%_AzFuU6GD25vv_F z0cRVV{7g%L?TqK|RX5@DG)w1gmyeEz)h*$gnEMIK*uo+{zy6KieOZzd1wc78`+XOS z$2LQvk*mw$G0#IM%W0yG{Dx>qI?WRm}GGlyc50HyZ4su?YsjzZ9oi|ziw%GmD|9{kn7_{mUPsZb^qH`Nus3d zwL(XhXJ`WE?{c4L5d-J^_0)vzsnxhs%`ZTbs|9Q$hI+;85s*xA&ZhmjpmJ)e3ty`$ zYR~L8K&rW(>Pw4BAdhs&j!+)OiQiNJufuA%@2ODkRZgcAh^ZNJ9}OhOY6UbNwV5L& z?gRQ0h(b(ivU-ZYyd9TS79e3t%HU%QY!s>{L9P#b6x~y$BL45jLkceyXJ6z9*BH4M zl%w~nn&`uSx<;dP-%;_E*xle~>?d6%Qlk3ue>m8{fQbA-ML`x5mcR=0H-w-Yoj%{u zS1LX=+?n-EF=0%iPn;cdzJH*3bpFF;CCC&b=?DE-Q949&&`}}i)A`OlI$m;-Hx-(n zy@uZVjOQZJca1gIt&13{Ve8kUJ+FjjctmSAe2Waypl&YVKcLXRDfi0{K5sg@gtxD9 z+mAx3JmB`5`v?ywWmnd7xJq4G#I>eXn_Q~o6yxu|&^fy6qC=x7Gp0l0>`}BM+TWLQ z(!Y5mym=0())4j_4ehU7^i^?5Q7!(PWOnn=QRLS1sc9e{w3>WMi`)4jpn3YiY^Bzg zaT4P!QpTqfL`DM}PhR#ydkYu){Ez=RP&(nrF_hBV_EdQZ6{4Adc;M|@N?>#2+S}bU z&S2!^O<&DDn)``9p#s@#E$9ty>_(X6ET4t);?CE~uUYSZoU1v1;S&yImB3;Viu;L_ zTlb;u*T#%@f&t8@$1Bo&ijCjat?Av?XTM9W61R2*&Bhtx zX0Ot_uv=1r0gsp)>x0bQ5|>ZcmVz9w(p)122FlGe!)Pp#=4k1}skqx&j;i!A)WzaA zke{4%nQn^lcqOqWOlLV@J81Zq?K64G#TTPqP;da%Dgt9g&r9>^Y&?}`)6a}Cowd0{ z?}8g{I+trOVeyueyQs{3P^mk|Uug~4|?7=y#i_5juXrT3d}XlmbZ=}s_2(&cuW?C;+V zMwlaWfEj>2k?`-E41Z?M5*N~dE+T&feWI_&*D7ViS*|#ecNM!EA3mbwc@N?>fK)dp93{eei|eINicNEn~-i37w6)C0Kf^@h~vuST%n|uFi?e??esscWBOITM+`u` zmf{7y0S<#XzVxfg@ZN7Nf9Hf9x={Q<&rn0N_hl(?4)+4TL0>wyick{aG7U6)ypoO%7_d;xxQ2 ze_1#Add$!~Mw!-eV$B#KWvzxAJakbgVKbouHyd&h_CWESNJHC~R&@4UKEA(zClUO> zToPVSH0q>Ix_l>@gZ96xm5HGBGTA)*4|BHHk1}B_`KrEyd|ppkY*ZBss{3Zw>TCb2 zW(4#X z!+I4#y|!mMpjUZkW_nj|fp#9_{Z3unx%AJSGR%nR*A#nE zX@q-1@qo=2_J;k$F=Q14x3Qk$%`>&FO5 zaCG+DX-!x!j+%%~NCe&Y?=|S`MT9K<|7a}%_mV=Wo7`-&9;jp5LqKQsGBiHk9~Hkk zmqcKlP1pnUJClIsr-JOBDecQEy-yG*D?6=z9x9kmF;hzQ2qhGvXeQmdDaTnew$f$? zMs%HPa@!P0{^^n*KB473p=NHgtnUM~0L+~L?!X>3`slA)B`X+~JlH;7H!gRNUCx> z@mveCR2yZfO<5S<`aA23=@r%7&azKwqA^6?rvdCO|JWyuYQlaobocL7ONP{k4UaAS z+M^X!y&AlQp!Fom8*&uoRs#f|=;~nxKV8wKDZ#^Gc-=FPTm%^PS%Y8E9d?2q-JK%eYT;wAn6CIJlBpJCaQD{9@vqLm?MiadSiIkY@IuqLdJlmji-je_q+K%HJj9dxYG#(Z}(B!qQrhouc8P zUhyah4Q84%03E1m6!z2yjFnzZs0x@)vGAVIpC$xgcpcE;Ii*ydOJ{nNi74fIao!`% zXEr;rT(>aM0qcs2e*KkExg<41t@PA~hhb{VpJxL2Qxo)!9Q?xLvvpf#7eEJD?2%Dn z;=^YzgOiG;QS$Sn@}|*FjK1yXA;Q$J{Eeav24M%tf^4&5ZKeoV)`8om3DwAgtWV(6 zqoWT+?X&_eB3bc6hnd69GxC|+eV#jpzhZJTxEj&IXXz;#A$ ziKj*--2G_&Um2!dX$j%aPwJQdl&JGpk`8l4ZgzV|Vav4pH7Y;ZtX)~AH4d6aQNyD- zE&hf*$@fmClar&+8KYEi7&ENPOVS_U9X-xu-lN)2!yAOMU zS&bha_~p^ap}-W&|HhT2;w7jl$!PaMQwsC%&lPKIi|m}ch8P2<{uGZJD)6^mYRSB| z`LsyO<$r1j^f#9Eze(ng%iAj?^{&t{fn*h=VFF95Wl2Xwc2n*__?tlvS4s)}jjuFq z*0(86f%?Q%5qG{=*52}A-cDfLn7y*jug29B3m{KlSkpxeZcR>%ME)dNFlxcUKm}aM zCA~dsf~AP{1ph$9e^)c3h`H5nPny(GJD;Zi;cRyVWzmj^BEM5X&^3KQ1(aDc3usAh z;z(DWJgAc+wNEN?Hu`_%IDC(mYE^m}x?Z5UfEC@QS%NJ1b|KPN1GU&{;LVp*0h*^1 z*iMmj=7Sb`X20LmzAC_%8}QB13R<*yOxyY^;@^13e@=ZBF%$mQc_f;jxb-gBc$u0m z1&hMWrT&)G24VEP(itOVA(#{I#f`Oi&IgJ!sO;fC->Ib^X_35VVu!wLkRc)(|rWt8w;h?Kg?9S_Dw! zmcQeM;a+YytkXOZV{BY$#T zvD6Uqh|>eT&Bv$85Bdw(kd%7Hv??C2wlHV6cGRY`o2fL_S}5Gs?Ib!wzE<)DRb$E1 zoN(*R0jInEdey8`Qkw7NUduCIgmxKPBi_ZGzfZ=06y)&m1I}2jpHCmD zn*HTGVeJE=eFuz?=nxVut@9C=(>B5_owY#xde3ECY{KKDC7*7Tz2=r$qWv1QBL$T=#r?bbLgXOQs zt&BDOu_|(R^0dd~l^q8htlp`E*;G8C!e6k?@cw!oj7$bLsGV%jJgVM%T6xaja%?b3 z^-Q|&`$i2f*=+0aM98b%X7HItuMrO4W1Z(*beYAJt0MA?UaJcbsFa~B_XG zL>MN*<2It0BpzJp(M=YdcKhDqZ1%w2yf{z46DDhelD6?Y_f83W7leVN=OM0pCeKW6 zFZzbvfS8Ye=omqbZVuvOCUF6*e!56(Xx1qepnI3?MkdflXC|?$A>R%#DY1@UM300sDm6;^X@6B4_87t)Tdl!Cs9I0}4qjtyi6!KE8yi z##G4!j2<_b6|+x~QW?GU&B9qUM#Qs}kM&ua>7B*@r*A(}&i2Q~O`bD#yQiSCP;uBw zF%wIpbeXtO{;R=u+}+1u!jJgfPifMN=sQ_QN!Efyyb+Q>`*k+0Lm)@(d_a1s)qzh34j-|HXr0Sj$Hm-8#(H8J{odt&Qjo zM-Ux8S@LG8EKqy}|Cfp}G%_w)Px#`B8D+kIV`1uvQjU5?)y^Bs7hu{G7i0SQ>Akr5 zgcXmv&Y8y1)AQnrg4x}sfn9IA%c*6rvE9?6Sv{|!#)BE_5{aho@l)SQEy?*|+NS%{poP^ax=kJz~9 z*+wAd6ODA+f-I``U$X0mHdz}se0VA%02g`TyZ5Jgol5Wb!QbY@DAtJi@4zz4*Dbw! z>U3Iv-REgXq8Hvf9q(QyITWoFU-_yNGnSKm2d_9;Fq3dTdTu+Gklp_00DY3BeRF_5 zolT!i+@Ebyj7=)#=YaH&@|M6%^F7*9-KBnQWo0IWpUA_@bm-F~A@P`fCwo8l(X*@? zkMk~cN1t`(Gx5OS7bW^;!X+p?+24KE7z?L2PVRXlhb%&mxK0|C%5dj#Q}6#ZQ^R7) zW}eW`zBW}+ltJ}isj%PAjKhAxER|xf_T&iLAw&ihVsc~y?H&sX=Z0>*x}QLtvjHF9 zR!@3?M;Jh$=5S?ka_!cb+Mi)8+ZL=$R1}52W**eoB9!j>&-EneZ6zo<*V0|7KpXY-5yxn&M6!KHTV5uPVu{-b z|FZke3O2Om0G%6CJ3i_+GJ3>s{O+T3^+waM1D5wY*Yo|og z=|F$t1mxufV!v3qPkTSJVv&|GKpXQGgS%E}i(WO*!%bSAt&(S@AImL|g2IlR`sJJemxpO6%Re4E6dfq!%FOTt-awF0m4mEM`ShdZ#G~f{9uN2BZ`pW%X~4ijWIp3}K^A zm&6QyNB2&fo-Aljz15(pja>Mua0&Ce{m<|>qk4+h?N4K54{kpfaeC#L+;IHyLBc6Z z|8nu%cA}-Nb29cP3o7mR6{FN?x&`n4Xeu%;u>lhx@K8yQZq8N1#W(`R8f;SY9BEKb zs}uKGK3>61b)&cMkQ=g98^2GAxl?$EaHKFUIz<9lAGf7fO^4;Goc0PdNV(@V=iBXV zL)ll)OwoKL4)EhZ@PaDr{n8AW+fR~7#7FVkhV7{0ZMH=)nf2akAJTfysqrpMz`He~ z4q0!6m?pYj?v@l?1M@qd7gx54t}hq08Nv^Ev%#tcY3Pa~OZiGnoB3$>l}9%r0MICx>_IaGNd zuAY!?Md44ja-1+n^w_HW_l(A_a5HHzk*|WR0e7+8Bex!HeV!$@{;%vJGMn~pZxv+! z?S#_S#?#{VFXzp(pPSf zdu7M$F9X+yB@aWn>3$#;F(yq+Ymj>+vJxuu4OR23c)o8O_A^IY#n<-D(bPQSS--jd z1Th=gP&i+?&k`LkS}STdvu_d#+x<9vmRHj!Ua)MAfIb!BNWIrTbU5rcS=@n5#KNk0+r z1`!aF3&J6`A^~<$N;2qBSs&6# z^4ADM9qSmP#-j+b*_WEKO?{j>HZwaNnKSLZ`AB%Se(`JoO!fA)h34`mf0S&ly^F_3 zInMdVhl!<7Xf4J~7&3oU78uLzTD}v~!Wxk-+1Rrq!|F@j4n_aCmqO*hQYTH~vye|) zHcOf&+?vl`-e{%k^2&YFOxuu{jxW=cEC=|7YIFSiw!CRl~1&2)0jg^DS+=a4$4|Ds?6 zF0&m`qcc0sIgPWw6kkVkP8HmEGjY>@%qfS-NW$XObAIAG*+a;}R)SSlol;0piUh?( zlM#o21qd(ejt=JW=P?p9Wj<25;MEC@6>DdETjX)-rmTdapK870>{#Jngr*q9O6yWR z;KFrFw7jnzaiT^~S_rz&;%XM4gt}kakloV-Me-uQB`WVe22Q-U;ko*=>M1XMpLM6b z{sR1qHG#wXS?rE038k=@-9wX*g%t1{uP}Uq#eQkgv!Z(q_ZDOV{0%zV<2IOY77&hxO_6r*3A7U}es|nQ&35M@syw z_jD~9e(tk9qgho(KGHCh#K@v@NJLj7_j*fo@@;6clyx6*Y>*cV2%bZ}wbYHd?>AeX zP2HTYEPfXVBd6->!gyYySO`pg%30>!jS(;7ABqV*p!EZip_Su$P`n0+Am%W8-&Nkp^uARc? zvmp7P;<S2vJY@{x?O4{%~_MXJy-QYGG~D!keTybv>uaRPA}vCjRYh#lE}U z%mo~}_dcz0>b|Dh%oJA+b<=yF%r;-G2@WJd%C@Du9mm*4v8HC+!-8J3%R2{U}$rblsn&|;^RzCmraI<&#+&wlX`QJ%}lzN)&0Az z1`cmUiD~$btB+B&V0eP=!qykq!k=*oGqy;S6Vgh-CNM6AGOXy!ENSir7Yea5dC_!} z>L*togCDoeyCS6@(k&kmXWfT-K3A*W!`hNu|mLDNlp9*B0qu1$1ij z9{Wi+U41vX^td@=Xx40mo4x?S7Ny-O)`T%OxGHT$=Q#CDlpEzSBke0YtU}AIU>T|= zde$_XF*Nl1P{h}LYJ7+(m7TSbxod~5&3pLJBX#2?xI#oUd}h@HRaz#)cJUhc0l*y^JRn6y zHX{!?Y+T5InI$mXjfH8SP$j?-4TmtH<-7b7x{Uu!=LipKo|miGFz*j-z4BUJA{y(V zWGpH?7#tcUOhUF2cInOHU?TY=O=N{7VQLBIG@aHnKgCY+d88a#Cs^VUe>edS&Q`|XoO+w{cf7ov?Kw-!c3*H5?HxTk!0 zY@TBlW+t4QFVUIw`qe4-Y^3!U#5)_6@6oBkW#W(A-_KFxs$xt~T zTp8$Qt1S@6Py90sWBtTmOG2|5wZ7$`SNDe{loeRc8n=9pwXWCiOuW>scUqZ7Z#u7& z3i-W%AV&S>D%$En@`fTsee;Ge7S>ow*v)Z6L9ZNChi1kz(s`{WAM`$0!s3NhG*av8 z$9RVd-FJr;{;xu22(aNEa+eWq)u`}y0~qY3Jl zn{zVo6smU)DM;7CBxF8{N0=(he*JIy+EAdua2EHQaZy6gv9*^ zlE))ld#spBOZ8Fz%#fA5cOTQI)1<|dhBd6_@sqRJ_{;v2@wW~~Z#Y14*3>ZKY8*Z9 zPglVz=kR9++)N99^e0r6{~hWNIQ3|x>>}n$P1U)}veD~-+yuqL;a^-AV}l-|j5_tGKgZzF8^F3^&RI4feSdaqz#^gsi1 zSAmDZ=|blE-X~kG|M{jO7py}_^1Taum3^wF={Xj`^b|vR&+nR z8d-|?r{2Bn!$<{i#>Wz6GGd7q1~=QkGpcel3fP_oYz&!MxRmZ*WaPGeK)Zi!d-T#_ z(aGzyWmfVv#x+zjb*8lNcfirk&!9aBh&gW@?zT44mr96^k)PP;i9WzQ2e_p|5r;O& z)B)cB5FsQvMPj4yLnqwoZL3Imb{XmKrz$ zylq$H>sCknG$1W#_De0_+%LDjPUSd9mP#k=yH;WsN|PpiRCiC93bV8L$Rl2!G+m)V zwHbwrDQ<#`jnNRx$WV@rfLr2QndMiJ*Gh3(p8Ou z3Zx{P^PDQEMI~;P-Mo(1{O(Q9u?Y@sexl-wod$>T)YmCw1pYA516 zpeBjU`@%6SMZn6?;Le6fz1OkH?c1b>N_DlnqBO~`{DaB3iB;TxzI&`VTRTOSe5%#u z3+L}hbi;>AL}elxRN;*(5DzW9!Vpc!Cz(8V%OSsK7hU@*e`LSUU2U7XE=;TMAI+hR8zxgsB0<@|~)ZhZK&oC<64jmn2_4Q`T9ebn+GdK=eyi-U-c<43IQ zdo8*ei>b?u=tx4g{_)=WTe0tIMrB`|ESi{^CRD6U%0iIGdXp?FCEhg-k$+G&*@!It zg`xal&MkTE%R21oD>q?1y($#t+ujN$u3&^iq<3I76BlM5;-IzT&tgeW)XjB*5#&pa z#ZsC(ZL1gHzD}ad+a5&9NezM{RW>Ojw^>z`@CZ2!=L_;t5iG?FsZC$TzRF7ynLN8V z4>X=>{%0~t|1$uC1ofY$&wkkTrLnWdW5|8Bg+~~?67Y9)j8D{qUraRePS^Gu8rV-l z11%a``-=#j?RDH#`r+~7kWNFjav{!Z$fG62RplF6ToN^bV7Y>MPCH_cS0fe4HG?+1 zz~D)DoW*b3w2Z^>8P-B(=x-oYZE7uOAbclB(!B3h|i&l5!HZr{R`&FZW0zrPQn z(mQ28@e{cp=0#5ua>J6U*Jq2CZat}87r*2g*g+n=1Nq6GzRJs)lz?Ectn9PaUPLFz`Gtn22p(L`kkf!h51ws*%62tS}`b#}i;$dUSs`@A$B z*cWe3&B@umdCC@Z98?QELVc-#gW@EEd`Rvx$bXJ0XZ3#$Ocbp>zYdeRrn8mh zTABj$6+Qc*iuN=ghBP6CNwFYAg2$iD{4-8Boj^>$R3tEJ8ll26rKbqLNqXhI&UV@_ zTFI8zyk$_}tn{b4Otjh*DP7>)ODI|+0=?Wevkssjq%$7ksr|P(Lf+bC3*NwvmVY7K zW4J!Qk)sfEvoRk!Zt|m1ZPRWo@QSr53%)~%ULOjM)IF!OZFEix`{)>N^WaV!67u&b zGxk!!?bFexfue0@&o#qjC(L^UVZ1&^`{)7v2m+V02;&|dHbb@!p_7vAa6-)u#i+7T zHntJM>DmJ%tgCyf0(OOI3DOB79Yg}YS;iEU{+$xqL(jz!+fp`SEUQ;mWA5sfB77;b zt1(4KDRk;oV;B7mb^m~-d?5_%vPh4WQCR0Ig~qfv#ouiesa~ZL9&trB%umRZb`ii` zTcQ4@c3brNQb^!D3IjpND5<#-A~O?stm?{fQZj)$%tuYSssrRcGeP3mrN$cuo)|}LbS~G(J6Ikh>T@3SJAq4I1TVCvRsmc8JO}< z(~-D7CdI6s7q=0|4ch9VzNe(TL^{BWnb zZ0=ziI2a^YRT*A)v_*gtS!TiDy&T6FFIoAejJO$&9vV z&GyKfQ!l@igBKMfRZ-+8!X@OOJvf7#(#v;He$F9$;`^qqttaLv`;)zKNqY~4=Y`2AT2%k^i%XsM z6%-s%P}~3eVcL8`dLgP3Nu=i?BHpVn`8=I{fSp@+ZIR&T2<{VB)cAumSb`TBT8;f$gCF^W!1m+HGfRyFeTjAEP9@mTI;Qvf#EVQ2L8R4S*p z+~^jk5@TGaWI0kj38}6pnNuSSqgieW?S930n7EC8;xOAWFTMshhG&i`k+EbQ26=g| z3d6`dDa1`7naGEmJ^u4)ghm=Ufn7BR9iKbP2Eie$+>U`?m3O3}mzG|?3>}ZYEgWe) zkDLw>qiySqEvr9F@QNiltwFC?AdCefZo`*v2NOY18VC2n_`RdbaQdYmiNt$WH(Iqx zq#ozoKLmkB)$uKt{`>{`kjVFECiGnUq~M$`hsevbab&Bw#L^oAw+`MZvf$SXwmReA zjon8X$wajFIB2_q-=YdFPbCUVmF8C= z!;=!@@5`^+R-5g1epL`>CidRDpZ=N-Cu;pK6>YpFAt*l;pBe$(z76*JR|NuDnaFL{ z+<8sCJA~;yR<&Ek0Gvp;l(Dm!AqK^eKLq zjvT10IxCtSu@U-g@^ua1%OCN2$E8a$i>m`d47;`P+-b@JlQ);`%yeFWW$$w{8mxx% zj8wyyZPID`Qa<@_7#kLgcLHSb;bKnypP7E51x?+9 z7V%Q3!%=8eBQa;=aFsA7x(7=d&is%~XW#8`ljVbd-=1P3H;hqhu3k;zcxyT&Sg;{# zBK^6vVW7VaiB2d^9zu?%8%^F9>Hy^fO~i(%a?sSV}9xb>}p1MGKaX zYJF2?HU!N%o-=n5D%Bsp=M>(r|FC*0zD)2k4Ou?AxgZSB8Sx`4iIqH$(&}0=S^MqD zL#{JzmPaw~m`nv=Tc5m+#xC|k9>wOJy_qnJNDsBEeTtK7 zwh?OWH#C$Qv3MdNw)<_dhrUrFH*j$(PLhSQ2_Bj1Ef+6JqxqJncOKs+UDDv6!j81V zOOwl@s3m`s^`a=7gh`4{(mWBlq`9xGhQk9^>?nSV`#uDUnly|m0ECj9e_v4a>)nL~ z7bx-Lo{CIy+Z|1JztKYskT_NesQDz3>6IfK-TYP|>N+n)R9R|2@bS(OEKrCi>$5__UR5O7$PN`geW)Q& z(49xoXZ6n_#9Z(KR@n5x(C#o+1M81Nuo$NrPVuVxlq+%4$G7f8pWDWn$vs*vik-!G zZ)XyJjtl;HL{m+CgK6#V%@R`FegPdJ93GN0=HIA3J2szxbb?aZl)_zY-6eYA{+K11 zz>FgmLp={4e8I0qM0a9R%lwJVII8Js)stgFUid!CWV?`-dDO=_b;Y9r85r{uAFdMVv^iEz zI%i{EF9V;LI6C(JJK=Ms2BX_A*d-1^f02P&D;Sw|qGTJxFw>Yw=EbU-i>sQxxpJrV zREKQq47VR3wQ)j!-r@y(NZ)#zh`fDu!Y6CDN`Yr~<8Y^Go~d18S2~)i8k7+36-XLW zP){Q@EpvpXdjY@>I+kY=+ndkCp<}vtB#T61*X{dH3BSLhjrT~totC>B)1}L4Dtc@% zc^>8|ngVM=%@aMQJ5cEE1nC2gVZsmOvA;7lN&=Z2QL$1>W)Oc7Gpsj99=A0O{9-xFMQ? z*1y`&>qZKgL^>#aaR0jZQ_)d-46WLJC^n>y$y`{*OLhh?WE)JmD(ThB!Txp}v#FBm zYUc#K{KsdM@sLO_%1zHX+>rR*6?Q<>-MVGJ=&&nH26}TS-I4-MM!fo}(rPix8w<{@ zD4JpQKB-=0Mj=B`aB#-rU+47&`LmExXWJUvxFCgw~6;W{oR3*rtH?ys^2&pHz@||`N~>X zW%-v}YVUXk0rFN%>iTa;TI}UOvyHnXXORfVXVn#Oj00L&CtKetr#NCyP=~{OGj*y`NrO+&JX#`v606Y`iFq5i{p^_UGrnMl4PLB#S8JPS6Q-$r7 zUzlQUF;EI?MMZV^)3fw2-G*bZo3>)Oo-EApWX$xsk@i;9d#9)$A37A<$L?0bDz@@a z>}GeV|pB^O@EF zRjHca`5u_Qber@Z+^+^Wh(WWu_jbY78AC0Y7 z9;{lf_>ZA+L}(4uS_KXFONSh-=wSM39h=eXD%2vr?&Kv$>-j|Y`-{7kF*J0O!WFVX z8bu?y>DK*rX~vWa-mdHg<2snEvc6oOUPIYG1eTJD{~8LI^r!zsgkp_Hnx^t;m5Ix0Dv-C@tzF4t1n zQwNZb98t{g$=bw1Saa!4uZR{G919%y((C=2RQg63pmg|S-%9j;X79!&l^onC)=Le=~5zzbUU?1}p+}Xj5nw zy!tHQ^!oHcQG~x4oB^j>`-t4!tO;lLiPQ1MXmC>1$XdZ8vE?z4;AwuZ)l^y73d?qw zz02L1yQNcX1A-43k6$-?P2e}Vt@joRhuF~TbxdJNEB5wLHWqn~&gj78GWp1fk|3?0 z+?~P-U@(w${(+nQcMr;**unj+kRCxsfVb&-BN*q?FdgkY^uXK|8#@o09&=4u0tAAh zPGP{A+zQmr_a^7yQC7bLT{I;abk4NZdgJUySE=hJE%?5*yw^6| zTIoVfQaax8m?te3f&PId;ufC73mT$Lqu4VO`CL-80Gl$Vm9t>7H-6o=1 za92Ql^jv?7K#zKHsCE#@v#2rnKCU5Qm4#iv;Ie&u%=ZR1J8?ss0XH+#S-_8lROE zW1D7W?7-84VO^C^KK=f@Q-cW;(Aa#zq%zjQYKF(X#AWU}Kk8A{DvjC5P}J;JV^sGi z?$-Km8mNk~)OMGl^hXgSNIFg20FQTwjUS2?m$2;7fW_NO?h}0=E|#ZcpdV&Z?#s-lS~QVfkV?r39=5eJiD(_dh;a9HgGU)tFfEVkE4Xz`!?`XWXZL3XNC8gQ{Z9}G^~ z#_IdU3mE9LaDBl(5-;gsV`zT=z z+@#oe$v$~&NP zF2F;X9+cURo?BF`G4l<#W?tL#BaMgJ+L~WI93LQ1gm(gBC;1kBwbPE1VwWTrU-$WF zz_c|O0ThUal%>gO35eR?egUpD%XJ5*topab)&`dE60e6KV?lp)%9ik=<4{qkVM!Bh z5ZdD~dALWVQQcqjTW^OdK{I4B!M>5K2&r2*7%OxeN?A(>B@9q)SdcHKk0w1_B0h?o zP5{MKL!{hXGZv{UB~~Qw_(5RQ|H@NUB*4Oe(sNZTE}F(02E*}W-)Ai*l`!@@kZf0C zMcY2hBGa8pOVze!biUDuB%Rb@e!rl<`MMdM?kf``Ue9J#D~hL*YHs_60MZjy$?|eT z%sw3Wa(5yl0@6VRs*w54>WoxGOT&Wln)63*4!*=HY&A(VsXsHF?q1Q1xjpNHKj>kF zCRN2`gN_FU#%Ju*&CQa@qZsJsOAQ7EWHoss z&692QSt1WG`)R2n1<%a&UN+eV^SX&poLGK95fjw?+>MUcgsUI>#%ti;h(hF2Q=Jl% zOjFOW6{QZW3yffdn|I951!0^zm|~ie#f}nSDX_B#bVN2iU?{ign%X$XJECbOmwa1h{{fRrU*WNa}kXC%qgFzE9Pdr%RWm?`;s=B5kgXm%` zZUu6a5=8>G$L;s-r=SthHB-0ulkJqC{obOq%{yx!PTvjuc2~@ttQN2jUe|Q7jANzW zFPD{M6y`PdYTt_NDE)2`s@*>YScw4i^sF2&^G2`61>-Usbw;CE5I?V5RfHR%MZ)bV zKA~b0LsHR~Yah*BCq*Kq&)$jtEr_E$?*Q*}uuY3BR$ntgUbb`6Aqz%NourH|XZK30 z+CD|H3)sA)cBn<|2BK0D#fMn!2EKo0yd}@fxW^_BJ9_D(H`g$c{2KcUKhC9TGk>5; z2QG)}`${?jrQ@}4pcc2qMqcsJa6m`)@RxAdO@+4fFQ_w(rO2Ep4+ECpMMhzbCH&** zFPN>Z;GW(#c8XPAXeF^)IWWnOn`VHURVq^o@HSzDy%cJcDZlUr_I=op zuehYMR06N#zz%TB!R)b1{vIL-u~ALC@`XvM;=RpGk2kebN!|^HcPih~+4U6%8ced6inPi-?5JZ{e&%5Tw8CyM|;@awEMl1-atn^V1DLnWYQY7ZVqq0wx zfCZQ2=Ks*yAQ7WmG@aP?-FdUqY)i|oX1GQ+d|fEhK4!SU{WG+M99r~YmqqQ4Tlf}> zs>c7hx&JKo%%kP>iyFdb`p5nH$`)Uk;h#6%Axzb#p%e^ySJK~U< zvPrd8IaL|$cYEav<@1Ww{e zinxg@tP{I&;Z=@cZ+XH*)H5E!+=idYrxbdg-E3%FOO}86{o4C4citV0*94mRb?eI! zb^L!fw`1KfXfi5Z(*17c=CF-vPlwRm&~j)>{X4z2wrpRPHIoM0iz!P>Ibw{M3pxxfq)dReEulc3Qc7_lpa$(xIvzEbVN^LEc1K z){fvV82NTv%wChE2@+X3iHQ=sDS6_N#6B;Sgi@U95xA07tL|R^XPTO&c1km_KBK^g z>@0}x^-#&_wRWNzXmzpT)YACPYIEZkG-vwvc#W(yeYM+?=CGVoU_ zZpM$0Q*5AlOBd$LkbDWt?aE^KJ27;VU;(k6q)a?8_C}f~do#d=vyM`{GC#nevvpn? z9+EBW^%N+JM`K~mk9dibgqrYVtUp$|p!ChXlD>R-IwI1P-DvTTdc)gEoQ{s|e7y8G zR+6l9m${UgDVVO0HS}~lYENv+_A21(@i19HNpc_|NSqpuR?=9Boz?C^4 z(qmgKBF82Ed<^)n*#uqV?ciBkJVoFI&3O7kTQ_&vkGHd6Ds0zajd;!c_UYMZ4Bf}f zrjnKikJe_qAoZpD8u5H>j0WVA1u%>r=W5u>X3_um0v&#)Lo8P$ai> z<7Ze_jvspr35j&HduwQm#-X4tkB@Fo$ab>lZJN86-s}DQr&xVTdBF8Yhs5iysyMrX z3zR}Ub77tvFftYzLZqq}oA4s!eLjO6?fPS=mBH6=_^~U53YvV~RHSh&R9JH8GPvvw z%#CZOA}4^_Z(xD=zT^c#-9N=zD1LIzNj{q$L_>X8Ag@3>ZmLR}r`fzZd`H_gP52=M z^dX*DM+yIS>50Y=m5Vd8&7j(MDk56fbp|dDJ1F)aolMfpjta-WW{yW$Gv+McmO#bw z!_QHAe>BK`F+3ZNoc?5Z#y#t?vfH z2T-opWMYo_NaFa7kb7kkwA6@e6K`2XL3hLnKo0!RuFQ+)dl{=dgQ$NW2o+3I$Az7K zJ#O7>NNU0`W4!uaBCu{mDh@h%(smoor+bU)eTzJ8NGkbet%S0^w-S0JpTrEjZqYi$ zPL3K-f(tcPVc9X^O5n0KzS*h-=FQX$(O*cperu!5G z$7J4>EL%Ad*JpN)Jorjd+%s0%+OGMcXmh@IQ~u`aoP|p`Ji_FChdSHkvyK#b&HYg~4@&XOL_Mv{i$k0Bj>B=|l&qpBm=+FMcIN8zfTr|bO3U8(Ta;f+Lxfv?UhhzOzdKTFQdK7DSmXxB z9E44e5pO&M9iDR2+DDq;>AiSwQ`W)-%@!Y0u2zcbiQYYmdis@Dz83cClo}2L|pX3H6M``Hj)&=|!B(zW@&${kCZdsHJW~^vYMhUNU{WFYR{BwtD}( zrt&mJ4CPtNs(Dh+XPL|>wNg#eqiH9nT1x@lwhYoyt3y@ks1eBTykwB7=z%7I5Li|C zA-k+~>kC>N6Kt5lSXX+&Y-nN!^t~b{%y=_{;i41yKJ04g7sGVCNTYuTckoGLcWkGa zYFYLT*wewM6j}tw2j&T1f6x?)1Vfd$=^KvcL4$oWdWq;srdsnLJ~mApo`)bq0{rco zuNoY*bAO<9Z3-^j8Vm}1>-V0zB%Aa|#2Ypy`ngV$iOk(gi;nBYEoUj+I4a8bzklyX zvldRW`-P}7o5|$X|9$vTvuY7tGF+Ts=5Z-QAr+NF(d7oO@{Ef zXLtzYHIeZoQ@AMveO#|*E|Ez66^e0|7ay8xuQC^7h$bPW)7(-wZg~C3;GJMFLw5`I zn|W_JOf%~_f=3zATDYezq4qh$b3CHVg$3W5(b)PVYyEC&3N5Lo03`w+F2wQ}<>_vejJ>}vvqXOBFAZEdXI+2y{p-AAWeZ5JZ&Kt)sGifWX{U$KKt z%gle2Y+|k1RW=7n7oG$9Hq*j#dL!D_`MbxwJhM6Vzqrk_Cj5#>KB3}CFZzly{Jp~u z;?6MVzqAcJ>+hX2dZ8xZo&FRNQaCIy>>E6u#VOdu(52E15S(0k@&aH1LOC6@G`{V4nL&AQ6E0r0h`A4x3GM??k*}KWeUlYlX zm_v;Ea^S~iERG}Xo3e|m@BX)0NSl`)&i}V&EUlFJgT?2t+SfaWjt6=H(e0;c0hW3O zFV#%St4zLnA6w=V=O3|qG_kC#jbESq{flA!_K%|60+$=%B7?dtFB$4J?QW=g#r%ts z*ImEeTtUE)H0RpH;)wzuPO<-huM_|2Fem<*@LFhrj>XnQXVIP|?q~(fO>^svwolC) zt!O4hM_76h`AxlDALe+vcXSs6_y|~fNtEK@DF#+A$yCJ z)zpCw#hXXkem4hT_ECPv9xHAZ{e=Ir*a|kkBYMwH61Q0?G`#yyCM!`%j=7tRdw;|; z>^d0vG00fBc);9~uMXG=4`*dVpDUpdc=jdN%H#{S94gr3l_dspL`^BR zhakfThpAecuFsd2$-pKM`3bO^?WNv6iZR$lfLO$^q+=^cun2+MkZ*iA4&TKyjPa60mf3Y}4%CRUlNq7^;Ag7pG z0W{{I+c?aTDPAE?RwP@SV%o5owv`>I_4dKZE_$K9<$I_&qLzxGqWH>{*Y3sjQ%r%f zpMFeyk>K`|p5sA~g;}(o+99(%8Qe$g7xXSzNIFI_34!V^`y)ji0}=zfm+MUM)_&&;dkM2f+cchXX{lFc${osbL*#?ghjy{;1D!J#OiFx-Hj0*hd zUY{JPXdk2ixQ9UsTyb={7{Ds)ZrYYV@q$sd4@vSsm!B$*c2rTEST&uDbdfFi%LfsI zKyW{t33nITZMWB|Har0lAqBy8cPM__TR93I#{O!Tr@ zh>Rh@BbNb`)kV9W&O7w`xKRljw{f4|hLC;YsYp`w*tKbRe0yS|#P!|cw<&n(`()2D(qggjm08FX|c~npI-OB77xf<31bJf0Zqk;yRh! z+YtvOwZSns|9~(NliF5|Tp>;AoX^s=TZaf3j{Aql4lzqig_(d^q=oc5EqIKpY^ zfyFPBIc%&U;&sU}OnUaviW1SQ9!7&EEJ3=dji2(Hd4Zn2LGa?!JW zmY2FH*jGwfzHgW{Vc%p{Zj7ZGIgXD3WOwg%kAOJf5GB_4((5;8)U9&c|?W=47tQ8Sr0#-(SjGZIYj6HmaC{r4_d;C!tj-@$Q*w2-Pu;THuBd zl?)~N-d}eqEaFwe{XbiFs&a9?px19T_)$^tOA^F6WT(i!}MR(I+1X108 zjjQ8}n(O!3)m)EplLzU!!Fk`E=v`iuuxT1`)`lvCO4RY^U$YG1^ZE=uJ0P#i*M3LK-n_l89~0ShSG=rNe1po+HsU?A=_#fcq8 zRevwr-Ad?7{_lY{+GKMVrY%xzr)=4ke6D}mr@np&l%Nn@w;(L?#Z?f{WJ_-oOubHG z0s5Ki&)Iqo!v?Ki#{+LBj1XM9b|*hqv!7%jNT4S(Lz;oygOuV!J0I?rQN-!I^?Pg2 z2Brhv*CAs5G;uA#-Q?m@Wo3}O(Xe9TM5zZuNrMCCa;%P(tB)*2A`FE`dAD~`UBo;O ze7}ru>KUxvDw_tl)13g>PxsGSRFqD3E5Rsy++9k_Mn~cy>D6+HTb@HI2ylwBYI;7i z`z&eEoyQxHF}(u^j8na9R`x=K97z)bkY(VUjl9)Sok9kQ^>VXI-Xc>qg161_Z27_e%N z44y*l&o?jv$xuIx0giYEe6!+iwF#CPy1j;aiPxwA1yz~P=rK28WABQLjJEN}<%Wpa zM04Y`q!ZTwlOKeEjs=2?j;5YZ{gXofDLPxPRQWQ#z3sSy9+->0xS*b~5>S z_2^~2=_-jO+A&_-KI_X)ne3{RW95>jb6q45{lIr!nvj9len+pX0K+1;w07*&TuAbu z;Otv+V8)0Nag1kj?3%=`d%RSEN2sElfp4N_WQ3C@K~Nd-Mc9kwAM@C=-}zYqSJ2lM zhC7aTVplTlesFh&afpp=M{yVL5jR?Uh{?lv#7uC08`rKEB6ITr1M5$4&kX)(o%w63 z|Ff{rU^Haoc+{<}y`C#PTr0U+?IC} z-5C%-`D-UGJ~@z+sQ7q$%qiDE7QngM3DG%|^_O}?ga-0&v1gUVG7jKRK|T;opaFX*~uUp7&krFD4-3Z_ntC#@egaHG_#mrIxCsw8ALgHpH4+* zm)u^2-CdlH=2u~?zLQfGnr$$U(*aWhPkuBfwxT^f2}uPt4j%wVJe{a zTAGKfa+Lii<$U>A*JZ(lpX()JMb5S~T7sw9g%iCVWUwtXSY?t((8TSfzV_^Rs+Y`J zlKe(OuqTFAuPOE*^7S*ich4}yhPoYb?Q^|*;~%AN5ved!B=o4ks2)I~)@5MJC6qUi zPOl9FeKFpcY75S9qvNB2RN*J#sfm;Cmj+bspBv|_{hih6&mC1!a#WU<$p2j9>Tdf# z+6@?4pLA{l02vQ-B%VO)VSY#PEVyN}KI1)y(J%^mn4#wey&|c|scDG1!8x>br{Xj+ z>5#b%v1L~>>=bqoTgKj|jx+Z@QYf^r#5lCY8EC#V#vLru*wh7mH)0619k9LGVzew^ zeXjKxJCsD%9RxLXfTcZ#CPBe%PL`LWZ=NeEcK`kBw6%0O@q+9zMm$0x*Hs}ttQ@?0 zIJ1WRiT;uVrc~pC{KH2Q)Cti{iz9Y3@^hgsC@rm>1vI+B@6dS=X4Lzm>-vi2Z`^Aw z*F|;}JWzR-JTUkYE~g5V$zeEBl)9j-Azh}sd3P#>y*R;k`<1qr%#vO?Ji7)`|6Hd` zK0BW>6@RE0Pj=H8xBvD#7bN0M*J_K`4Tl4lRZtk!+E@dH{p+17`!+m}B_Q(2JIY~1T$mLEJ#0CT2n;bOAVo{VqtA(7rh&Qa)jr$HZ|4!SFD>q?gm}0wc z5Y*LAapQ35yh1bscsbc^b&g(f-5S+-;Qg{JM`U4To*LfQuN5S$U;%!Ssf`m%54kuM z&dMH;0h*!J&0__cWze%MA=T&J-C=qtR_V%Vf}pj$!*ubcXz~#ACSpunaJ@h*SsW2( zBcGMhPOx8q+*t#6MQ^>tGp)t%#Pn=R3Re&lXa8%r@8RHl{h(F^*sQ(i4RIodE`JkH1E|5mJ z`l}QY_rQ&s;Ca^a&!vm!4(dzX5T9eeR4aS3!DQMM)Z&zi^CNzrc4a~}SiwrURxvw| zz~!lb6~M&Uh~PcC?gQzshOsKE^MQ2XhS)G7mDoz1k6YHGE`s}1pw=fSU}~qDpQlDJ zNQ?ZwbzziR9CwNiBcK$>9_5uiyfvtIZH~M}-ei?Z4Gy};Zm2lZn_$*yp1~pR?>I$m zs(HsSZC_yVD7R+?B$xc0J?_ZL@b*01l&8(S;lpldvXaV+>el~E(h*hKO@23SeC{Dk z95CdsUSbQ(?X2wDcalp(={>!XS|r@TQKfj14w)tk0-5%|z$$R|PoXjMI`mSmM;3DK z{jbpB$~ecW0{as0ZGoVS=x~tV6CIg8=XWhy)0;qK?uoJHooG!aE=cJdLwv?*Ml@9b z02=RzE~nU5EBYWFqis_X`^2qFlWJGsGYq+4<|DtiB*Ms{P>m0#McE)mx|M@JMYm!|O`4+|pAls2GHobp;6y1T5 zN#O;8@ZJ`i6#`$q5~r{F+@$QhAnZJS$0;SfB?b#clSeGulopjWGG4;-%};zkgwW zPS8Q?V?lhd@bS^U2(|LHRHYZHSJJFMz<;*i6vsjB7G~p)Xh}NY%wJ` zQ8p0NE7u=k48DX96+iuNhB9V#HTt=z6?S^mCrvXCv0LtbgFts?q@p_mhs*&N3bPb- zF|gur<8pWagtnwy@_=rmOODE%W#i81+jRpIsi@?QG8fs7LC5~i1`(fySm)<)*Oiq) zz)q+4Sa0#+2SifT3NJ2=MrOV0`?n0|-?lN?`nKV3l5Qo$Nu?!PZ(D9g!sApp{|s2J z9eGI}3n`{eLl{Ebc)qP5uG=k1G$cjnTTI>%069hREkp!MyIyOq60wfyjJCX;ZVHHI zDnr+ZsI1vuZ;Liz1-o`l5HIA3$cf7GTbH(hzhn`+>^lw6$8ny_TfsCrKlP(5Ji8k9 zo;y?z9v6pZ{#OD2!?{2?RI`6DA8q+a^0b@udxI8$c{dv%A_*1;EPcc`+K^+_D&f`EuiWlEr;ve&B@=MosPd5FhRtC=#?3NS8KqrlfdG9O2){cY z!y2v#_k!dcK83x-GqNjor3)<+cKx>)^A`k8)Hh9%hp1?F#(EV= zpeZOiN{BAeLP)->5faQb5!WfbuNG%8N?(cZPN?&{CetJl3W1q6p9Bue+LZ^0u5;{$ zqBt)V&b-fq zF2CM6xkTX01YczYX^G`1Sv{idU9xMs^6U2|rQD>`7;be5nRkeTmX;aNN5 zzor2{6p?0p&U}+FBlNlovSk7-KUcAs2BIv$QK?HJZL<2Ry;AcDS6C<$q`aQJ3#Kg4 z1jGBakOig|Q5TGy?gO|7hU2!TWRRJi$VSc9)5_opYl&$hkLOZrCrVxyU~O|G$i_(u zDie1dQ6(RLHbR-AW_XOmMduwgZY!iFVn`fqDJWtS7@B^3j5^{oeIr+Y`uB%a#h ziv_ZtVgd88Y*nwMgaDt1gbVVpv_A~5UyIW(^`RS_EwCIIzNC9Hl;-?69hVG3o>~Vb z%vH>S8cHpoQn_>yM}?CNUQ;X4Z2?*t95?*-Dv2IP@Dl;AaYOW%IkqzFAf)(%54+SU`RtqNnK8wH%v)~fvj|K3 z)-=$;l&EuR1zJg?f4>8BGOvcH7xmcGk(h4|_30RyVfPvss<*67H;N@cR5w}8Nt(C; zB59^XWr@un7A!KlRH5TCd2r4>)2;ZFzsh4SuU(Hi)bM`5ZAaIRUs&zaNr5Ys@r>~uP^pb+ml2?bC)e+qIpj?VB4o9=Ju2?AGI+3 zRK`uS0+i0`EsqS8Y@*BGy;QAXM7E1?zTeNOhQ3I+>MNzaIqmcf-|HF|(D+z#xfx2Mu zfgn@9Q_PIY&cE0{+baV=3B_sebpm(Bs*C;zwh?2-(z zHK!G`XYzbvSL|#{bbOhkM(q;U7#fTq0y7&keN9%1l8YnXx!%y;k&&;fA2!K6-uuyg z7~7Hk$pGHTR41F_1ApTdj7i8x+VyopyGT+7EBNzX=O<BIaMZHz>;Wv;fPx()jHFfAcPyIphiy)EMzG7QkU_y1GDEA^POn?&w6gg5D!*4}Z`Fl0(ONqaW}pc& zlnh4A?~>2ym4Zvxpu7Cg1q-;yD&Gw@@&+dc>HW=TSFfh}hcGL&6)&NCNu{;o&=Rzr*J6c4_bA7m(!6ZWaZPOvQV+N-<&!gAWVQ z&w+)o8?X|fXaYqEG%-@gBCf&Mo2eQpN~jC>S6lC|l6KbIdNZF>nY6Y7Qe zr{y`oL8D|+;{bfm2mb@1_ueLRuldmPbiH!H0rwiry!1GItxF86g21!;hcxOaiy_?1b zotxKbiReniQ2H$AlFy86k`s|!+97SWJt}42AKU)5pts*Rc{Kp9%d0XPXJ?%d zD)N;I5Tv@;pJ;2-((sk{j1%Z2MAI-lV3!Rl7IhmGym{eC0tp-?Bpv7%>z@By3A^xR zw+IG#+$Tq7RKF~%zEeAF0txQBcRAjD$}8j59l8)*lWm(aBW;sAW&IHC?8v<#A9)qG z6rA17F;uGIblN!2qv2)`rpAat+Hh20U~ueqmAsfYw&$Tr;5#HIqjV(AnqWgQsvtvL z`#Y?K9$wq@VpHzV_w_&^$jZS0Z*Uv_f{>m=9+fdnf5~m%CF`#ujy-SP3G*=eN7Hb} zFc>NZS~Edh76<0=7Cn5*!#42=vf({gQ%I54g+^*vWInUzKzjkVfcL&h{8r>!B?_j$ zk(EnpB6I9MXBO+OJi*^mL3b+hN0%8Da6X?LLQ{$gxm&Ipn>YhN8st7T2tgblxgIKW zk>_{tZ)Uthl1j#!iN8FKtpN=96*e8U#qXz|nEB{#CCHGdDC%RzRIIn9Ej`G}9JrpF zO1^cKy;^tRKNF;rRxZX+FZ5~^K|~Q8_gcP5eIa!NoLebky+htjv8vZ>`$l7>7)fwy zx@n+voFRjDRzhD4ZfxJBh9K=)FhbP_F0Rts=HX^q8PjTk6IS;CZ%-Kg@!&}+DsQY} z&oc=P3|;t*`r%kVU-0gPt#*Qfgd6G&)XP~zGMQ7@*+wQMMHrb<_!C3Zu_sMs=O>Ko!^KY^(=2tT7ce2KJQAxa9xA172qD94p4?6)`6c3|A~! zE=Gb~bT<2qXq30&?N`)b4Fje{2bGe*PRF_K>n|NO9@D;>89N?{2EnWgsn2C_kPBCK z>KGTQ*XPjxv@(38X{h1{z7VDVoFIwjYmgP7fA-(x;o0$Cu_<@r&R;PeWjg@b@L1Ou zDU?O9tHRwDI;<5Xhp>E@TRIn34cDo)aJ^|zR}Vop$Y_8<*vrD56Cwn#$;;Kn!wac4PZwojizg20Z z2zqdny%j*>ZpO;qVt$Qcw>d+eBDjVp9B!0UbX|5Tb^cuZz{8DWdN0HN(?x>cI)e3{h_yjk6>WkCX5Qo$F&Qn}C7> zR#iOO+4BdTxI!(!x+9l3tw_x1<5llldH6L)*W5)Z62z+pv~-{r7%gN}f4Y1ph6n?X zYf5^WwL3T}yoC3^nhB)rcSnDFoVmx6Q}5QY z7EU|%ZS|F3^$8CCxny;0a+j107$v~&DZ@uBPG)@)7pIDI965S>B{<>%9F|O+W;tJRw+r@++*I#7Z-687plO;$NHrjj0DZuFXS{i%+5l5IP5 zUR8ky@;7R{dP8*a5`i*_^g{^9d&L>f)D|;gap_~bRm!il7_O71P-k>QgcOHn5(lH~ zzB{eIwDgFO)X0Sd1fcQmv<+=}*+p01nE+fxo16NUq~7SR4RoXxU82OA4OjKsD8RiJ z=yaDp(+gQT_=Xx$vp_z=C)8fE8jF_UWXrLZ#be5{Dd+l?|4LkX-Nia#uO$B-So1W& z6>@Woob=wgnsyl$lK6Le8yV{F{pW1C6Q}KMfvcX93?S=JXz`P`pe~n~|6X8A9ku!J zM)Oe)KUA_nL^klMEXup!UF<813r`I$sdj@LXU_8<=SzAkV`TI+R1E=Uu)>MaZo`UF zVq-gp1dVJyrwCtfH|Ju>NGhw~sB>1!?e zBMl2Vw2~ij{$MVVXWt29G+9W5V=!62wQz6o<{zrQkKsoKuO6*vvZ%#*(_XmXRYX?5 z@k&PxjJJH8N|qezNi}ga8=T>B`cB1A{@I$3yTeZ%A*Y)1zZ+f90Kt!T)X%w>m^K4A zzj^%isW!t>FqL&*R(JGqT5k<8Us~*YRLuU(&@&`z=x?s1h2Hy3x*SVlf3B4^bcMpi ztV%Akv1ngvS|H_l`g*;3JxXazgsaCuO)ql~qRL5r55B!c2cpOoW3hbr zsdqh6AA9Y6J(S8^>Cob7zI;Iw669tOb$Svg$e_H)*H8DkO-p5-3! zm#?cwt~`m|$@^eMBCzU{r&ZKAac0K&0)^$&)w0Z+p9?R`nr_d#7t;_K!~F2iZx|{q z2h#%hBM?XW3bt*cg6VdzExef3|e0N)lyu66i&oW=L|+tBZ#FBw1Cd<*bUm z;eveY%+4;puduw!_Yuq(Oam5HU;MjTTMwxZbgt@3B50miH&ea*v?ke%v;VUWA~r#{ zeDXo8cwU@td2f&wT`(>ZIYY_2b`G|0aDz|daf`($hPTv8mr9?5Y!~&u?YoXTf)4qt z)@%{l`Ar&=e|c^zNi{ zxIx9pauDhlryiLbNM^fE_U7qHaT-p_rHej+yzv8J1)KePH0#&gvJ0sMsM(^)@?`?7 zx?Yc8fo%EiAiV;F-wKDE_m&BkxJJD-req>wRFOpH6OZjr1k(izUF<~yi#>u!y;_%j zKD4k^iq=C@A~-@v+=UM6p;GO^q8FdD)1#M-0hb}Lw^YQB?1>T$cYkOZz@~}oY!#z4aLXjffZj*mz+*TiVF=J1|ykOC{6BD7Fq80#)I8wX@hmm-T`#zAOM5EtR~7%TVj>8;%)i z)G<7cgC)oS3!guj{Z=3M@RQA8YgV>>KjWI zS;IhJ1B-i^rzaZExi!|w16BQtDQ|Rc9zmH+P({S!zL0CDzH05{fsZ-wirH_x%)?kM zK47o9cFA`NkgVDXAx8rk=YJ`UcI=m)nTe;w7CpEg?@}}>K);UQ__M}9%8Fic>3F@f zCHr%lT*mHc?oV~d%1)H(IFdLmX8Mcadp7sEpkv*PT&{61BJhZk2|@14=-?@dY6zb@ zVenCh88!o4$ZC2gHu^lESTuCvo#$DTsz$-nW}3ODp9{O?QbQDE!dH1z6z+yW?*(XE z7ew(rq7ExC^We4rgqBf{&f+}gk77r954P_gCO9lR?Yq@r2WL*VLpOzUkCQxd%I4!N z!H1)cB-8Ci8=a?clTOL{E4Cu?Uq5DMuYE={z^A63=eh*j8YfK^N3~OMb%fC{h3q5_ z#<4X|kZus-qw@j<-VKE5F`lni*+a+dWsbX;-bhUC>}Gb%{ZGrEl2*bXJs*h!hb-v4 zqNQH6HS16{yziA)q0hpGBC1=;cq0*OG)gn4c;Q*_tU2U;~G$3mw{ z-!i;u+l8P${)sP-=ROXJZx3@ExH}zGN#cOv1~9Hiw>uCk42;R2fv}; zQ^X7%nw_~ypBE~9l^7q)FuR{Iqa&TCZ0$PmG3!IX_R|dS_^x4h&&BgolA{l1=%lhoI7Y4qJNc82xcgbE)+6H#52`q zf2K}v8Z5u=eWK8?sYsncJ`?>)8hOI7OW4(=9I|hT*pgXW z$=vg~o$;i}Z%lzE?gt*h`LctHm0oh+_Gtha3$W_ca%3Ny@})$Y2-}K5<3`C7HybCO z4v7NUoBVFI+{`)|qNGi4-CkA+hd7DCwZua2*kT&4_g1}-IBnr2zu5OL?yOF`d&))2 z@A7xesngrAhl)3CZZDhghu(2&-TKMS-y8W14)2{%g11BoS;bHef_!LYaEXC@#wYb9 zmLt4KyC|M$HVypZ9+XK|C|2uHb9h=P-0&J6*h^89m)1bs!(T+n0|9gtxPAfTT-?R+ zPX@coqXN0AB0!=gdG7PaziIbOQJ>D+A7X1#)#s2l88f_Uk+$mrj&%bqdovPt4N z3^<(G^NwX^ozq;Yt|{E37#818o&nebcj83y`4dHQ$9-) z@mE1eg@WnmPr&u{8n0TqyUo=JQs!-^HmvdtOwbl_-)n8yq6!P};&PF)2Cfmsc^6kb z?ASVwTM+!BoxlbWlN*sX6K%WN7%JWOxmVUs{7tPObXt{0SL8lj|Icd~0n^~f$a1qA z#&QR#68lv2^KTGlj|oqD!W?#Mces1#oUGjajH=BN|Lk@+GwI!kuY6x?aKE%5iq%~- zyGZWvb&#y(h3#Dye&{k8@z;wsJ;0j-ASjd7Bnq0|joi!<-ePa&GE^yszZZV*j2|!) zJGE4zpxtkH_j4+&`r*um-`h8;7D{9dg3!pf33nyGX!_w!#8>HqaFg052I{7rZng@bO)NxEpEfWt5+4tu@WSMduSR2ZQIEv3d1+RNfdD*14j$I=+8cS}cQ1E8yk`nL$ z=Rm)LlS~d~7gBfvsXN%v-#56u)B)qa-Wf*Y{l(GVw_Xt4+O-7m<6C3cBvLqQ9HQa3 zz?k~ewuY1EC8HhAv9&uk(KZ@!Y!FFDzgwBK#?V1nFNVz)oXS*zD5DR*@kTHNsd8P1#C0Uz;L zq0}3#UnwrRQG+hTxr$qXIr*9ljw!e%b4|Gb;zz3fz?En@OzZ+-&+c{w>9{9tt7X>i6<$)cDx)%>nE)A36z!a*Fa7N%si8h zbKc#ps&Igu=x2DhkC*qA2qD(RZ)@%# zUS0D>mOlsAaR5u~;tDDT8RBXDu*;q*z--p&@fWGF4pOIxBTEwLZn_XBBPBiWl*E1p z0+(zXd@DNC9jhO5mqHKbMY?-P9}=VTzivdryEWnll&JTOf?y^TssB#nH( z;F%YFllg9ZQ~%azVQGPFg^y`dLyu&ul|0)a5fd&~ur zckqrbETJ#9ZkkfHzW{6|?az&spFC0eZTs1(7;OAV@EzHFuO^)LaM6kZPO8~~}_ zt8}~A@j0yEbeBGMX1s>9H}dq*XRObY3yt}}tPJ#ST#zhVPqsQ$<_YAd6!IYWS$E5e zbTL4mid>sF*o<~Re5P}|NKg?9R*+K)co~aT-%1Z(Tg}{c6ce=<7TY~+P@c2l!#goQ zaZU2qF5@w7NQpq|cxt`ADRJ*7MWBt%i1@{tvU;K;WiY;H4^z=Xbfi~4Co-upR!h&2 zmKigu*vLv}AWgOkHNG^gbmpil7RJv@Nsj_k2a0;f)Cspf_W&(4{CThK>(u5RQaa}p zRa)|@B#(YkX84X4kOyF>6Cz(JYpI$U_JdHFt>Mejhi2?O%Sc}}P987I-Amp5?0_EwNp!Aa9Ec5c zkV352_*t^smF?Ly@pvt39KcTfPfHhLqc8Efai4s6mVfOY>dRhF%a7cY)l`-Hg4sb^ zuMq)4bq045SDYGqU|C?VAdX8+ZDLPmjNdE6Q@ACUdUoEH;S{sU4~*PwZl@}|xl`0I zz()d3Go7Be~nMz0l^xeP|%TuM#ma^YtIuBa_OiG4kn;w@HT|dnz(H zm>RxZ)I%z&6V_^%=PePg0tEo^gK(-TJ_47wc(tF9Tz8cq(2?CYp`;?aYlj<16Dv|% z2zFkeNZrh2iHl0JFP-5!KW|Gezog>ylnurm+H?tjHvMPTgfDiXYJ8pg#_Vwsq`dpt z)<8p?*h{pcx1VOPSzQXl#<0mhv)C=gljiOImyQVa=IQHLHsdn6`Qq`6XuK4))g3ku zp#z~*+I*Qove=VlU1_=XT+w>W4El*>Fa6K58a~$|Pb>HDf#0JtK%XlZQ&~|K_WCDH zGF0JtGU8M%D^Pa!;d|^3@N0xkzh2}np4&GnD+{v-lVD26_IC8LD)0Q_?V_Y?MAlDS zA}@4NYfTTBYOi!7mh^IS=aN)na%{U#^u@KsC-6u)Aa>GFk!9c4&_ax@E&Xa6_{r$} zqUTtCF3FC)*kJYU8|r>mrHz!+srn`#r||hj0cUx$LGyET{~pHH(rOe$m!YSAEazWV zhXL?&Eh*r`{JukPl}e@YV!mnEjH6b_tOV8}$)V3x7iRp70zIH+&CXNX;?2sa@VJ?W;ZPpVdm2hLd|vXSB7NUO#d zTYCJ0CJ)2yn~d7e#jjodQ^mMfV#jb2F_eI9k-(J}m>bqaW$CF%IloNu?O5@Kt>N~p zMwgcexWa&9u+U3b@>=Eh*|#^(-AiV=Ro0gndEWsd3D&GRz1-yuU+9mP>{W?)>F#b0 z9{OsG*ieqSx`7c@P{d361;#K*57~66YFiJM)%NyN5WZdoP0=m)9bm8q&kjcISv_bo z73bPFt$z)pfWi}~May@JFI7GwZqON5|8D^0X;M%{2h}KRjo@j(I!m)i%}Q=_^pE?l z&}0FF-p*EjcT-F^Ml~ZM6n&*b;A zS=~%878B@nZ*oUXrl}nxAYM;t zcwUDkxoPr?s9?NJ zC9li@EgiUB;^OI-a_TvTK8mI|n@|6V--(-cqvbo;Q>>f(A{b9UJsrgiib$ZjBRqKj z6E`(*L-?t-q)ZCC%NlR0abKKg7A94Y=u#(N`H7p>k-*qn1*=9=snPlm!wDigRYMte zoise;caTjYopI>>j-)rK=~MjbZ5*1s2Kq^ic1p{KI=Cra4s<~nlS`=vN2_>}C#%H1 zd;*)#zf0U=$e@!%THSkeG+8|q;*4R2$H%T*H8SMB4pr~z;`VwQ3H~^&{#(aOlMILK7$FRRKpYcJNzQp z=TCHQv6E$*s@y7h&YoE+NMXOaNF}Xt-FVqbCAd-iVQWp62qZ7XSHck6sYL_`Y|^+MFcMB`L&B!EH;B9)EG}jsUKwHEx5HOi6j(+BBT3B z0=$5s+Ouxbw#c6g6n<#9TXVc{)M!TXbO}d0g=1mzq-a+U{u9gspD|Z6pIu&Uq^rUt zPwmT}<>@eykg~p@90C^0^33bX+h^Mjb|11j3d8f+p-#P^l8Zj&Vdg&IC5+?MnnK}- z-~X=MI@#N$F>UiBM2x^J*E13{lVtwVBmH=4=ku7k;;kP+%~vbVj30+DPYgnIWCPGkyt$B3<6V{NoIj6mu@DF?MPP zE0dme3CY_E!Iigr_06j^TZq%jPdq)6T5Ax1F?TcHVzp~4Pm$B({UCEqgAoH1D*3uv zYVZpIxaL_sU5RoZUtmDq;ie$;HF3i0kLv2FwI=!zW#C#Nh&KB1y82a37Dqv$*W;dF zO6Y2KorowuIS5-AV&~H_aLaMtU4=kE3vO5tUg7ZOHM7N>vJdME^g?9o7k#G$WYC`SlLV z62owgJLp0mri1?f3JX$YJ=p>$J$$CLSsw-DiZX=~kuscjo%=r$Eygsdt0Zeqf6=vt zaHwrlF(xg}yb;DkvD!=A*w!L6J5&g{iu~`)x|gX}wW_av(ekwL9lI8n6YB2UL+MJ` z?m)Zu{V*;^lkr33R@FJ8%jiDBTzf#D$R;WX4DyY<6XjK%+9cp54)%}(->}L~|JRF; zg=(tV;0_fMq%iS*M#bR1+V5n)uzW^%3Erz8n0)n%k8xwOX%nKDda^S&cMMm5sEQo~f19E}hxWgp0PP!O#`X z?V082NBc6#{W%ktHiVJKL5P=7?Z{;>wc4n{aLY!%S%mrqIKLbkzoyXeXy!5bi6kam z(VDPklQ`)cjd_{^52F}TZ1t1{S39}v_RfBDSR9&)A+J5YxEZ%6kH5U8l-<0ntYV{G zaa7we-Q^8UB1GwxmuLB_!A$e4*<%+-d+|VQO_No?O+r!L@Ga^Vp(F~z`rWNc%sTL` zHx^b2m6jRol)$cogusnbJL#-<#hqcbfxEu}!Q6wY*uEmPgKy;VdS= z?sI3;-a&wFW$*3@sjfkrSPBs!5<5xBDi}Xe6ifF%6BK(_m;Y(~25BLImIC~_6rSfu z25VkCm~G^v-FX(Gxiqe#%|aPL6qpJRN=f)8vse7t<3ic8FN0d(UFHK;Hkudk)m&mr z6`U&QXYxev$iY*IjZhieSNpCTuSax;xY$L_=u|{FP-0o4K}VlvZ&dzPC*qq5o*^@V zrX;#=-IW+cQ_{nM7$rAnVj_{bc4o^StqG7>5|r3{nn#A%XP41xI#CQ&JNgxRVYmQg zq`T)(`09JNQr~v69?p9K8IBJ=evc7OXI4Ht{QQ_f1JNx-({;3G7vDqC472xx$Gflp zPesJ@%5JBdC*d4u!lEZ8A3nUf2T%JLEJ%62#H*}1ib9_GyP=pcpF-drw?Wt&#;DOV zDxI4GhFuZvx<>4N8aY8miFRu7AdoQa!wt{swRdnDO;WlBJo)EY07)hesybu>JPEQY zKodtwxEkVR%4wSci;=9Mp+OB=xoLwSmX z#o8s{KdJQq@azac`C3~^5pA1R%}|LiHe_@$OWarOJ8pDr#R;ywM)B(lc6UGdGBCtR zckJe#;CYC%&U*zxG&s2PA0NH(X%=G$zhhoy?VpplDljlKU;37%`9Iw0F(yMqab(&3 zFpzF1D!R<)q( z`ZPz1q2%R8Zyuh{b7`6oU!MCxN|isM@e2>e=`u&%em z38YfUVQAe%yal+&s5y$ajK(KpkAaDra@N7c?rB`aY#V=9FwvS#G6eqj7C7C~Yc>OX zqV`G@Y6H(NIR^MdN3Z@*@c2|N@152?X?f43>uE5n2Hz83>9QLyvhddfiIs6siAxT> zG$MS~B&6T1h(C##)W_btx9)*77xy@2T4n?&4kR!LwGq=qH$Z_X8?|syB~?>omqbIn z)vMf=oeG6q?u%we&)gCh^C>KtZLV&;VUnwCqgzEL&@3?QLgeXAxZ7S1$UfPn@%fG; z1cZaI?__e`r7Ki@NB_H#QfIMdvR2zc719t*yIaPkZQAGQMt&h)gTi>lTKZTN&tsBm ze_JVhE=YC!saazZN!B1J@yFXds|`0De7iIQH#sz-;gD+})rv$gHO4XgMcphY3Z8Mi z`Vo{lM>?h*{p)6Cnumt{6ff%VZw0QdDG&bMa{ER1&uO28p)(bR`4&Ahe)zEC;phGS z_R!$qE#w>L%Uj?slf{lwbhPWt`;p?)#loSex#u zm!VT3V4!9HS#zj?=( zy!BS2iAfD6+2)DLG~4uh!qeprJoeByBZu&*)<9_hn?n{!k53k zL`$vx9KENw-&9c=2~l?x9Z~}NCzFE6zk)xQq(M#IOS_b9b8WRR5*4v#+vWx6mLT^5 z57U2ND6*0@WXMKs<@f;1TzsD(H3V{sXJk?5u%Q^X$2sunJcjDAl<1@-r19X5>oro=udJ+pA4j0uL`;_}ee+<=;OtNHsiS6Z|j zIUl&+NN)7?k%B_Xb1)S}x*(pHUO70msXgl6CMrG!(xpKkmm$i{*7(;*BJeNHhc|nk zos4jBHs#2hHgtZ>dnij)`r&bU#=-Thk~OZIOzDDN0a!!Xd54;S8{mq9K@sWvaryCwWMaI2;ohC>pxsCQmL9G~>yNJ+eTmPU{a*C@+I`Xc%Y7+i zZbsx{iXt`3p|>7>Y0Q1St=7g7aF$9ve%K&08o6-y)N|IYyM_ZG%IIF5(>S;b`JNE~ z3>ItoKzB{&ZAKC*7gQE(ybsncISxx-6t@WWH0oNMk=pmcj*z85LK~z2g(YCNK$}B& zpm_N25#!X5OPTUN&D+xdli~F4CpzIlv();&!gug}i=+NmDLnjUH=VA54eG~O`!oS8 ze(pHOXwLrJheQ)d$>RCX{MGGz>9vlqyX}PQru{|xDwl;=(e1hv1yEBdfFyKg@0o44 z_D6`_^hjYWVTuY;p4!|;-kaTXlg?E|v3vR?uN*9IoAjh+-ukbUeT?l7L=iI=2r3X< zyo(KvFUO+QWdTD5z+2Qlb7K)(Zvxn;>0#>wN^Zi`=;c>sdhREAjKyWSJ9U3_GGE%J z#q6wa?jF0=&ge)u=n|%~i!N&m?|o<&T)1t-6rfCQ-(IxSEsym0yG)u)&c0|@DXMBo zvp#!bn{t}AGrlJhK+}cv?)_2tx&SVk9W-;N##-x1GULIFXq%YgHiIXMp@fOS)X_KM zk4wP&DKx<~9v^j7@Kkhlt1#A%;O9aHyfAK-l&Ur92LeSOn6o%RB9>)J76dl`SgG?4 z?7YbPRo0TR)Y7+Vt4f$yr!yOR6jL)EROmvdBe5<4EpkjdniZCYTP?$kWyZB2V}_7X zV$ejz$Mj>T$Ncs^sPcaE#442l6=4Sj<_X7bH)|l6;h`$c7Z=dF1LDjtYZeSFj>kk{ zOHuASIKH)H3A!#425lo73JvTGsf|kBg?Yt#^;b7FeCg_2ECs=)msplf2r;HTeXLoJ zt8Za&Ql`2_4R!X5B+dUajP&`eegCl8Ht)~hFSU!351#kS@1?m{N>(qT@AM=k;h6)D*vr!_XBS{eORXl-j~MH;t{8 zw!{8N4~Lj$Vhx=Gh?!GPkGxcptn?-~pX^c-E{d1V;`N=Pykeg1Sspm2X+@Tbg*5qX z)$cejoo1Hyb)z=iy}wC~NcMR2(&ZokBSGMF<(jO|?c<}bLmoKSp^Fa~bUbbs)s7%o z!GfoQ#+BiXD!VqLr0AYhzaS!vP$JzTh)4SEb|z|5>0y94?*~GlvzSKVHm7o3p&pr` z*-Hy5h=F7pTf_jOoe>aYfZJPo0G{5w(1c$n?eGmVT ziE!pko}T0xK#<%D1dTaUc-56!kK$$LAR|pqQ655sfpM*gLE(T>%`7V8yt4=bbjNhT z6V+=XTZuayfc6MIZt1Cnu=(1qs1oWjLTP4z8welZ+h6)_ZwbYmtf$(lrA4iX74vw9 zU=6ndh^1MeE7taUN#Z{CdgnEm_?k%u(GJIf!bv0H;wuk;os~R#=D0{|B#;)R!o*U> zSi-LZ8_EK;BYLOD?c?1v$O+aCpVn4}g$jGTPX z&(#mLlFp_xbjX<|_9GdpW5v5OMWj!nzMwNs+4~;Wp9fs9tI6q}12g4TYgqy$Fkrb# zzE^rTj=u>xxsp{nOE1%~`7>Kz>NsNC7NV%G&20ved&-b|Pi~d}fwXg)f)=#62m~LS zl7ebHs!w914eiCM;3QrtBYg6#J+9C`xk2d3k%WZsD*~y*;sz$BOjXt6Ykv<67SdV* z91LyFLM_c&96F6G!S_M;%FVMf(O;Q_854m z(T;*6hCp+zOg1@QHKhZ57Q~tc!>SNVsdRXYPJ=i&X%M5s@V)4h)GBoHa8;<04R<9& z;~7NFCWy(y7b@Wel#n5C#EVdGRq_*F)rd1~iR0Ic%lRW}lB+wVgPD))P(3X(d}pPQ z%N?X^*KQA=C>0ve==wiAs=eAbX(e@U!2Hax-JF>~H_0uh704noC*5=1JjpLok>p%n zrZg7#{r1(&l~R$bEj0Qj@sw3r$_zB2m%pMV4aP<{gJ@j~ny;(FzLT`HvQ#be4xwZa zXUcWyIfC(q)6+ZbtGA;QwpsqPwQbtzLm3)fjpK}@O0z3uUIY^AE8zE#Fwp5Q`s{+? zQ25yFdh4sbzq{XSXA%a$;wpOjE9COAd^D-aB^AcQA&5})R&;?y=kl4WYm$}AZmZ4% zJzEpnvz|g7iF@3LR)`SwB2a!VB+3kiH68wf2(|i`YW6Hixql&9XP{5~>-tg0!rSQh z1}`1y`YKP)VQtI_m7rB>bL=E^-WTiq-Y8Eii6VXDb!G#OD}QV92$lto5Ee+mzUVKs zyEs4E4cs(j4=T6#S}CPPH?|>z*6L%6UDEHHZ7&IBF$h64O*Lj|zXE_BAW{f`G)e6@ z$}Z%3T-qK-xTD6SZUf-M4$PBY!F=LxgR}`;yMum^!P!oJYXJe@_{Y4Cw_G>Y z&y837K*m(ox${r$oM@_9_IC5__ zR)z}|DK)L7ri7EIt@B9k;ABBlO5RZQa|hRQr7KLv#3J_Afv|iC9I}{dL(W(?Ainj; zN+u0iXe9k_=-a=0`TqzMjOCCFD*=QpFbEC>N{?fZp3)0Ad}!%)@jBOfeK&2ONfA|e z=-uc)F-1Dm6pftm)4#!nJly5%3SbXc4aOz_TlZjWoQNZ&$=JtWtuzXXQeb@q<~RgI z*z=5YIfU9)bgr{_Oi9KQtMD> z8qtpig=#?1f^cJCijZfY_ggD9<$-Z-bS2iAcpu;fCu~VH=ohj@yLl1@ySjVUfB)*{!Ljzdm1W%Q8K2p=GNAO+ zAB(YzW|TVq*R}6@#OmvaaQ0qTEypDF5*{%Tua~Lt-ZWgv=&(kO)Rkq`)cQ~2u{8A~UNV|VQ-+E}nE7#J z2@z8VP_@4T0&H*u^daKyzg_+IqpPw3WC3RYnA>)m_z3F#XKzIO>K*oDcw?+_J6%^| zmglAxSHtdi28+0bG~@jff=>WX=w~cK%3fsuxYuS?Kl!;Mz{=@=7?S9C)gSS)k~p*I z0UYgsGJoz-d!HuOkR%Qu=k@JdWuBj>PblNmV4M4vBk#?J6<5D%0RG4$0!YJI3_YzACAh21WMte|A5){1dySA` zHtI{?nPQJcKN+~WDHPO3LTH%&)n*V0&Hvq(Rv)d+fK8pN%{=XsWYMMv z4M5t=q;HJ&lY=^+Vm?Kv(;?OQ$YXnJ%V>iGpl770NC+=nV8gnmUph`s6Fng37*^h7 zr%9TTQI*z5_TP-T<+XBrwFhm0G=#|>=KnBjRgyE2&gmxEVSC-S;t_&qCW1!0dSu? zFcAD}ftyW^&xq-uFcxz&`Oe`}h1snTm3I`C1s9o}awvAWo_QQvHaZs(sw2>Hvy?|J9tICu^?zQ~$s(ygEwogGE?J;6X z5Aq?w2Y(0e@k31*Yg|)Tl&!9Dem%H&$@g)GnYeo2WyU7)w!h0_-CvQGsNCxFnmlZ1 z-!=#5$@i^U!St2`4~P9gYXkhbqT%UF(%ES5-O)+#Kj+N!b!$*HN4a&vm@%LtI`H#;sU#> z2SK3fg1Yd#ssnO40s9T_7xY54{m$UzTrM|=3kzhsG|h)NDIvYDFn-}jk(A~HF zpIkG6RRVKbJGkl)it#kQnSjyij>}(oe_yPPujjHo{GGR-}3$^+Y=f zrR@|&uZq-AJg<~S zeG43!|Fzzv34eOO-1mh}&wM*MRih}CAcI}RK&Jc6s#c1k!q&%%14@87&|%-~rI0Y4 z%j?-{gZ6`?ZlJ`H%I$tf<-KPrd@KJSJ4^F44oPyL+N%K~O0=#eEq=|evB`*-N>n?0iAQJE3%_fxqcZm?%eAv57G2(*)$8QZGv8yPbDrRyjL=KlMm zPW_j(RcLIsItAlCmG74f3R49edYOl+AVwOH#qJtHJ5bYG@f6hOiUH+C6T3me=WKo%8ur7_+TIQIK(xMU z2F+YV>+_fM7I-S-1~WbJbfi45C!U<48yR#CbZ1X(ar|b~LN22r^l3r|e zy)*8{rtX#(C%4ioFtnte+cH>{6w|k*tkNeL1EwEn2izF#dAqFQJ0=+7mbHstl6!IZ)u=w?Jvj8w9k?o9LfU}#?$)%Stm?AIBWbJ)=TD}=;mHD z+==dbK3~s{PYiwD+2kC#;!UN@u=Rk9 z|AF2?L;)|3v<|wb0URkqS~Ucw&V{jDZaeJuJ;qRJqG@RTjDt6)$Kh8s@PNAT?I z_GFK}`-Qb>+x@naqCTfuYEWL$blxk{8E2g8KgYD$nm34k5Zr2Tw)c?QgT{EY17w{u^7$ z)#GXi(7~V9P5vA%qGq)Xa|HSVh?{y_E=2u<76B$hOJ2w}>#l3Ytb!}Lr(<+~6Bzu7 zXtn#^1`=VIfeT3efp)XbyaAH;!5=q;h2YVu@>gzc_+YSLPS>l&Wo{JOY#iq4wE)nM z5{=5~*UF>b#f@3>0p#zA*=;Z;X&UPqI+^pM9(kMq=q=q(XZOyUHbg|Q{U)?}-xk!Y zttpbRcVP3=FZl3pQ||aZNrryQCNPOXdgF<<8}Glq0<5bwZPAa9HL~y~@o~#mfB$z{ zXOqu(|F>h!;1%}XNX93gm(912vnOfM3vqR6>)xW>ybtAvCE(v{^9VW#PywdF7$2<4Wr!5>r&KwQ&Jfj4pk`m&C<0%37RbC zY-pw6p>43GO2*cem3uJJGmd2DHe=?Smzv&*}Rp055m4BhEaUu>3Q~Zjh3+Mfo8iK*3_XNX9kHQJ0)j+2pBD3 ztO=>~lKcEixl1h~XV72mu3@H}f#u(`^R^lcpnz&eCOZh50PN{lkg@S&J3n;c7oMTi zGKc^c3O@X!I^W>#WQ zm9>WHc5pVIwe7;@vSS&{#CnuqZ#f4lmTX-y#?cGkjB;Q6mg17=7O#oZ4W7Ne2QK3K z^2n98b-@xxxxD>pjv=|n2AI7i86{Z0Jx1?!*z5i~k;4>CCf4dOUg zX&RShtp09c+Ay@YxxB~v*ldNb_wvG~1oUgZ=#@8M_)_BV&$n1(lO8qe`lT173DiMd z+Gqha`{ERaKwhT!pYsU6)_GRYJ>#YL5DS+{6Egl*8Gzq)UjuM9%RQ@@(fLHv}Z|X`K#g|}a3<)G9yw5xj zA9^Qk;KTp3XBbm#J*SsS?=n||ZJgkSCa>1F-l_bq{7q1yrT8GJF|MmO2@6lHQofXh zmkJ~X)ww4IDvZH2+Qwea{Ru9RR=iW_@^HZRo7MHJ@kLVEp9qO8$~*Q^?+2s7N|qq6 zHz|~ocmJ%N;hjvSjan~^@H{~{O>5DI^Y58oCE+x|=`&o`NB$M_1x|us#`7-!c_rj3 z*MlA1y0IhU(+7n*p`m#{sh}S0E2xc>W!CZ$|q?u5AX;2+;Sw^2vEs`A@yf zx(Sk%?Zh2rWOaQec2^a(_Z#Du(#*B`r1GW9{yaJICCTPC@v2h$XVHcdu)DFV&&rZC z+pKjMvOn1W;u`n9XeqTj&|JeOj?@dkug`n`*pYjh43!4o$b7t-TfVWLDDP4}&n{+% zL9SUVtI(`jx4j8zb*2iMf8;u5a8_P_z?mvy!p`H}pYmh9@X9jL5l=}FBY~b2q`K)d ztl!&yw!M96=X(fz7+3+t@s1AxczXNma4z6d6X?{%ZPdak#~&ilFA)xCO{gQjN@wh@ zuct$tmvsAt+`J2NjUss)mqE?F|ARK09kPxYax+l$U>4t9uQ@!N5@v!2mK4;?$+pYf6H_pyA#%lIbnE>U+;8rQhte z#(psW8$gWGhKcITzc<&uhtl?Zo!A_ue&ag{&`2Lh+KYNL8l`X5sdY|x_mD8iV1Kt@ zrzY`nUKgv1PEyH^FmFe<-hZpk#C|xBDNddD4PnCw0L^(M<3SAxgF3Rh_-B6!wcW%` z^^vhxQ5TG87%3sk>i@N6?Zj#E4c)Br*5gb!Qui+15+C{VM68B|n_jqvwN*B&B!DAa zEK4xpMP*u9fQsH>-z^*8GY(Y!VJhNg?Wf4~#0O!23 z*^_42GIPzYEaPiz-w0(K*<_QgiXQgV(MEjHB!;n#9yQ!M{nF3DMW zCK+GMOeTrOi8f?p%sa|9pQ{&+>mE**RM=qY7AL7S=us>wrJeMlGGMPImGDU-hy3%aHwiFxO=W`2Cv?%vjZWii3|{Axl2u)UHS}Nba;;vdH&&Tz(TT3{}8S*v=|Iz1RUGiJ}@$@=8<6erJmr)hZ%jk|Ci>05eo0QPU?RjUk)})_L zl>!T&e0A0{&L9hdlW3kJ=BT|Jq>ZKdqJg~)rD&gqjQQdn`!~`WgS6 z)5WY!tgXclh6#C|=khq5i9t;mt7yOw4@)=O=+;&|$<3qKGf4Jsg}}2je~AKBe!Q2g z4y!0%i5v0sk}^@NEXX=-G!~O|!AbAnPLBr43WxnJ2$2r>;JLc$OOY?53Ea@!DaCl& z*=uvZNA(*@rxG+l@1Q(7=bfi?y%OGlRhGgyE2~VN{q9Ay#7`E-4^R`x0t&pf8#&0D zxp|S3kVQo@6g%R$R4pv4K|Rgbp``pAfEaBhADJ(8nTD9x%mFKX0{M0tTjEy3y>=F8 zBnzk(;B0Tl2}#GP7z^YMlw|2b?ICPc6ZZ1B>88BZzX}&jdgi-sq12v1M~Ju?ZGs^C z)7-138{tgaGt>)y1GBNj`8%Q~o++z+CzkF8_!1^hH%91+ID-^oUl`2@bM=i5FP{R~ z*kh~@UDd8R;t^L-nJb~71GtXG#EDxGhsQF)z?o_>idi%!Dq=9yCOwJ{zY(nwhYGot zVqH>CC@h;_AXNY(INdjSHi-FrR04kaXj^8=McD`0qU=W1?(&LWhEsgCC~O_dd$PqY6!>Zd=#;&NU^I98j3d0NEB}gV z(=(?4xvNimg=EVM;J>WLSb}Z?a54ZId5?LuDbBTA&EyB8x(2mm<+*oA~csfw!G6;~6q?zAZL;kA^YKWut1W6@YGhN29YmgqKCE z%=`BW1jv;cRXOJxT46U=M@_IkKi7v%$O9t)=nZeT9aL>k7oIz?V#S za7smPK~AloKn#r|YUic9uV1rTy(rgRN_u978>mPW`8|7Ph9X->BJipJ9ZHVFc=_3) zGeoh&(z5RAS{m|$TEtxJ36Dn3`IKiNHqV&9jKI3p*Ig_9UR2e{Wg1Vq`{j{$c<8lIPBIK>4Umux4WCp|54l#QI@G0%^v7kmdcV%eUDr zonoyyf{qolhvOq}kY_8F`;%H%&$Thzw$6}-i*#I!H-(W;sd`JxlEia0Isc{?kiJS_CmQ`S1AKv4<2 z0aDQXomgF`#cghH>Xb8cnj=2D6Vjd&UuWVL1EW@GJQ2hA@=xwm0N;bgn|)WU*<)7B z=1v!;$jeNABt#BuZX|2gT`0HNxjz(w0H$37f-1B`GlJ1$QQ9^B;CeHdlS7zF?sE|h zQ90`iKkbMxffiFSAQz^!pob}~x#g8)fMVOMmi^cao+USABd z=iX1nb46o2bWwWje+NZ9<>)lN^Ut29@SYjnr`HQD#up<3t z!}KHu6qG1!z-nm7E@V-|npDBeQG;=B6<-qXpRk}R2PS>WZzp`}qjtNTAqW=mpCze@ zjI!;2CfuW4m(C%|Pjee`!xM}sp(qT|lwQMbVVxAWW*W`c2<3(Hs(Z=SW(}yoJ<7iP zD*HP)rOoe@tctjyQ*tQ56sx_kG=P znGe$PGSP%#f=g**P#Z%(Nt5~%fieO(dI#AbufRFC_3{C^}eB2Q%jcn5rQCSJCsE_IU@cw9=~rj-(wxj&cbUaIK5Y(Sg8 z5unJuNE@+H(3X4lEjn(SYgZ{^|J^`Y{F?Z2%zQr)26$5l;Oph|43pTT{Q8k26-|y` z>xT6CYOB(z8NQ{db%u~t4lQ8rD9%j`F}GD}P2_IXs?Bn_`*>aD96KbQOEe%jjoa{U zk%#g1?^_b7ma&u_e7gFtm}$^}1r&p?&2O{*<@qDg<$3Cqq4QM?^P%TwOLzYw9lg&2 z6zFA;Qt_OH^KTdBc))-m1KDNYvs`@KcIcPh;w1Fc|JvoUZu&mXlS3Y}B2)PY~GzQu>1C zF%}$~pM{@lkau16?6WVX6wIoDkdiY@7nu&x7PGYEP%520?LY%jfPQhiy!OiTp%h6W=c@jim z(e;nIGQaZ_))94UnxqDvtH`(cun6-~`#V4*PmOuS`}PgFz=7|&_BVf3fg3LWVHhr<3scZJkLf4O3A8g z-m-#1NqUi{(C%&QO?)&l_zr!WFagG9Jh;fHl(ZseWFBYGS0b&_5& zf{jRCfAj7DEZo>~OkFYDx4c_zRJ=mqC872dQ1$0oH(a33_Kc1^)4H+Z@{Dp1Ts2Dbs4_7m#5)4e41emFjzpH#?n}P#O7>i>gyew z#IoLa_n~#S>X-TWw9)G5>GV4-SiVAM>tIgBZ2Q|xNxkrxg}09Y2{$#J=jy>AJ5Yu7 z7AZ9Ij3vze<@zOB_4*a_y`N*fho589*3{2!KCh$?*f7Y%|4aj4US6m)95>Rs?qHrL zmu@55V0Zn@A7M2S28nO?W#HAQyY1y?NzhuwqYdt?*a)s|t2X9sec--8p@SA-74vc>4&4HcOXAYT$ z=RHKFW6wgg2_DMy&%91rbap;G+m5}|kPdk1A*~cklPz?A2zBLT8_RQxcg!yg5OEX# zM2k3Jm|xPP2>xQfSBY%0lj>>X3liUYjW?@RuOSqDMiZ6`Cr#^EwV?b~8J1is-f4*{ zKf0s<_r`9&|IqJ{pbZ(w(CvGxo6%XM{~(g>T*{X%;(fP^Y0fbw9ux$*-CCpTeQ7Yv z7b#vqLobmeq7oUKdR*sr*TlDk;fegBgZVJ8|1#Uy$q@;!t>FFS1$HUB7_E}m@`M`i z2i0Gn;ogOMcyBQ+06hS9pQUD`$vY+P-P0Y^jlH@k&LbM5GxNbh-;Zv6J*69yh4F#i z{2Z-vBr>}bMppq-$ntdkGFqZ>T5=bZkUfmao>rAo&!XJcVe0?xUsNs!?QeFTn8Lt+ z;13)tjhH`ajzvP14GC7K>^+nF0fWTPCqAIH5Ahs2S8g78^LBz~;VrIgNdKwV5EGGVI&G`MN_pNu_YZak_dsFR0paPICs>7!!ED&H1D&L#0hen?|I(HMse%RUK!? ziQbBuxpT)@S$AZ+J&PjUZjM@dZ1ztu3z+3ylQN@cN32drv>C3Zx~)?T2)H?|Q^6kz z*W20o)T65GvxYZ_ws~?X^6yyz*!x_=XR50xH_&-S?IL^Uta`!et+=n801dq?7bHC| z)zYAUX)~|ZBB@vG^HTnx+w4zY%TLslfk&q?6QZ{KkLsNC<|c+LRGDntD3QQ+g>}|GG+^U51O@`Mh5zD9&^K6UG#*v93_tLrojUMpra*x^+KLxg% zTw@&88?DX47(bMDf%1Qldz0(&9sG`9dqkuT!Kw%}F<2|ROc52o>yYgjN|7)Zm*EUI zCpORR>6UQ*s6A<+?JymlC)--*!13;++kYm_=kw0u;~u}gK59OTRP#Ujt=TSEe9Z^7 zt@nmZK4UV={ljp+Jnjv^_M-$fme6q*%08pRK20V4!tl7E%D&q#@;H{K`9ZmH{O4?y z!L>EbVMa=c-HoqowvYo&BMBw$wdQP$Erdu$n`=L(8qnm*u8jxyZl?<|sf{(53O&l5 z0uX9D`oQEjmlMn z?_#cBw^k17w)tR?_;r#VF&4n{(|-bv?0+oj5yL7ENCpNi=+9+XD-|46?z5UZ@Qz>Q zNjMFhVBLa9{}iv_QcDNIelD2>t?`k~b8R!wy|vEwkm8g8sUyl*kI#2#MECK|RO6_Rd;NB(_3C8@2x@&b-q;#j-D?S49;uA%V9#*XhS zd0VbdRTK(_$AD{*w2Wy#HynGpdY6u!WA?PD#4eifMbZ^(%@}+9j6FZ4;A6 zkC*-EajU(d|59qB>;7rxcpka#_#sHDDzeSibW%>l+3B3{uOL1mI-oFmmN{Dn#y((R z1*8d0y%miAJPZ0irrrXq$@YIAejX7)X(?$1kp>Z@OB9d>=?3ZUt}o>X0qF*b5z;Wa z1f)xujP9ItNXP#gJiqsNk3;7+Hrej`zT$I!&WI>+PFr$$2eb&wx+;#o=_$pb9yO!+ zNr;n=c;4)H;j&Hsy!NI+2+Kuk9q!5qNs~F+8gu8t3 z%(jRVcfRFdJt|yTS@R5yiprcNhaYF%;roI6>R&0Y%^3#L8ByZV1Rj_@kFfciGZ`Cj zhJ&ks=?v@7)X`BfP8~)xm`Wci$m*z%IJp>=8;AG)3cL3*X?ed%YfjM9GI+HmA)k(f znr~?@mU%4{?k}k`r(+3B(gI>5X2}x^?UQ2E4B{w|E*iWz3;)aU+d(|0bc9*rrBTo2 z?rJ}iuk7+^?-(B{xIgbxg;!k(=)#z|DdB8uvePDAKKo<#I%^$GA{v9^^ek%;coc>N zMk|p_(?_8OXY49djrJvwHsJZzS39qbcXJve%|%wQ!TtU5H;(}1qJeu-3*)k{qXjy! zn+FR7?n>E}jhDyn8D{~6fsYDB=n(*@VdVVy}tC=c0~Pm*?%)u z=?%7Rgjr(88&d#_NcP$X(mB&&jaQ4w)iwq!xCXN7q_Ub#Tpim}3rg4(Zq@QkS6BLi z_9qwV?zliV>l?lRDESAhg7%6{yIe7-QwrMao4%`Iaz+fDjai!clv=N#k+zXn)GXV< zQkJJgs{xAAoiA!%A7{E02xBfM-0=fowyCGNwKfqZBJ}H+L6GR)V`|&kQgdqAheIup zJu!=$iL27MfHo7nO>&U?p$_IVy|#qw1u2ppJZfFeSzN-LnCbKkiM)y0{^&ioiTPvd z#oDN~v3u>7z&0n;P(7wDB~#OAT;PgOw8}c82<1ItnW-l=Vf(^=>}Ass(as^%HZo3F zTZb#to}7sKTFqcrMX&Q>M>~BDxlhmPxwLa1n9_LTmnBC;J@x`+09t`R4EAR!ZhGR5UdHX5P60kIXq)#g2)D_|ujKd= zH+W9;99a9K^FFl=?^lyW^@{a4L6&4t9B!s!?cCKIYfv2vkca0EqkcBTW2R&*LF#BlNmO!34Im zvfHCv$~~y!X?W{53rs(#owteCFO{o7gV7OFkJeP@y+!%#YebYt%jP4&wOcE@VW!*7 zDKM)CJ*m`IN454(nw6mS=s!p>l4cy?dAYG1a!~}GGXNTy^vk?bK@=X4{S(q)A{>mn zZST(6V63K#>;O&a!=8bcyC;uf*ZE?*1y2^1JjxNBStjK(?ok(qEBJj%gCl z1J~&uEBtQcntF9F)GzrbkB<8aTBY@SyH;i$R(oxGiIvP-eh_T*u3>g7>^GX9*HW@* z$HJr<^X$F1k~cEZfw$xpL{rhj-E7=iZAS`bO5@7UI)dq)zUGR>T>aMUBtjhbNmf>d zEsv8WJ+3Id<=#5h>vkss+nhHeZ|Z zq^NaD;h|M&oeH0#1A7@*4zAvWWjp~bNJKSV>z zf;-Sh3dMkAZK_xzi`sOGDo{Kl%HAj~Wv_z)2?RqIArLrvye4x>LQ8W@w~bx?ww!6I z324J8=J4b)WdZeU(b0vl0{YpgG&}l$&mNytC+PEy3bhx(21fh>;B5TH=Z{cVBac^Yien>-MNGE1J74|Cb8qW&b7 zL+b-&Vq&?Myxj%o;j?HB=4LF%6w^b3zG|B3Use;}QqDp>rjkhhC7uo!^!0CZb&22q z%vF`E*3Rz~=)B^z`l$VT$Lb?wTgU+MDDwp|tHvJQKtHj}Z!f348NS5xcXWE{@EsY+ z$Z;(|eoSs!;y(FEv#Oo?S1Q8Z5q;^UyCO;)&BzwUprz2ua+5LJu9WT=ys$^m*E5-` z`zzSqE-xBz)YKeKF_Y$bddO#e949G@Z{8qy_%iwDfX6CWLKxXJIkO$kf)+w3H@~-( zBAZ0doi-~70f!d!s3ZzzjV60m{+FFg=EJ1W14?+3%$vPh_3ZK0jHE&oEG-5$6+fK+ zF$JBL(l>}OjudYPL;+MGsDyBSyw1c|8)3>3x2h|I9<=wZ$C{NRs6#M zvq|1Su=6ps&vq-8qug(JOAK0Ic&O0WJv`jeQeDk;6PE4fMv}i`jTSL&6#1kJ|H+ygv{VxWOjCBP3;{gW|W*$F)8+6O=9r8%NeB9*^eP zw7921CidFgJJ8DaK#&h`6~xWI`mdPpjO(A2zc=D<&laK%iW1yx+0XBuW#<|nSFF^J z_Nt?bA&_-Nr=Udc7tVgI;|~c%@w@{ES36P zoRHi0v29}uh$E3kL$!hPL5OLHh*7g}B>|qB8hQm%6{Q0i%3T$nP1brS(pagJsMY6L zzR=je*3w}vI90}0sPn5?16JW&Yt3TIK~sOZaJ`nW(Q@fOU$G$WSQZB);bdi^__}`F zy?=3*`uwjXkNIR=K5tT}%5QZaUg}VZ>iT(o#}}MBI&r(iW)}cwxodt~8H@c=afd_4 zfndI(dNyS*EyGk%jeeXzo#M+H?m8uZw!`r+LLqxQCGEyNM%jD}_mYVqz*xDxk_G6S zxOX@T5un2bFe|{mlQ3?rebm13Kk8$-ZzyK6l6IJlg;rq1QPgfpf%r?e8fYh&K}NkP z6`ZrqhtNWtoQf8>Pjqv8JwSDAVTojl!@YAm0Wo%h-C9p53_YhU5z(=^SFIvXaPM^I;k-cHzLZ$z9l;T z))#_*61sPxkLvQDw2Y>-l+YL@qc4NN?D?z((M zplFm2$)^L+SlA6Fad) ztgrFn9syAh7H}jx(Ke*`baXl$l&<=4uz7z2@3RPWsd99t8 z4xB;t1k8$i%96CV06&}rbxfT#BG=Q08Hj;37GS)3ta@1EeM$EYufceKo1r)w8=X}l zC+CH*}1@H@?$bqXIa*Pc>Z^FQ7hopAC)wO;_^K4r%Auk-akvSmPja+~p# zsGES(#CiuG!unVb$=bIl1d>G7lMb2spmkbXrQ98wD9;B-l#;~*4RNme$Kaq^Xy)@a z`?ZI6FC+|<ClJ28Ck+z`$-1SRe{XgKOzP(V&XtQ9|B-n(F3G>DcPHI;VecSTT5M6 zM>p(a7hbHyW<5$=dJh0AN|(Er^Lb5{c7#tZaj*^clPwYW%3JkxG zX(-FNE(0sC$ED8sU;D9Sav02GN+NMdfk%$%bjtJtbk90zZhc<6-1KHdK%Ju;wWOQ& zx4E5V0!KSG-B|B!CyEn2rPR9LEm3_(Y78d=FXBmtoi1HU8pb(mBwIt069frUYUC#~ zNn{J$s~(J92mW#E6LVx=9H5FqlG#tmL_cWf7lu~ePueqe-~CY`By!%K@g%X}4&ibv zsAHbg>ALva6en8`f^xvJ!2$kO-f&qAl_Hy29eIIbY+;qr>qn9Z&W#dC zu4mc`Q^mH8)Qr6a_JYlGpcTDW=bbFR62V9W!A~Rpp?`(Q<{mkM>TUiKqPuf-fg`jC zB=&ZP<$;+Z=$k-1yQ$7~2qcJR+|Ym+2h==9naIoH>bV|L9DxS8_74*_g2nmaaoc#y z?^#ez{hwWCCVl}6;j;g8jK_5jkCnzu< zGO#xX6iDch4>B$ztgasA4TH4EcEC>xKT)7#E_C(+9wDuz0uqnvsO-9Ke-OcGUxBn>KW&G z#*Q@X^C?9$edXV?iG|4V8WY?a;-?aJOPK|FVwCB+fI$R#ue%Wtvy-R+8Ta;U9V7mp zjmqf~lCh>e?qZ3^a`4b{-Z0}ajF zfxk_48qdb>xmyYd0#i?&^6HvPG%WI#&kM912w>g<5xtF#IcQ$Hj*C@Cm&Z1a8+b&+_&~lIO+xthk93f)fe}8} z0L&(Q$*a=a z!)cCiTa7J14LlQ>k^aQy`gTd#cxGH+c9$>JIH06+(9Db5oDikt_^A@ug!TK8aqtQU z)^e4e*&MWo{H&@(wsb#q838)zxep9dlYi){Dad@Y_cUz0m zm#FzOCFfvpArM3Gkt2dctoo`#Z95;m-i;&{%Sa{TT3X#%z6*57?o`El@SSFSX_tR` z_q9hiWhjsv@V;?}JmU3O;PAOLe;7tA;wj~?RohW;8WU9dXUf{CS#K5aN=m`!38sls z0_wKgkD^c@>+Tm!U?L(#hSFiFpTbr%9#bIGJ@XUrYE(3DnGGlx^&8QtRw27$r1!6b*|}=adOQ-`au(dh z9bqoB4yN*&&WOQD3il3RoVFuOD}XcPPfr?Z4lFv1Y*>QEkCE zD3SRQXmmpr6>GQbo{Wp6?iBIOs5sV}pU#XO-;;m26$M8E16BNoxF@>hkq#2-CX-*s zj!W#goiGG1l~NC3d*4SF$)fV1<*t`7*v|@JQQhZw;YVNmgZRYwA3s&U9$|#;iyOCZ z{DjJ(jY#?Jomr5X7EB)0K$14WM zO2M|c zgi*p;Ev*V=fRZDo@*IOmhh>e1BN@f)_!bQ=9?%hXJyQ{Akf?lJ>b(3ED>$%ov|*vb zg?m>()`;QkSJF#-=3!5u=Z4PqxLp)=aQrs=OUk>7;6Ag?EbRqJPedRw_RI+B5V;J2 zCV;dk3>jRVS&PJDXA@L3`La|~YwEJI??FR|Z=gQTvvvb-f9 z4)P^|6ne}2?J~i-rpu7%V9SHSOlX`wi;Z>i+^^wt;1w#X=*AcPUL%C7KJhQ_-|!3Z zmr@ABbS;6h);Qvgd~k3C<)#E$bK zwAp{m+tNlkF7fVP&DM}68xzuxL6H#!RS9eHbVA^w?;J(G=u(-y{iO65bnMJ2C+agA zul!W69cZdy)Qc0J0<>MleD(~REVGVMtXN*5PBqgHw5(~Y%Ih+E{N^9YQRA2U36 zkS$&X{%~i_4a@geJT0OexAX+r4inSAcq=b1@#~_ocH>R2OWZV?ki}qs-~a_~;iEg7G@dYOQWKng#Y<;bW?vZvS=l4vvlu8>uMvd*P|#-DBqCYaGl>$C+{OZ#r*M0{E0w4 zhtEu4TwIRe)_#uQk;Yv}{*Zo{x9~)zPxR21EJ>8`WKF1uuR9*F^e9Da2kJede-RHz zzTgnD(x_cRcP;tBB5WJ3H~A>)&xiWyXxFVDPv_cQgG>_+$ab`eSV?_%8k=5)T+BMK z?8~?d%$B)!-`W2iq)e>dKhT?4-2idmeeTMZ<8=URIA14o6QP_T&8y#ZcxwMFC}LtmBIoc8TObRc=K|rg17|i0730LBoL=j{VzWTxI;y)WXP|bLCL_x$ zd?n&_S69T7=uHh+>BUK0kd6zWLkgI16(bUT&DF+~casJjJKp@OXAySZY$F|NQ>UyR zP;@(msqbK=M zVeo^U8|s-+cMi6D+oOsD%3)&v&f-1Pz?n*t4&95|KUR8o2?64Z1qBFjj`g;WUMI?D z!vtB@>o!l>usDS}mnB~t%MEC&R%+zj{#SB+ClXDau>B@iKz2u>$ZSY+W52Z`%i~_@ zt2_QX($e}|=PRE7Y&B(wc+NjjtJTvwkND>+!!n>uk&`U=)PwqyeNo-NRPM%@5*(V?#B(giTQfhSU#FB_owPud z(_Ai-;jL;~eH1fLnx#$4$HvCjQhvOWQ)xQ=&5e}^3~Z1qIjXf;JUIF*9j!DYc!?4? zP%#;;^3)nA>id^ac0n?s%RAO3L5f0t*)5F4+^nTSup^_WtcYSJaKmz&wigTc-kgx2 z_2*;S!DF}tIQR09ZyW{Q;oS41;HcUSbCwRDoo%-fIm`bX}VzUW)hr&J;uARSmt2}?R@b!c? z7Y&IW^(iChI4_!_UMD|7F93GmDLLvp_LT~uG^H~kAe_7&Or9ei`i`>vzV$nNsLXaS zzs9!r9(Tp_mYDMA3yq8-r$r~>i1rGpEicF@n5@2!?vL+k`yX9y43@bw;>fb##ixI6 zfSLHabQPH()1qh+6i&aZfBuDg;%yr(1X2;LrhJd~er!i%5~JqKx1k#iqZ}}1pyIC! z%UNHaK69Bnw-KySO+SP!#23wDSGzcUY>Bf3g7RTFc*Ep`6j(;>IU7ClfJ&4qbXk;sW9xl^fZBH@DC5Sw(ObCRSlRy{3xhgQD7Gvyx z4>sn$o8Gm1&2d{ozPOUZ#=DYZ%_UwJVtb`z+0?kZWYivnX0Wo?3od*>afMw*{JFW< z$iN}f{ar~_AEU+#6*u-^#LGRox+;xgn}A9x&pzkQt=s#~wJe!SdidL=R4*UJCb(1{ znX!;xg}31yxTVY`N3~tR3g1~QxFt3hJ>@Q-T+IGyAV997Ff*}l*nbx%hg&d|+S3&> z&j83MrF_9rxQuiE;*e*lH5U0y*=hIfx%~VhNhsbn;WBHbtYikL8?IED*}z8v&qd6g_Qxk)I!Bihg4qT92^6GZGm8#m zP_sGi<@N1504{u2+yA`UxyXCH7HWsIqBlDGkwf{em?A}lLN`9>bXKr4DRFX(fS3PQ z>`N4ygF;`PWS)6Ko7~N=WZZWLCF6JI$go)(-PfpiSiXJr{`^Nhn=o^s(#SOh2X|B0 zDohPZ5SoAyplNykf`~y**e8@d=$bG?i@ZR)@5?KFR1^KSUbT~{AWEx@2z~a zmW4Ea7pD->y3O9w`pK*OQwE{zg;spbAAP-DZaA+m46OyN)YCa79D3u2yjtG}vf2oy zb-s0EFT3Bf_a3jrPWp?(7C)JB_|g*)YUU9ffuZ^Mx!`KhqZegxzT&lT=za+X{0J4Ak%Egsj4T0<#dc3QiQCXm*o^H1Fj#je3 z2F7^iiPh+`jTfenR}UW#h2ycrJ_S*PBEnls@`%gT#99DLu>fM`&ogxIy8NF=)2!N+ zC7{|W6*~ItB*I91^Xj*PM=Zq4>twNoGsD)&%TYx1xPQsVFK!A4ndw=ljQA}qaIp~! zp$T`+cnYDRgln+cy+6Sop7Bvs@C-v;#?Ru|EeTrwa#bE{P=b15_HZ+f)tS&v*O;@% zg%))QI*b56snCk4AOt&Y@=?<4|2~suAmU|YKe=;56V85M%3$5Jj8iKsCi>Xafg&xL zB;D96Mg@qggtrt5>Wv7<-@p9#%)W1?EWh8`wk-dg`wMLc&KQg!sm2OOeByLl~< zXASEL99avI$kS?cL=0b}vF!9qrvFGGsQ&POyPBc;OG$;8)}P@?_ySgHm>DgV|7Hi# zv~g$0N$IkfY#qd#9Rc_>L;olh)9x$_{{v#@6AJBZjNGG90d->u>;)`~UU zo)*vaekYh^c&IvM`-}QFO04Nwh_r%C2odidrwGS?y-lZV91K89jgo4$5y{v&PB_`9 zCp|!G$O=f|OW|tk{iI%I@=Wy9Dj%oh;M1ke;10<_wS<&*uSWU+Upvc_gXKD7tTUur z4eJU^)CQCKpjZ&4G|Gxx;1jpt9-JpPOzmd>&0RtUu3fkbjN-cB^`5nR?@LG~~p(mT9C0h=FcM z>q%CZY1M9R)$re2oB5|MZXF?rKK7ff!q-Fs`r7CFF*u@-^@mih+h%b(Jl7t@{K}|z zKEV_aBu|Yh;6o*tKez|RM!T6mNysbX9(xNN)ZnDT?O z;opy*Hx)eDIFcs8?2xCavLH%y9UJ^HWvsVtT4R{hFX*sMiZxu5wSakl3Oi;XnL;=2 z9jh^nj5d+;th!8kN#T{#Y~^rRnJ4XGE1sYqt8qL{z*FsoQ!-4Ew2#H7TV;!o1Yfdc zi4l{-m_*G8f0nA4aAVN|J~oYEjW1PEvZbeNK41ULvt}vXQ6u&vRzsKIS!C8}hIe9) zy=&7%y6`kTlk%r`O0X6u=xS((6+;r8)Cx}YR}DSE*VqhmMg^a46M@lUex}caWW_c8 z#D3TDmcEU@of-RT%k(K9gZr-9@~hWg*!Ip6FD;5v*c}rkk5p3w-h=YsfXmAdd}QG} z=GUP&hVVd*fuAjBJ^&rVJ0EZAVaIS*>?oW2S#ajZN$phgH1z!;;K|S35JkMG8!T{m z0zY#nWD7|dxoWjYd4{SK8$k(VB)91f{tooqE{T%{?$;fh87;yq#8JR`=QSX;J{(~y zLo*pNPs)uNbRM~ECUbk7mD%V8N-Y!u@pCkYN|enve>oc(k`E2RNF2Vl5eZ8?E=Oa; zmAx4MHxMdH{7dkBG-9sxX9115#L}C?>eBbRD2r@-0n*#O+MVuEVZ`s7$+3c?0>Azv z&J>>@`5g-O$Nt#`Elgi_JsV9Y2-{PPNGZjG4TjjY+Dhs|{8b*Vu;4UWp)SzY*+#A6oqVtVtX>@#N65|WbhfOk4RPJL<>^l9%} zRP|QzdR;g)Q%DbRzyNQVKmUYPUu=xjhPld6Q9#&6MS&7gXYLu_y{80V3k!rV&+79Y z9$3DO3~GNQeAuWFu08rDs5Ft=W33FY{y0+7&Ztg1Xdv9&bIFP{!7q_P+aZEJB90?G zP`wMl4Go>o-uaA%Up&$Dk!8{E7+tLDH$!f0vM1C7qyv?e9!100PnttG(iRG^ozAxo zxY2Q5snEC~xV0rx7qutU^lB+?HLZp53I(d{R%;|I`zL9o7Js2uWm3HQNr| z#V?PyRQ7Sy6o6%qDY|WBKg&FvOv`7|4{2B-Osed>QOQDWM+U(5uftDN6X|jU|6lu^ z{DzYHulm&17rVczlDcvXNDn)Ej zx?_=0BpT|tV_%lJifnnNeW3HK%Ny+Mtahp0csuamfm(T`&DXo_`yvZ?4T+z& zwgtOgFu1%MeN2QO2JRvzf|T!Y9&|xcSKW4rI1sQtvEIA%{5p9_kD!Zx^&#%FBdS-Q zEk*I|Hhim&zMhd_2GQNa3P!yPejzY#tfJpKhBz*J|CFyAXa?bv*=TI|55`4xnptT1 zSWEd5s&=-N2xKvYACO9iI;Zk1zd|%);=A{LhvuJcNUx85HvXg|z zK#6}z)%DEvu??W~vu0ooZ}=)G3s8!RltxR~w*&0N2)T#cWTPPaG>x;A46ZPBN}2s8 zC3LJHG#2jsAiAv{gK5)dGR{<|x`~W)KLOx_`Bpb-#@Np0X~sOf6{RHAxp;Kq(L3pF zg~8US9&@G1Lxef2k2uALbf$DElHkc%`s3K>9a>-8^b4QDwGz|wG_x)C5!r|gYUBm-A~l0KPy8QcYyT-15l`|Zb^TC zOO>$X_tazo*;bzM#AZ*7Wm{*g+d{y>+51fA)~QM$?Qdk{FB|Q;S^kjQNYKvQ65c(F zFjxC#{;O-n;Q_b{qQsr+#h#4q~+pUaCeZev$A1+LV3d{!kD68|m(Q@JEfhur6 zH^AKfzIB&TOZ{^(^5RG(eJviKeHjobrYIBA<#z}6WG_Jyp#%A+h z+(NeJ`L6s?b*&5aw87p1Pj$xXct{r}O~=RA?&&(-zXwzld6nvO;mPt)nfEMVUc?7X_Ac~q%Seb$rV28AxWw^j;XH!SETt^zAB-*rkW@TbX0J@@a`;-l5azSeg&>pQJ=aKp(OmT&p7c@MpKv(Ww2ARsSLZ zOt@296cXqRTvA)gm1CSAUGVDu#b%`fm_RlkD^>Gh!D@O(8vE9J6m|=0svow|)jblU z7bj&C%d|#ubLu>#e}(Icm~4^l;4T$UKW+u(&wssqL>%}L&vdiMIB?zd z0IZ}C{Yl-u&6)^)p}T_isL5mQGJ2UqnTHez;I4FQNYQL(7B9jRhMOF%U4q8>U|D^VSTDxjh`;_0;JPr99~+`$(#r3 z`eWi-nY_ARnQsWh+TgBxYw~`Gf^Yh?Q`*s=@6EA#(4+|Ivxi z))(QSQ52GlMqlt&x98o9&7?+N#wTdUTI*EUmxU_1gN;m1V|E7BnC+GCf6jm*J1;)F7+ zc^iq=hUYzn>-0KpE%lR)&(b?e`kpnISj^HAaB z(z}c}a|L7GJ*c5z!9}s%sPFXmWY?>j91Wdik{<2lYYS5qK~AM~V{L$&*zvCTMOohT zgX$d~ZrmSsOwmNPjw~_OD@FhTIba$KR$9m;4qX{1G69$cMPR$mu46OqT=W-`0#Y1Q z55{)(fwbu`op&Zl3r{njnPp1`6Y6yU5@FdLuymZ+$JjaOxR@?mU{`eX0^@6{LzsDg zGNEEuN%mv~MH+y^zxh~m6i7(Dt~@CsyxZMf*2`RQncY{U){ZhJQMBv}iHsnOXtADn z*0OZO4LFGKIu7RZyw8D1igsBK?N`HHOfI3)ew!ubC*{ zlQ-HSY-Pzn4#jB!f7*-3*jCdN!vvCiw|AM?c4r2Lo8ZJlr2#4xir!6>#8jJe`$3~Q zT59uaHuzKqW5cPaA<(los7_n=mfVrN z#*qkq&t;2LZRa!j4ND_6_t*b00STlKIz+CIi(=Z-eeY^B1Nq;VaJ=BJJqc~i6qx!5 zykk)TroU!X6jt`I>qJ(5RC%W|{`2okeJ?0)=tcGwm8wHILGCH?Y5ldUt&9kv{1 zGO%RN1=%bLQb0vI^lQ*4F;Xo(lZnqyRp_wfW765?3;j)NgN>pA+wH6^w=bnvccP(c z8YdlH=xo!(l)>FXD7xe{K+tW!y@y4r5e~AipSg@)JL^amP}!d#t~0&OutY0eWsdvF zbpUC()tq+(9y4YmXWrR8b?sBS{&QNi@z{A|W)f6%0RxHqGJ4jR`HfJ+n`xb5;U72sww=<%p=6Kpg&yycS`XC`!456Z}egadhzs`-7h3+Mm;#@4^_YMgkbCfUJvm$shqp=I}qDGIJz6}!swh$7}NqVKQA1M}&` zOJ4S>U=`6y!DnaiooykTp@g+LDUW(1LUJAF)pmrgB?vTvaME+kZoMW==UheXcgcsy z&`i!TOu7_|dS{i`>Ulf8DpgYzV@53i!Hm1I*82hQS|}7wZ(I6J%Mll^onJ^{KQm*} zSPVnKGX^Y|%zTg@77cCw_aAJVTi|4v3bI(ZvQmc_I&#v16#WD$@BV!v+b1P&V@Hd-&2E()P0Vt#Sy9fi{fL=9=@%Hi*RMc> zN;yW;_PTldE;WG+^UCz-{)rxT@bxP&E6FDgXi65uQAPdu)-MLsCyG|EttVxeLDR*Q z2HC_$r>nzMDVjJ8H!xAO>{0CCj!rQ~Zs+)p5lZM!@Ca>WkUGt7z)n;cI7pO$;c&Ve zg!s;cbWU*pXEPF6xH=BLbJ|In@XNgaX#D>lB!*jnx28EF*0#q$+WhY5m`mfVuu=c$ zICywX$PQV1x=dO+8TKEjg;JQ^0##34W*B_aDbj0Vc>oi#i+}ALr;!-}4LxMB(2?6Q`hnV|gn%^Fdi zV|p^JPU%rh`fU2U1bgB46OWRP5eWjNUU{w%rS!wH1=Wsc+uLgaZDWJ?A@rA}W)4=o z(^(9~)K#7uxAeGYSH@To-EYd3ulRSFhlvvxFj@CkqqPjfv}>CJ10)>plXNYTUGoP} z+r?{HW;Q`G3@T4xfmbi4S~#I(n!y-5)Y#s&B51NlGqYVSoY}WK>w@`hQ&P=k9nsT- zCY~&+A0b|!v<-L!;>A(+0 zg=hDBzV!PGd8w3DR1HyAys!>;o+GAw)s=FgvorOlakqmg2h>OpL6Zw0SaQ#R5Y61O z2E@)SDD``F`4d;VTqk>D2JqfD7f9v3AA+I<0GKX-jhOmA4+^IXfaW$RwzRuwwEp>) zle|}F>~Cl)rN8Q1yw%%(FrzcI0?YX!3q0KaJL4fF)OYtEZMTG`dXKbpr4e!DY)4ycF4*r>Uq_rxz@>jrlE zR=F?;3J#pNemFn(edSvPwLf^+qjN5aLS%j)yL`Q;amDp$YZ66g7d5cST-52M8J zF^9!o_P0C<6KGAw3A0$wzXVX-b!5Oo!8gP(TkD_X@ET{?>n)|D zvF4@ToCAFeZEdg}+VGZg4sniLUVJ14Y>7P$kqe0Gtc6ECV{|50KcAGxYdzNIrS-6RBgbU9OAT=RQ-ZcTmwGfa;8ihO^M# z?R*Q@hIkasPIis^+`&={aFZO~md1M9xx$Gs37*|7lFv+Mee18yO@V2SDHb!^mRk)y z{BO2(3G^yC)+5^Lf|I_rB-1thVcNB2G_dFZc2kORmJd0*{8gu;nSksrwg3AS(sPHv zj+Ol~Ip$XBK zkR@S~RaZ>A6gMkn5*KA%=fpqx5 zt5bvX@=qPF5H$aO|FEw7*8oQ{)#k0)xb%|0X&{^(^znywfrY?mmFo2OXJ>dOb zh6%gVjeHBJ5W=bLy*a=b(5=A2gBl2{T>34ayPd2BG(U236~{})8)Tu&StT^$8Xlvvm8ntN zSEhbc&i7HKqNbDS9eD7+FYY^i02g^}keaMSps|Mu7&ORH z;gV1EI?9Jy+S-oncK0D4MkjeEx4!B{vv3PZsv*BG672k4nj=vubK*-tAV5#E{$rkS z?6&AY4xe%ONxyRQNgQJIxwl<*LL~F>;xiO4EG+pkOrRbet@6&FxOv&3={x!0mEAu; zl89oCkqik9*0!#)Q2hNULoK;o2s=&bR=1|uSpqdh`YyPcKjLCJ{Tqi2htIZXPv;qI zo+sK(*%u0vd_&m;m|A%hj7@!N`84V54g#uBnZSOkA7!u%CbsVVA9&m9^`$F*ua$%t z5U+eK6m@i01=(R;38SGPXq|X5?}3|3+Mup3YgzDGxDxPu-8yhWOo{C38_t~gn;mXU z16cK@y<(a5jHcADJ@y7QJ{H3rW@02tw-?JN31ee^>Y~yg71)L6tFrwB+nV)|Zhrf@ z2B|;Wnf}URQMI*YKpmVgl8 zHfJ7xy11{0S6AaMkmoj@^DX6ivjqQ|r-ZSY-=!^QPtxIYksL@vIjX-t|DX~I2E<$( zUSGT71cfUy<7TS51k|4Z!LHIu*fMI{%YsL+=ztOPaG3M&cPaI!l)DGNxG7WuQLlln z%-U_dJCPlIwpwU#5}zpN+h_H@O4xj2NfLEwYunA+GQ@w-X7pf!vTfS^67ln%p`xHv?8%=`sc5-)(4L4h zT<`#)@Um^~`M`tq9vfpOa@rz_oTkeFTttR>y}fBe zj*Y)8`C$kCY}aBSvOb*Oq|(Hc*KiP}ZC-zpc98RFXAxVKC(nP!*fb7V{d(*5kG(M# zS@nkibA!nw8=qGmmfE~JHOi%>V7a9$=J`k9MEJdk_JY!;sATq5#3g^f(rBwnkZ-&< zD}fyx4i^SooVn_mZ;8t??n!hZZ0g?=U(z^4))30gZz$<5k&-8>Q)>z|T77xljX9d> z7G_`x_6mH{pRKH9+!*+IR_PB%m?q1f>DEc*Fq#RUY|WNFoaLJJ*O3)x1lR~QKotRB z_h}E_iE8Q&h?j2c9`7oXQxC82e2j@ZvKNQ{%X3$Ay>a8L3wn|I0=_dFB976duLX&~ zx|O+FWb@|-XBo?YT^nd==^TXVO&=A901nB)d8%1N`13ca=B7v@C*5T&Swv5PuT7uV zt$<*|qt?0-C%amLWvlRZ^y4=U+IlS>ntHKv*?3k%y<=q}*=7kplj*_!^4BL=mJRB# zm4y!5o7?&xM7mwaVf-%)bUVZ8@J;;d?Y6M*L+Wj*?!CD_uI>7iojr|shQHw!efWDe ziYBbZBYRou>3dwqORz`PX?s=R{o|2g)UL2IN2B>sZ&dBET52K*IDoQLIIP8XA32nND*V;Mlx$AS1LZfrf*_!KOj;9&H2dITkL!w@f+pSQc1G?5_u zzbB+lD6h#6SN!&M$Vs~F+aH#lMv8mmjnF$0S^pYHE2#1wu6Fyk&uxBE*_y|Y(3Wq2Yqp>k)v(%Dt{p^Wf^ZR;AOUfXQt{8pXVFQ7r%Vx@FLpbVlXyS8@Rn z#QWTHeSsOQkh>+oU{Nm16zkYVEjN5MBM#54Vk0Gze|D5j1^`%ixdo^;D>%J=l8TD~ zP`J05mRhmF({F&$>i+34Ezufl;6tK7`SCt!zyxq;_h%gM zrs?=Ux%_(M&epffAfyMeu2Usq$&Gx>%tna9%Q}}EIasPmRqEx{1P8+ZlV9QbhRa{` zlP2Y&hcW)|*9Gm#+b5XjYsU&wjJFGk7oHn8q=&VqbMYDKu~^?e4a4NXqF;8@QY#2x z@1U12(R(9g*fzTTC~%-0W~%ElY(e-R&9wE$K8R`bK}ia4ld0iX?sbbWgjVbV{**y? z7utwmI)C0)Y8)O1SxYCWj@H`KKkf;pxUuF`xF>@c0_i&qg;`V)r{XJt7vc_t&5Ei) zX$yH%F|UVE{aCblO6v+}l-C%U)&VbV_)9(pytJPgm?B=$k*jb+2Y4aa zpjSykL`>4GcGp_K4rPA%*{+BYPB}I@M>N(T>c;>>izPc`#m_IG2ZYuC5WkVPTUw(& zM_ZG3z0e~V5N#W-^!TY%?!@osoos8qkQ=FU4ZMW|l4-eUcZibF>zF zwE)O;CT$-%zX=SUm!lU`vtK!BwQhErA-~RfBOu(f!;9$$slXdB{^W0{#kIa$M+~go z)b~BHxg4OUDY!Qbux8ATUJ?A?$|mG4E10DKp|%1qx#d@nPkX7GbjC(MvFba|(+c95 zQDi}p5?M=8EX=!hqF5iYK3d9=?@X#Xoa;f~FIGQQ*s2W0;pAqtNBO^A0WV9dTK@8d zMma~S#^lP(T^G}{QSCH;rDw~qqiO5KdGE27yqgks3;qsLBZ)P}&7Kb034Z12fdQ@7 z(idsbxDbH@J5%vDR_M{_O5bp>0G z!puP@DQ?xN09@41S(Bn~n)6nPPS`M@=t1)})g@p5Sh^)b0SpE(*h>e;!c5BfLGrhZ z!;^2pF7b&=2>oU*{cIVCN&s&^{UlTbI=uj=-QV2)%{^J$Lca<3quct;UFP>c`pp5K ziw7Xr*xuZoq1tz999jU%EW&h|I11q-?gnJ1P2s{VHe4y|2Q7elXMB|YBIeLd1OVkW z{P#`%A1q^q-X)CR9Qv*uO_Y~>d^KU8Uiv;kQcjur2N9^TMiruHI3+B900Ry47h@+4 z@Q>k1nyTrUNY@#8!ExbWmeIXyDm*6jyn}$#kjXMx9f$3#IrnP%P1MNi6swN_8-1Ve z`&{^shQvU~hJb+cC#oms5u9DJm{da+X0u$KT}=sg|HcBDFMI0>*G1~jJ1-^WxLNHF z8{CaQZm|KpVBAD@My1)R_u{q1bQ$Z>U9-SMXNHFh9JyoI%c)I%mb~9kNT}Mbtddt1P_vSc@lSCNa1)=T% z#0|6n&=hQPsdG_*joGd8(Hyg8+@wrazV|q|FG!+{TI{2k7JHKWAMp8UYFmQ)*|DOR zbLZ)&$b5i{PAc+3F|tgh1ARs-2*bq|LM|PK#jR^&ajoW4QS=D)ba5faxCFWqQ_FT) zO@Xxfyhm&qK65=g0;t*tFg&E=N={iVxN_*&0CI=|5A9#)R2;Quoc{!U`%zE}{i?dM zbtGs$x8f%u&4gB~;cPWDSoE+T&!mrnWd==_MfCqSloqq!D~wE z2X2owIK0b0PO<$vcW`e_sjzWonHNhe)Z>*b)r)g z|Hy#40^X29qg-J^LwgYWO3_qqnx z_Aqg5&vVDQ&p9^-W3ox*X%)&K2%&EhND9=B_lsokTpL`$Uw4It4eoPtaopX7_>~v+GvTIJoYrhmA zI>T|oPS_pq9YhU*|C@`Q`#E$!Ss8F;@_M-h&Le*B@jK`CO<-=loqj#e#_$-S^+2J- zz2@FLFm-|oLt^DdEPi4__001hI1?%Ro0FBKnbr}|uDln=yEy(X(sznNdXMmmdB#%` zol#U9O#%dz|MB+s3uQ+nh1U#?Cbg3 z6hxC33V>Vp+F3BRE8~4v)xMn46k@Lr=9rXE)QZ^B+U0>i&&nokI znV`9!x7~wS60u-C364giBl_pQk$XPSqX5R+q-D0HT#vwvMe2;A(4@WiFfm8B9@(l{ z_ZAgS?h-QA-tPVonu7pDE9{UliH2aEe75*JdwCAcHi2ttb5=gCycvRGz?{;y)S8#7v{OOom7=o&SV;f_IVI>S3Rqp zQ_v!$c=;6-okbM!IlMcKnbU3y+8dc>vrjx1HuUBgM=7EcoQhkrR6vd%FnMBt-B}Eg z;#$ga+gckk2n`N6!+lHx=)evCGawD2zr_LXQJRYQt1kz=d@1#zWDy`KcjYjz9x@NcnDxLO$s7$hl5|+NrV3&d-|Q9X9>TLv?YTD(dU9_t4(e)0R`?XD z%dq}a*!5r1CS2=n@GC6Pk*{%z71cYan%<78-kp(fA6pqnl(N-Sveo2Ro*v`$nXL~4 zyD#isFyP#|sA_}B*JzQ46l=6i@4yhImN5q0HL(WYkW0H>>|56xoN(eJLj`Q)(W@N1 z7(G)>4l#`0DKkar70$`k7cp)fmpupo ztSDj|@B#-jCuctOzD5Q=e!lZ+wnfDQmgnL+P)|xRY;CNZ01e!kwv8MPPv<4bT(^;n zT`}#P4xHu~GA19iu2 zwu#JPiQzi%UFPPW3 z12Ca=qv4#wCT~I<ttQN?^GaD z9De3^`Gr46nf|NN-*|&yGZxSy`@W3aO7iH-wh!qL-uc#}ma$JSv77=KJ2DXd{U7-? zhR(oz`WI5T&L{1>$!btRBG@)mXrc$~Xs&ws4i4?C=be5T8y>O`-sTq2&vWn-(6gwx z339+!-2O9zX*jOg)s@U(6X20b6r?ksQ z+}TSuN?_^ExAgb&BQ^U?7l4qoMptYBf{v%YPDfwLK(*~>@68}M|C|1)R@o>1y>CkV z%0xjfa<-SfD$C5Z{Nmi)cPWwFXIHmiQ+t4sam!3S)l*0Js7_16`AC<{T}SudyzJ~E z=Q3r$2J8j?&Wsv5262VQ6mK6p6Uj4$S?5v6uOA1Gsr;IcZ#l|b`ON%j{vy0s`oD6B zFpk{;*kqnPf>bUCh2FDod|2dBNkXY-2@N%p3e?bS3D}cyzxPNNOh$t4M{Hz+!S(7Xgr<%8Z0f{Yvy@xy zrah-voLeLh?0yuSl~uUIu6MG?*f?vu9P&v8Qjs(A$eRrhgT3iM;l$18OP-qgK3AK6 z7T}ct3TAv4P#hYyaJ2FPVCHy|%do4Fm61ANeYCrTsalwa+i^Zv|-sbh=>omEhMg8@P?Ng0~xe2^GWET#4pG zsWI1qRT@<+!SqUFb6(a;^}TP=8iiKy_YI!AeTq*6fx?wWHI9>`^Xfa}lK z;~oc;NBb;1y07})F<;a-8~?1gt-D(mbe-eQrCu(hv%Y9A(L2#hjW5sUjBXnqamL33e$xhQz#oD0b#`Y6UuoIu}8DlNh<~w?9k6VnfrNfU3 zVK%3X2v0JI;7Vbx*MyBgBNRKZl|3!J*@vvKuZt(bSHni3U4O!p#~Vd*zzq5m6-Hi$*W zR=Qz06`q3MPBnDtnzxf0(4h)531;VWgh$|oMQDE`mMc*OV|+cQvudx4|%K|)iUe}~q;Jx$Pab(VZ5ZjoCd6;op>5ETX$;N_R^ zYFl|??~-e9*~6ncCcC-Qtu4m`54?f4%+>wNWa79to~d}&xB>Ts;8nA$>SuEN-k!`k zatEC&Dgr%JA=4*tm z70J#=>3MbBBVAA4xAlC_7SS0-tVZ#Rmat>~*S6s;y3V3hz{Tvt(Rw|^yWh0eJ6(n= z{1GBU)+^M`QG>kCT|Ajft79lcuI`)`D2<#!gAZHLkDkS=;HXv$raG*&TMEhXZJI>d zLTtu>2ABCx{oDTprlN%z!rCkPjwji{y`mI&S*rXK3U{U#D4ma;ZE&LzTD?01&R=TT=Mm!0*c?RRVmqw^X8Y+6MsO+k; zS}Vv9UmNyg(=WCUxqLJBBP9K!?C0%Ow4-p{crkNl?Nt8|Q$@U~35$#CZ&4uX1Vh1y zfxHE!A7m?Iz8@iYFcb(P$3;fWmK&}d`@Z=PgPSa0sc)@J*a@d}TF@g(f|x!Qm4K!%NCjrOL^G<1C4ooxv$ z+64T0cO@abqo(IS>}CWHOHsn42B_SX6vvN#C`^N3q4eA>U<>9{^4<%qcR z)=uz}3Z4x7vZ5Tz(jek`^@hQw5J6y z1X}Y?1Iflv@nP0g8Mt=2g6wC^5}KPEvu30t{X`8_;y;J(D_Jhf-YfabDEw0TFsJv{ z?QR||w*hfo=``F}zF*s`#xps>r@STZ?+f<=?Z&+qqKd?90fehZCOZSOJ4 zq5YcL@P)Kc!`O@Z4y%3qTRg~wh}SJ5Z?<-F@XLd72vSjb_4YK-D#_Dh(uTS~69j-y zy^R5x_|#r$2FsN=y^V+}^_=sr_|u*gYB$L75EEbV=6tesV1;DV4fwNVGV~=CAJnI1 zjP`#fQ|Zrz%qMoSrwPD$VYsnZYn0%{CzkWyUY=&ffy zGx>dgIMZk;LwCnO7} zp*vm^4q$n)hp74k=16!V-fIL3xj&U@8FzDZX5fZkADMn>?En#UxbN$$#Vi(SUa2f(%od@iOK zk9I{U&2i*YydSQL&ju+6^1|5^nwYQjnl9^3_?doA$(1utC-?;N0?=u4f7w?J4mRrX zwsRp;(~!DbRTRisvAfpTCmxyih?Lr7(GSAs#vW<66noh1JrTDD z%X&auPsVvM_}LwmpSt`_Dw(+3`x|_92Qz8<*IUf_X@J2%6M&cE!?QTd8WR#)waYBNF}9>$FeX%KR+8jh&70`c zQ?P}?_^9gdO~(lT>%~WYUxnczYR}g-{+_RaGhQ$7#jDR9FCbApLThF^Id)k9L9<1% za{hbQX|0iGsS=!QO+GEE+9LB;;0c1`nrIAjFJyYK` zhRtDq_;Y}JKUnyWqTk|^^J*Mu;FCZ4gGZB4zlL5f<<5ehnUpn*Or+@j51=~Yz~@;agnxi!l$gwS>*#*goKf~A-8!z=!NrD+9v(;cc%=kvmFScf zq-N$-+g~5rMNNMGnvW*nZVbICdWd^a`J;1+6TkTj`FR<3YrG{BI8hBZbshtp%XyFe zHi!v@NO!He=QQu~%|mch=k(cu?0I8_kk^U%4aW)QjDPx0>qJu-BWWzGqpp&i7AMb5 ze61bhCULSC$J1gj9Nm7kE{B*Kf)En8= z8?me4S{hzi0d(~If0fUl@_zLD_d44B$)$YvCnAcre?ZYBMA0R55O0s$S5Ns7xK8H> z>H@&KX0{GKm`U)r#DtAUXbSmF(O7|0w!YcH?nWh|I!@;YN{81p4eUP;iYYKikN%>8 zcE1LD5NovEOt_fCaKTTxM|-pcv>V1?$cV;rnKNNRcBSZInD60fn}hPf;zGYJ4&Ngw zNsvZc^NG~8+tbaJGu;HnFf?-V1F@D%@!JXNoVKMbVEe36YOy<-(jPtE!HUvshbxzC zzZY3Gm8X~9k3)zl1TULo8%%X2GW*u8%UOda(*y@anO1R35tJyJ(`ylkb7IPrMXqA zwcJ-Y#x(bq;XKaz?=lL{CoVO&oZHJ^CG-(?&k_!w%TaS?-o8U1Uo8zR%evNMY?$6D z=Pl8;@Q^vbv_C3EKlmQ0{XoH8|E)rzhp3h3vz(&JseWzxM#R<)$}Z-N;>%$COBz+w zdcCmjG}tVA9eGNQsH`#<$|x2|HL^@7aFE%aS|cenC)E+6@qG4f!A4W*{@&J`@)?RD zCPRY2QQb74Kd*l)U@?!P{8R*ERvzypGqI0)#n&TQ{!0 zYy?v2rk|Y&f>n2WOLSH8s}vkNMB2Wlj>KC;4a{6L*fomvISV4 z?g*QOzXyJ$7hI#Bfih5HyhuSaPlZ*U?E%14Y z?`J~q{@_rz+h|Dzruq#vmz2v*)%q5Rl?Aa+E0-&Le)E3t+pOi;s?J4ZT?jlJ)hoEf zJBpo4(w(nuu7EwyZoR&RySCyg`_Ce`N>C&;f^>c=!y5>H*Xs`%1>OQ|W`87lytH27 zB-?OJ|4(Laz7r=oe!Q!IR$bM;_dyOe`B|F=Z()HGbV@_a=3r@1_BGROq|@x@GnghI7vpUXgZ> zG*|1O-m-MzI$Vrh_=LGaKZzG90tN`(nL%m)+-SxBhd2WpG+M3WY}8b>iA{U4Xy+9*M{|Y^%*^oEp;@j>up5t(c{qRVf?stB%9bh)rQPAMSYWf47|*a3#|R z-CgBjqyQ#5BFUxP2z~KU$G|f`vp1o;2_wVeE6(sMgD1zUc_kJ}!e%2sm9F5k1VWyp zx{qx>L6e2FjU{Lyt`qKHze-)`*kskBmwZMwjP@{M6W`d85K22{{RogwtW3$!cQv~~ z#bZIBrN@{1Pu-2$iNCfK7@_)=0L%1awexH*qVGHDnyw+@L|?PO4gMJL6Xgyanc2m+ zh~Oy@YT=ep$Qfw*4l_JJr~rmcChjFpoJnHI%NXLbBv52p8{ybawNg_+ z!{`{_*FcBg-y!BK^SBlo0|Cut}2*IAyI?8ZU&YynI81AYKajxc5z<1&_+|W>; z{qJEqL+iS%zj;i4s13~AkJEnakZ~h#GOAd#E);^!*3e8ETGRTec3(AU65Bzy5n`>= z$&;~Ns;Bf8@6>YhTZHrjb-K$R&P-eygmgY-i$0yZ_MuV!@|2qM%{#M5OVt^XEAv3`08I3#lHlGr`_)g3-6z|X{M@)<$BxHNAocA)x`04bom?k4Qz}3Tk|h&eURLg z=hVr%LU!64&8m=ER!>g1$~aeC+^7xk7M(1Xj;gOsdKy5ou-=1IPJAOgS zmT(2vPFVkrjp=7_#!|#Z_{%0IQ4?%tUQ-LoTs68|6EutK#pWomNeowIqW|@bkJEP8 z-UciXRrbrT6-`#x4CSz69e?+8um*7@0a;(9%Fn(Z!wir4__sgEjT(Qfki6yA@6MC6 zPHw_W8S80Ojtlxb`Vr1eZQ^AgKp0dT%3hW8?QbS$$*@u5y+Ck9wbrCt`A2MGuB|88 zZnr29vu(&E`=$I{865fTxQ*51{H=mC#3Z4L(kf&{87|2eE#W8wdl8W%AI>*krSJt4 zvK>SbvYn3<-ixFTEn1;%iIVfr{kwTR@~$L3E=~O4$xOX3(~WRi`m+2%{V6YSdbG*6 zbJQ=IJ>EC1_(?(B(ddVFkFrC}cKl`2hJP_^Xt>{t9u;M;h$$H=AM1^TQj-1PP?2qh1__d+FEE#4>~{QztQ>9wuuyjY!0<8A^KsI>KDV(L4OvKbD} zTd{JxbXd8=HFHn1FTufo)U1mZ3)5S@`IVn`u*iTKPvx)P*nEt-LEGml<|a&+5VTdT+~+*HqOyetVQ>skfVyyn!yNY9|9ftG%u=)+7^D zIVW#*p?*MbnZxP{{-|`a>lExxvZszg~EmC+^0R8u~nz=dd+T)pOs-`JX#E z*^66PBS&RrXdRb?KYzVjd|s$1aQX*31=*j@3sbj9BxeQMY}!UlEdZ$}V7^-}Rt!9O z`g?c4R28TNdjZ2(yu8v`X1b6s>^Hcknb|1-WJ7=4qpk}m(5#Nv@5jM@f;Ix%Z)tm{ z1Z&EIJ*r<>{(uOQVzc5k_iF5L{YdWlY-RTstyl{ECsQdhkRE_#IU`ef0I|RYvQpb% z7rdShU;NzDoZky-$TtUmg?Qhs>ZY5*bFE5cRUevkT_W$Tl$u}Ha6$=e_o!Zy%^auK zr(5+OKa>b$;vYFJC$=tRRK1E;ZI=VpKHcT1x29=-yc1Y#6M;jPZ$nwE^@8`jGKzbm z=;TxGjiEab7YJ$zvax;9kxL#w*oYPVN{=7Xs^e4b^M&osp5SPfAQolf{P#pPZZ%?$ zj9Ix$y^e(U49jVmuOj2k%-6E!^nh0|rwC?XZ1RZ9aUp@k87a8!VY$l`TOYb)wdZZV zR(f(J0vkV>7zKg=o&(iP9=9ZR=OY9%;zsos=AL<|aJ8Ok6u7?3>j=3AVKG*2o*yCK zX~jo*ge*ImV9GT`VhT*^7Av#?i)M&UrjDZGl5;PNZVL8}p1Oc0iLl|U_yv2nO!u_N`4_hcHqX2{D=)BcF9H#Dm8Q#1_8_k-pg?s=%v16K@kneEOc zOZ^0d(s;C?;w`)>Zfy5q22o=;?oW%1U90?M0eR3klOlqOJHKHW$#j(fD;wyknHdil z@;IP%eZy6(et(JQs~Uhc)oEMWLG&NB@efV0yT@5Al^)+8QN|*6 zIaQWbX7UPntl2Ok%-NM8dUgI9m1f@|jeyAcP||T)K%lp$`X(?fwGnq)!9fGnB>aLi1;bhq`}Ui3{F~>pfy6}95bhYaA`-?GwMZ8f%__`5|~Q6Bp-lH zP5zgWx2sv@W{a1!r4Wt#@^LYC`JtRu4b;f5gO-?Zxo-zx)u3vtj(+ITwEhd@>F_~H z3aM+rbRMih@j|3MCqkd(gkQloKd))xlLd{xOgwMusw@ppuC)g>O?{#H@QFAb>U6^> z_!jv;7bVS8TH$^YakqXY2MRE1Chcm;9T^$xbnvheeTqNOSv#CuUKL97HdlSr8C3xKxrBxSwb%0R;;HM&1k9<>elc>yg((rW?z9$*(TO?VW~iZJzt3Av7A=o?ByPoL(OUO zRId9hWG2ZnX~VM}>$S(rn`u3N6jQ#xsd3ETZG`UTB=w=9#bleQ?S z$H^Gqe)MPYD71HRsGPxc1J%DTHGQAL#PYtWz&%AxB<8V6^2z+rPE5*tzIp**>)`pm zP06~ypMH=#>X<~;_q|cmgp>`qQL$woePcl@=~;OH1}@FbeY+t1t-(|M78eG zc)&x%g!k`fVmiLgT~~EB#nNBZI$*QbYbq~!swhuP#ugW=ci>GA3}oP}?S`Gp9~Y{z zDCpYF9NOw5xku@bnvbu<~5sVq946 zJM`PE68pz)^zp`D*uVWxBa2Tve95mMQ_qx)p!~_Ne+yDt^he0i9Qzzip^_rOE=6k^ z%?@7^tbF!no6r+y`WpC`1;BIzU{?do6wYpmGYj=SgMNion0`HGA^nA% z?J1e;$U8*~E5yfj1&~;~`nHnvhCBEFqw#|g<;3S6G zh&%^%S8GX|e--aSFynu2N7x2>I(`W2vJu3n0?iH0zcD3?Ba$Ce0Y3Vy6{ZK5Gn<0N zERDdd;A{#qf-$}S%VvDqi4W8gcvOw&1j)?aTj|HE5ug3hej;o4$T$})yA4#_J|l~lbSJf zfu(Z+u$ZnR%W9;yJI6c0TBh@ot7X9!^@zCSbcgMK(CHEGh5XwmZaGh7l6q9NkM>Jw ze-{~?GAQDG5+D#jFqscZkWSsFhy#x+=^k&8dW;12I(P6j!bi`he`z!OFQF=6qiaqW61hz01Ov{r z$0m;4%5BkcCZK8KlCmv@_icO$RZX9QIpy+5R~rm!Q+_LruGfc!sRL%^^wX z>wn$`nprkM5q9_7GQKZnwftp+URH?vFS`rR?hazW(pbaH*j3f2`mu zY;F7iEQ<%Y6>+qUFjn-ka*e&Hd>2RD5`#t9#MQ&L9OPI@&&Cw*kk zH8RJxD)|~4>M4GQ4MZ=k_k7ZGvC~#X*V~s*+#SjS)T5)p020&NeJ)+cFMHdr{9TCc zbajik&sO(vTYFGD#?spW75J8(v487I>$1M=` zCs=!}m~w;~-oT(a)*Jdjt3=h0I*Fh{b0jybE=pY@{Mg>9WidBv4$}W_^cf$fEvMFK zIH_w08cha1HFI266 zl6R6apS>fJ7-p?pOd{_Nr5(w`TUddWuN@0+QPZIAJLeuoAHOZ&Nu3I~Sit&~aiD_W z<0_W8YI;>Ms;tGhugGWioWs8Vqa*rFFM6&c`+eED%~g~&?B&AF@SBL+kWo8?8Xr^4 zjlAc-@a!_+E9sjSaV=UM?>L!`oh0#3@fIj!@`|(xhE4YKGa81`uW8Rzi7J}pio6Ss z4l4Q7VQ2WCy!mW|dVardQeu^JwioX^n$ti22|}}Nj-}B$m=;)xDtkq?XypJ!XJm;| zweO!$Y3XSnbhL(YQZWOo=15|%>NOob?Poj|9l*ttsvR^~E}T>gCXZaP;0pxm{^lyv z&kGc5+sG>2n}m3)&(Yi|EL~U6MmyLV-h(}zTppfAMWc2?<;&hOL#c{i%f7KnjbU?m z`uJ(dE4GT86hd}2MUP7q-_+kSvxH_^L^6bqQijUUL{BjjtE7B=v|J@@FA~o+kfmNT zj&nQab9JAFkI&QE2XR?{4BDUOcdVh|)}e-2V1KE`qz#KE2jeUoh)!|HE`c9C=l#rxxfY)h((q|28cW4KbfGMdPZt{&4&-78iab-SReL=lg29Nw z36c~d-KkFVL2cA)kqxTMsVllbp1>>Tx_-Nj*k9kR#tcim!O}D$|4H_XmU<&`>1sEx zgJ7Uf{V2@h)FGIi0u0+LV8VRb>u7fbKanmVY4K{Sd-$&KVZ}rz#CiHnYx#g^BH4St zvmYGVH_nuT;0TnGFCx1)wKcSH${hQ@oWCn`)NUx5x}?6~y1?Yu;z_%*s|;I;@rHj> zz%`mcsf6W`_ByDTnM4jbur^2(dkIzsfvR zriBxUVBk$+B_-$jNa<#MJLMC*f(xb{7cx?C3_wOX> zqnKd-_^RZZj`v#J9o6n}EAN7{){w*^DVO*{2skgPhb}WgYm_W)R)4Bdt9}mSwOOf- z8SsvH?!&yI1#w@~@&AkUQMi!rRpe`pDbMPq1 z>N;uU2QP|#pd(ajduYdXQ<90yI?MAx)R>ehJ#r;6a_>Gi^ zqxRE*lyvh)f`M0KrRA%I)1D1)Zb_Si-?RWG!^x?%)+mBFi$*HPUj(|oGe_HfyJT?o#()~+>KTlJZNDw25TD?fix5+W0vsmC^ zMtNO{fnYvZj>-Ll7;V2t$|y37{_haNKx7*-a{H@7&%cMzW@=HXcge`+$0W3&N?r7Z zGHxe`2R5Nsb5as$Ozbhd$73U68*FjAq7~oblRGV;-KvJ6KBThOM(9ntd7_?Wq=x!r zdA@@6^&HaaXqSn{&t#OFIw8zBAID{9=(QVZtS)vKiT3P&t6nLFOPa*>>dQ(DtUd(6 z%S{_{cV-(3Z5|etOh^f1I-r!7K z;%RvHI(-y+&?QOieVwJUk_`>$5%2e9&>Au{J2*Ie*7@%r9^e#icxcu$zsNfYI(Pb+RRMN zqO2R20tdK>!s3e>E7z?AqY*e+`lMWzWE}Pj5JF6vjW5Of&3xy2iTQr zf9Z9ySDVLv1i>?EB~HUC(Y=A5l&D6AW} z-ZG;F3EJ;|N;w*=4hd4zv7Fu65~^aO;v&~=;;L(5!5HTm+5frY8k;tK7yKJ9f!a0F zsbmJ7D~1^T`1H&R6ObSf-|ldd!ZW(PJpPJi@&*|N$D8+79%YDHL|=;%Bct8fhbp~m z;_4o>RWRIf=}rvkl@436O0KznAxCUYuR?yj5^3Wbk`t6WWZX;SU^ho=$y+|hxjHVL z%zE}&%Y;c6tMq7{l3IrIf&)Rj0e;oA?TDdG`PEHN$VcaopI1zMCmE!!e`|(3{zfXx zv~qwBa)hjLRlK=$cAct7S=;~EHinuQL%WbkwBtka1oA@`Znm4$g0W&0^fNZ0uc)m{ zp1VCgoK%79PzlD|FFklWn6QNX3bDMcN!#(-hJ?+F73pi-{|{3FMzqs?$V+ut)mp6T z`;otVFdVz{jBtf2S*+NVSn2NrM?)>27Q6T3GGc-#}liCAwUIPztg_`4(^cxTCtR$FkF2&tX4yR;^H8lIfCM z4_&zTR{TRY*m81h)R5>a{=&}bE|l2Ne%WU@Zc>!0TIWFVKu`xlZR1^qxEXlY08L(t z28lR)J#P}B7!n+-|J~yHieIPS#wO`*k@}w5noqgTHQm4`r3VWS!&>hUUg6}@wj1f| z>NB0ppVlxPV6>qo5fqXtbR1K`G$;y+Y2Z`g=U!8+)$y{UG|JNKJ5jAe^Q~Cvt%QMA zWqp<64s5!cgO0bM`RY^dBFQxPbKlhv&K%?KL@l_m`{vs|AVmi4wzIZco6M}>zZH%Q z{kTKlUG)h|j^S_fiCrFRl){>ZL=-KgEBm+Ga#xLGZDPM>iGeC6&ZGBJ(p9Cwd<(K` zg7B+0D9OsTU`N#LV0~BZeL;|@=vqv!1gj3xR3Nu=$Mex#%?JMFed{&y5?Pp0G%i@G6-7dF&W2l#R& zcMH>Ibpm441o99Fvc7lDbtHP>u-$>#kWdhyJi*_>-Pb}*IA(i5O(=Qxw>eK0ON|9M zp|6#a-tscXjc(g1-oq}J`Rs+BSLL`* zZsIXXY|5S7G440_+FtnvdZ3`>hE_2WPe2eSluSTeRg#w&c>Vw)SDxg+7`~n6Krbg- z15*sSw7C+3iuaRL?@3BVxDT|H$7`>^V4{o#+S(vz&d~a;PSWa0ffsZ$Hf0OSx17!# z*ZmuQ%dTpH;)ch7+~vm!K`e5Y33CdM7)dp5D+d>E@p#yC-;RBJ6n?T7U*Sjf41sa| zyqb8yWZUlw6LR~$zy&)p#x>_}Ri7`$b`v`jKrfYSihqh&xzMyiFQ%l?S$nVQbEXPu zX)8z2d~s*Croe(r6q-B0d`tSO|OV2@wC+;$Z;ut1?FJm@F&68~278agU3fsf{^&d72wwvC|1U<_#L9X$;0inC9 z2cBU?35ns=eN7qtsk9to)qThUje+4P*fX2hI9Ze2+qeEgCu5g|{VwH*F$%gp1-8giv-1$E=pIf%x9frx?<{RnB&N4Q81eJ{Ch7%-v0sz3R4ps5Ts9|b~N%Mi-O$- z?GVXaPMZ4`AdyPR5Y)A;LP;FECcrwDCnQ<~_|tG`ai{0yY%Q9H|LI$?X;b0x`a;Uv zkMh0eypPuVW>Ft$Iw4Q`BFx9yCd=(8bY*#I)E61RR+3!hF>zlUG7{h>$cn-r=n!l;0d}@ zYEHL~voxC9dv_?{cT2<5RpXok^={TqDxM;V) zp?nn7!xuG@-HPq9gTf{UGpXq6EO#aGF)@(Rz07&#mWY0kBh*|f=JOKUI~(fk#Ync) z!G*77(yFX^p{uq*6q=s+&;<*g*)Ny!j36l)n*LUXZwm5Eo={Z?c$X43N_4oAKPjc& zC%|0|IZ4m|xY9j$gtld(>>gpDCDS&vS8k3rc_b)HF!=njpc}QIFHJM`H%S@H?i=|XPBaS}TabFQqHS`J(?Nw}(XyN=P`k^?(PdpQRYW0Tu| zooJu~z~?;Qt@Lv-cS;Y6CT7Szj^q2Uw+J7@Ll1aucA#~|aQE@5bYbVQ9kSobzFvj! zG%s^`SEO{yE=h)?8M&xknOfc}GABldog1)&CmSB!f@UeSiJZU7FmkJs8x0j=jC7;UTF*# z7v5-w1uMY%kRz5ACFL^ZNl;tF!-9h%@Q$fFeq=dZhh|dWQPN$Aqjp&hp`D7)nR*Bv zHB||5XD`jMyUavHF7nY}!~ed;@0;46+LUz6RTtDEEGJo_CmJ`Arkh;}sO=@w$v`Qtt9AXRUSX=$-bmAe5%b|6RL!cOH~QBu zs@L$4(`8IlPXgB*>~z4Zjr!$WZd|w}L)*wUVFitUP$eDdV;Q`Vl9@I0@6} z*np@SNr%tWtiBf>u$3Z{6)J1dZd6xgTX0Jk%_i0bW(*eCQr`;wKZ>9Cs?<0aX0Dc& z`%WyUP=ow~PPFva8MY6$=u3Izv>G>zu>T?AEMw27y{q_fgxSC5 zQ}R$~o?tu;?+i!txYXV*7SwH(<+r=I{>G9 zX16re>a8HQQHFOrt|~Vs2W{2h!o2hjsoC>|8lxlcVs&4fl?u1|&CHkfO^08PO!}TT z)A}Vf9fX*2Oy`@VpjoGio-cFS(i*xIAsTjFTiEojWBx#Ig&u%I6ogW`fwLDQbW2y( zKTFK5L9Sva(#cLw-Q=rRFVeH{a4xbaZy`Q6XP_8Hec!6;gE)y~-2_(Z$~AnmD0hII zMXlY}TZV-fHOpy(C=AbusoIEJ(WxW{$<8wj_N?43U)HAM1>^K~*q|P~^|OP=*xtPm zv468cogRov9bBI<{f<*Xf9eUfXcIV~(-Jto(mV96bT52rN>%uY_1lW&FmYtQMq_V0)_wkRKri2S z`3KR`x|h0r?ajt)YEd3aA8T}v)jvqnl&i;rE6gLiR6j9G5Y8Sy60av;^Ysu5dPAaokUAV8x#@UKit@3Jd)Yp)uDUb z#muVVRBdz0Vq@7NG2+yNWu=Ww1qb2Hi>d%x3ITPKXNWa0plFk0QJCSN79d>mNvE;F_n@Io7 zxBFsJE>9dMENfDscp-|N1SF+rdz&AEvoOcv(Cy1Pp08|9(hY(i=|O()JG;nVbEqkV zoM6Wo*tqCQbC6dwZbz_L{R|fFCv_;C@5)MLC(#8F6y1Z}nO>Oi9qTV@VI*J+bWjf_ zo|`XyJ#TVj2q4Q*F2xb4zjJD_bg!m9D1RH#vuM0qPf8X^^|6_0fWK#wh&bS^TMDIH z+KzyUBXd)vKmjJvqY}eT(?+P&bCR!6sw_V;B)_G^M9%Xl7oJw=vHA|XHT>lHzo{Pm#a_^-5O*RJI)xf;pMGI!dOIbm&B!eAH@%Lw-?XKJ!2(LC z>jc*M3I?j9y;8C2y;*tACkI@+7LU)mkHm*C=uq*_BsC!jG5G*rBDUg9Zmb%n5@tHj zBW#ui_Djp{0-3wdocVF~I?7DSy4~tWBFQlLJrO{qKOTTh=}HSlmm-fG1ZcQXVaBeFJ6``54Q( zOHo``AOS3Ozdu^mg^r31QL_EGr}|5R!FNjrTw&sD7nGc?cG5>Eu#@%+h$ZZfG91{A zOzG7obQbk#fiV2qCN$mRUQ5xt2DS1vx=-CEsjC$Pg9u7+VbEElwY0fctAvJoKwotS z^nV^A<8Ms1%&kghI05`O!P_cr0)5l+`zcTTa*(uRn7}eF%jnTrTZw{ImIh&F6hqq= zS0#+)C1fzrzn=%UHJNGNjLvji8LVX&^yo9bXUVNGw%x~jY7^f<_{GhA^qm_-d%^|< z8y=l}9P+8yFe8Yru9ZYR>4Ko_g+bL`p3bb~WSLC^Wcgz6cl;}`z*@LC$cKMlJ;^OB zO2sGE!qh^}F_dJK=~A0DUjii_o0Q%_w$!DPNvfG+jgtK&j(tZ_TpBKk&BHxO4TvD= zcb2{fXmzVYt$CtHppcYc&~R?k(kXwWp)f_w?zgjjafE6KiVw_>bBw*Yuc^FKO^ZtlOqu{VOFMhFb>7^%0oIYnDA#Xublhz zLGlht`t8SqZneeu_chUuoJ{%-;SS2p7Elm~8Y(hIs$xrH+Em`-zP2NLNCTJ0+rH1a z8S7M^u(G0K!v@SAY>s!xQ{7-eGuV5wxWP5$<3bu6I>$8#RMyKI&^>jHq)gxU(pyk& z)9)HCbt0~IB1jk6FnR zdQZ*nz9cQYs0hz4Ox8a?`4L?+yp-dqF*|Q|KT9__b(=!7cTv0@gJh_}ESSMtHbp9$ z=*;e(r|;M!^c!A?>I<~?(0ti#U{9ndxcaiY+g95!XS1hMDPi@ZRui=QeV0N^!utr+ z5nQs?A$Iq+c7uz*tEuw0C+gxEnzJq`TR|=%#xz~#W~ch9+V**eLQG9l#QaeRzI@>o?Y$%OW( zpr;Vz$t_;=D)%2bR8!kn22f1VKTNlMw_mXA?Bu42h-KFkHZikQku>o3oBkZ3*vJi3 zhk!H4WcQ$aC3Y4rCfu$QlB inst7.IN0 +E1 => inst.IN1 +E1 => inst1.IN1 +E1 => inst3.IN1 +E1 => inst2.IN1 +X1 => inst5.IN0 +X1 => inst3.IN2 +X1 => inst2.IN2 +X0 => inst4.IN0 +X0 => inst1.IN3 +X0 => inst3.IN3 +Y2 <= inst1.DB_MAX_OUTPUT_PORT_TYPE +Y1 <= inst3.DB_MAX_OUTPUT_PORT_TYPE +Y0 <= inst2.DB_MAX_OUTPUT_PORT_TYPE + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hif b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.hif new file mode 100644 index 0000000000000000000000000000000000000000..60eb1a359eb374313f9da6348eb0687401108b6a GIT binary patch literal 334 zcmV-U0kQt94*>uG0001ZoOMuLPlGTNeQ)A_XtEc501Gl;kDKgE8JkXdZA!VgCQxQ6 zOU&kfw`?SusOfFmd(OQl_uQwJDcv@BqeKNL1=WaJVwc#C?chl(${YtS!H#ol!X@Ur zeZaBMfTy|8rWQFNasqNk(0VrezDXamly-Rx2Pj=c`jb9ySJbfS;_?z?S$M0>C`LO| zOnu-D)4K*+R>w?b{wIFvNEcDu(*l0B{3Zq%q!#)EMZjI^nY_`pVsC)*>}0Sy2Ngk8 z+t=jA=Cb$?9$?NIph7kv8?%N3bW(MzIM70r(Cgb-UZ5}thCZ+?D|k5a10RN-SBxB= zco6c85#;)&Sx5~^vXa*OZmal+Ch_|Ikw(+)a-Ps+yjmu6G=6n+a literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html new file mode 100644 index 0000000..fbc5ab5 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..b1e0351ce2ab31c3ecd3283fda874015182d74c6 GIT binary patch literal 407 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=ARWQ!+_vJoh!1Gp5t7*Qw|6g4`7sm_T z_6j$Qn3ZK?%WkZ{ULvdf=&Zxz*HW7=({Q~pX+XWjpa+}INf9|$`=ZcX)^wIS#^#RwbmfsAi zUBzq=wDjlB58M0HuWvc}WL4{yM;WVMhS>kiQuM8MX%7r|lpS?+b*AX<$$g2c(ktGr z4f?j|N&b{CK$rjjU%{A^n2^$ukdSaf|L;P+B@#0lW=MB5$IN;3ckZ5;xy~~r%ou*& zw)}S{ndOp#4#!mf!jeygt*esKCNOj@d;h;o)Wh%t^F{%IW}n6tMPCcQmVV_RZDEB)IC-C_d;3KNXZo}N8(x+i;}$lHne|1VtM zZS&+^`A(5VraCGAb@@Cl^ZoqTxyR05Pw#0#_rw_!r*F%(eLiI(@3S5WM)s{`CzJc* z?)p3tc>H;S|5pLECkqXWY+Dvqoap`_@ap553%N%IAMDyTJAbOo zDz?=1EvuhqXQ9j;sy*8(Yx(Qe-Kxj*PrFWc>Py>PcxD%$LL1L|w#PGd%TJ5;H|$Pq zkE}b!dEo5(2@#B|*skgCpY-L%OUGxy-~ZMfa}2e6A|dmsE&rz~=YBCErl5F}@M^V3 z?UieHU6dDy2L|u|{}qf$sR=0=2|%zhTJSu_l9>$=k{xrIY97!1xw literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.cdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..26ca2645fc867c378908dc379186c4dd516ec1c3 GIT binary patch literal 2881 zcmeHJ_fr!J5)LY7LFrY3A|h};K``(F5`q*_DF*3MREo4fXrV}vla5GyDoBYCdWfL~ z12I4-0%0ByLujE&6A}qUgn+bgyz{@fadzkXzS-H`A9i+URvZ8TR0{ANA^-qCG|fY{ zdmJ3%6M&Gvrmm);rXg<_>f`4j55A@@uc2}E(66Z+~n0)fA{KbO@LSsIs_BN z@wK(}n)@hx%hm-o=L@8Ft{DRDXB`6QSG%4}*x4=SulMlUA2@A(nHuVx`EIuMW1!OY z;g+vz6>qul&SxS>OV;OM?>nMa6ucA>zw&pGhT%SIc5jSIbqYW<1uc(Je^y2Ay8s#`#qor*cuLjp z;O~L_UWWhkLon2dfuQg~O`z*xb6f=!c|B@*H-r_@2=|)xy~M){7Kc(Av>_1LSUS@_ zlCuJ)F)BFm?1%V`Z&j6DLv4(CX|ur0}5u2b4pc)$s}{lFzGVLLBeEpqn*wTGd?W%HCZtQdcUGs#z49{e2s zLPLXBfCM~jR;*p_<}Hd{p4l5&`&qVIT_ZWBqr@?(d10tq{2U;?ALbkb7RoBHeuaPS)TH8&Q$-ZR26X{_X3w;yj_D3PO_O*Sddr1Y?(B_b0DoJ2-^Y7$wtD%?xcx3ZTyWJ|G*Zy;N96fx$ znKc0Mg>}rV`=5tHX@$y*yV?&1Js9FGt{EKvJrSek^kRtUsh$qROF_lqMTfZ6!&byEH9I(JJZ5W=zWqH2!!2>yUK5yMCEch5TJE%1;PyYrS!e*>@ zjL1)ONTO9(%((DAW~YxcDLIL6{!1LV2Mm`wE-mPs%@al2fJLOcQeu9$Kh z7B|}lmNXVVuPpT3OT?gDN)MlsrJ%Ay(-ccTd2%~@GG}V*AXay32~{loTt30DMXCLQ zw66TW0TFd!<23BqjZhh4(msS)}|Je-3=qxVy!D?HPyQ&^_E_Z ze=$@0SQGs}4*cR3mFsUc-jhb6uE2BMu#Tf4v+7H_*XLy>m58lEVvt6I1xY&$#SfFl z$_)V*seLDBG(_E>S908`@6Jq2E^XzD>Z;#7Qh6%t2-<@izJf82Blz8YS>D=DMne3Qq+La&_{aIkvc3AHn?_OO%0wj5Q+ah3ME z^4>>=*~Mq}wtMK9@p~b;gv{z!E@q~xf?J;k&|IC1;_CpA2~gnd>!98Fi+~HU3Z+s- zR(VXKDc1()4EzgCY;IbaI1WymH^n(Xq56DpMTh_p$xdqc*d;}qeNXC~rE`9*_nYTz zIYpr&*}$L`ZWi$LZHSG2$CPTYMH7GLjSbqyn<<4`?3ep#(od{egRL3C?R5i2Z2k&K z{ikM^BTG`1)HGEvGA1zBZ=0sGaoVkh4nLWcQuTs-1gappCU}1@p5%Y?WD07S-eGA` zGxMw?ze`b+@^kN~aDTY+i%-l?f-o_G2TM&fkPYcI*S9}`KNw2z3h+I>ob8 zKS82~{$z;5u?Ld6sLi(M*$qnh<&tMX{2y$eiZ+dm$=rB+sc84pn}wXp4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Is$0RR91008_Y00000006`b0000000000 z002xY00000004La>|F_bT}8dVt+h{4D^vt52$xz40u4#JR|L}Jw!M(t zQz*1aNmFP6D@)nRzKF^uf-EW`A|fE0JVjQO#R@2^zsGx`q86#LweR~TXKv@7Gt0SW zPD@%czf5M%%>VoT|M}0HnK|d4bMHqonanOjGMOEa`ox_q_$=xeP5j|{c`Q?vn|bxv z#Az!Z0}gVVWLVE=swY+#rM$FJrY1hgA#rLd>m-LfE+>_IVT{QhsY~KqspQ=wlgTj3 z<8T_6$0fHh>!r=qA@efT>6LX%!}av9tS_fd%F6x;r?TFa%6^oolsDOAsyFGEM}^d7y^SVLLzWrj#uGO&cH3~Jd0LE(py63t^Zvbxj?$+p2RmWa}S3xo-Z zAG#rWY2X-%qWMEISBw9$Oy|%Ib^SS-@o~hc>se2?_p?&&3B)WShhdU91~?gAcqzw} zvfLJBgS<>t4k@pe>EzUUnRm&t-Zx5J(oS0{W#gpMt~jz%M*379>r%>)n{`Z8ds!xr zC6+Q$He41im-XeOg$<`}Gjwa(atGoN#QPCtU^(L%>)$(7+Q?%y@R+!FF;hqx zwVrt?!~CX_8MX;^E&Ir(YnRK1>-Ni>^hpSzJH+Cs`djuL`-_1~n5~|2KK1W}#za^@ zk8S*HgRP#c6YFUw&ld)AeiU)Y-`4*{#j;L@4GS@mV%H+8f|M&F;|57qP@4Q(i~QC2 zPYbh10t>r(AJfkBhP@^OH-;;5z+RGN zOsPr+_U9ORprpjGt|YL4UB>Y1{zMotXMAuV5)~$;xKGVh*1NE!H0Ij34F=RjpXi9m8bG9#UC`Y)oGI4WnF7yfSnaehR`JOZ$4-kLc>|>h0+3n%B5=uO_L<5hPTDC`lYF?mTzQF?dgXE2Y1poe5;*sL zIyk-(sodBMrUPT&I@k|`{V))&pSHAd$cwh4f_ab0&WIa6KbWT3B~psBNP0`he17)04A;ncN%xf@tUDJPF*nnj%& z_r@`!lsBaiDTcA=VYZxsmwnRyftJ#juOLoA+)0VDjaih*$TI08Sx=12$4R9QDJ!{| z$}*YCIv(rl!(2L?#1hXi0+FL{$$~{o7k2b5=n}vzWQ~XER($Q#A3VEFcQAv6K@Pz#5%+e^5&4^V=dyIh|+fhJ%y>oFarHKGC1Nz z_~z1|Z^Ksl{wBmMB7H7Xo&V){57*f+No2|A8>L=pvn`dfrc~NusyCHWr>RaYC%?%) zDHpDn$f!)*&)g=dn0>K#E~F<}qI6G9>B0p+llJWWlAzuuZZ~?p00o z;d0Ox!%)~gA~>QCHun5O9mCO9?zzHt$z!=*%43NRyaB- z@qZ9!oP~H2kjld<6s8D+bUyPe?|)E5mB^x$k%8s?52>gUd^$uvAtEHifs|MbRkuK* z2`SBIdH=&HQi8QIFfSy;6CzULMl?W5FfSy87U_^ml-`fngt!=S7{uiRDbgi}ND8FP z%ST5_RE3R^LgGOblRZ+Xni_%^sPO1~Md!TvrAKSg8+1L17}Pn^`%(b+kF?v$yMCQs^`IAOy4sa2CICv;7j+u0$ygt82sGtRvN=7@H% zjR$ue+cm$ZcTwGk0VU-y(3O&*s(cDQ1Gyb2 z=5_9)yeU^Pw{uy=vPBEgAUWki#uV6ljPyQ8Fj_bs7&vtKf`d2+{!J4nEE|7RP$Eai z5E>E=^w2+GB+Dtth><6T42Kq{DyrcyV%M)l%ITEDOcEnoJm+W{4Q1q=eKB^CXE+Iw zlS|`_8ThXaDqOZw3Z9IN2mBO2_^E#Iv;5$z0H;413;3rEHqV_0goo!Y0^F{gBY{0m zsVs&M5)m%J|6LHPrcRlNQ-A#AsZ%FUoG`wkYSN@B6DEzXtVEnLWpcl8^nbWbFHuhr zZa```DvXv$rd$<0JhBrE@qq6IIM3+mHT5-x+FTti(gj-!_6A4t_K)*IS9qcK0b1j{ zVJMct)^I)@n7rX%0GcB;SE!j@pOgB+sZD<~7&s%&XsfRe# ztzABMVNZ8gHa|NX--ZV^oL7f}N8`c`iuzqd6Le-A1~|+6R}&Sb<|9r}3^+W#0sCRg zLn<|VCm#F}tTH}Wz6cCD{ci(}zl_)gBNZV$0Z_dT{0@-Z0T&vZ8*1vawT%r; z`TCmHym@V;J7i;S)-+}(*c#(>Iur)os)6qk4?f!f&&G%A#^V^k@t1IGup6N4iIT_c zaa;`QV7y(^`2C#f$rWsu(qI!*`&P-2G!&MX7lkLnkUd+CMjdS5=f;k;TZkXaSHm+X;AxX^+&>FADa~sRYpHm)rsJMN zW%(=Et-%b~tYQ2e7-P%=w;LwW-`Q7fMa;pmHbB<6xV)AY(VsvRdyyZh8D8&!Aja@oi{aI&fj0vi1Ae^$-Vz_K z8;-5<;DjEkF}^Jx{AL)hgU|MZAM6J|BtBf{x^}=hLfzAx4t-QS<%H_sNBhB-0?wN( zJPorm@~y~f1KVdZ;aG5Rm8#5kFVTWgXu}@|Jh|<25rm2sjMa?5Wq}p8O(r>B31;`v z8jj^LIM|X`0}kfZa6ItTk?`K_34ZVs{opJ7;HLx5tzL7kras?-30-xJnzs#wGyH5g z(+_@@AAFS`{A@q?IezeS{ov>M!O!=DU*HG7&<}o*AN=C@@T)M*hUc710B4zf^*IWk zvFVnNAH-L#gWu{0|6MHGsd$y9RPV zJ|29P!E-wRPMhf(3$Y=w%(mKyo0*$}KH-jd`Gv3s36Wwr=<&yyAnKsUN8_YV-0Fe(>i3cP|{K^JrYKeV%CSD4Xf~1nBXJ(3M{3eSvm#n9cNVKlqpY;HUV( zuk(Zd25|gks;Vm{?uJwwY|dNlOYmC|BpQnPJ-GA~z z{~2hHeqZCIyba#)P(C|=S0?-Tsy%`B12*(Z2jF~|kdK12=L@yXxrSV!wWhv3#%I#D z{_IR(79MGphRsa?msq4L0jG85tyRSK12(`i-US^0u$>Q~r?O4*6j}_#=MsNB!WB0d9A^JW)7FsG+Ak#ht`bQxIy9 zN4F)tw{emm{A9psOtN$B6hGys0=^BDx3%Q5H7(iZ+|0K6n&xai(NixgLB@yC1G1*( z#+l7E4GsCi%xqIW(G$+6fsr5fw6xX~>S~(nkktl=iIsx`gLyTao&v`i;NSzEEoe)9 zE}L(tnVC~{sCk<$XQI+$q^$y+uah)2OmAz+w$#_mPGrT|puriFc!E906MwEJ{yb0o z`JVU-Jn<$b3WM>;a9=LSuS5_ z%{3Rqld6Ro`I&9a)@M}#GR{z6L#24Kq_)-1w6CYu$jj0)>GIS}x*|2EE7NM+RjHZu zjntT~PL1iCsWDvxCXZ$BI>7OlaEElg7y1UEx#Z>x`BvNuXl-n%#gR79L~cNIn~)n( z>EVHI`@wJWgMZHtely@Zz%STeHnz1kwY6sJn)9={E1cQf*j7lisr$a4Nw)xwzl0;| z2Y{xw{@$7<*$>ksyDd$!AEillJIMHAGDcLszya0ToF9j4gw|y5+})8b-JNMR^{zC@ zev)oO?@rUUdq9@%#pAtT#9zXb{60WEX6*a@;6L@U{XswF5Bb3#_OksEFZ82+ra$JT z{BbYz0~l?)=_j@9!THu%*@JU62W6Y^nZ&rZCdg?6EHLgt{s0A<5v_YIMYn}{2UyZ4 zyHEA57y3QD>8cBCEnnvc=U1oTFX{ckA?4kjWJA<2%n!b`AN)K&`1yb*A2b*EDZdbK z-aV98>Z(`Oylv=Q1P-1JRh8L>S%<19HE(0M7!15|ZF~mH2EGK~jQ#QZMCS z1sZ>u83?Mc)V!_fUjvxEM?8ayQ}Z^CucyFqSqdDNgM)TXPSlDkQn27kaCi&_`5bSu z$^RRE%CFYL<1Sp^1RQ^fZ+BngiN6;3t)ahZ_V}vG>TF|kHrG&9mFz*XZ-I+Xv{d#7 z`?3HqOp9Cwf}qdtl;n zmFPLgn|;9F_W|DmF#Zys_;xaNLyw$4|iF zvEJSdI4ALB>+L;$%J21q-{%Lv-w*y%z!T434**QJC%eG@IncXv4vO69t|?^O3N1~! z+Wd@st}fe>pNS6+A*l_v#rr`&=lmjQU$SBKxL$eOeb}$`aMcI4rvKUx{v_c1ro()J z50kWJleyq2up~b(pY}rk#?Jw({oud#vi%u9<B zlaJRvKjlmP;QW3vkA6GO555fW}iIF*fzD``0g<;14 zhCa!RpE{v>ycE))ezMw}YpSoQ&EbuQ!i@Sno=Ymsv>6aq zz7#b4CZx8eI(#Ubl;zk&O*k$QY8g=AB_ML^) z5#~8Qf$kH4NiYOY1dL~J@pPs=;Sjd(OUZPt0E|@SjleG_LY;I#|2Zw}rTN@m5%GPfuU_;*Q?F1@b2lsfj52;zS9ZUGWwv6PgPZzw2L2 zt6US?@kDz&o`14UttDiNpNgwi0gT#Ef>b1mE0_866ccnYTHx4@l|YpjxVZ4KORMmM z0TU`G8owBvhO(JiW`f{a`&6AT@gNAr^{;FnC0GMhBcuUL%U=ggOvSaZ?B*2LLnD%w z3b!j==2{O`J5{%HP2|ci-`I%1BC7jO*NSM;UR)K+AKuQDQPoM0$xvJ!%hy?4A&p&Q zQd(Rk%SIMg%CeEg)v|14am6efSzI;CMiy7jvXRBr)83)0uufsHm%OQ(Wc8He2q|v~ zlCrw8qN>8UMdPVTDMn>cz!ART29f*seuixQg1)Y7ZeDQ(q)0f}=;%BCcz0FRvrTwz{&qI!q^zOGd6@=1~wRXBm-vNRM_yBaJy=1AZB@tS{rv zpYjSbe^1?EcSQLH-I?S4;41*<4S}v@i+g(e+UIpD)FoM)4PS<&M-x^8P8*J#+upOZ zZ}HN;62;0v#0KT363&JkFWCDT+UGA=*tJA~mSiJ5$}bgM2uTifzH2zMz7apUfmeE3 zxtVGy;ZP1DbV}Vms2qdimV@$5?RX0^SIF^wp2Ey_9Oq}W&#J3$Z)mI&x9=5LN!G?c zF1Y`h@Oz0X0(io&X*?cVa#~l$$X8l+0?>|O!)iGtt$M5f=eZPy%!zIa&KFz{Nu!2Z zRFHnA`9*<}sYb!p1<={GwMuD8)&$L=0zSw0t`u@50Oi1hof)XLbe0LqpGb4K+64Up zDrj-+-!D;Gax1X(yZ!r2O`3n`g}x2wK0~CLms_bIpa%$?G_5eE@i<~-M)O-n68CZvxX|lrEksR6yDDNi}8e7}30jN`0 zOR^Vx|@!iQy=M@6|XI_d;Mjzu=YCHRv_`#O~UIDxLyBN7cYI9Ak{Dq$B`GR=s z8N09g#+murY_48>S5okzpK&W@YU8nPBTdlb+)$f)mifV#`@xR~oLlQ9eZ5QP_ATx0 zQU+^D*3|mb-1<$>)00EbNDe*I4YhUGS%CA_byIWyr%U;nC2mp5hPHZq2m72_^S2z5@hMh)3~c zKvAuscrhNu>VTp`L-A5Pisu4~Jv9_B$D?>5pct#6_@8(buLKmMH56}w!aXuy4H$OT zFuWblh}Qy&Q5uRNIGJM%i`N5+?KBkp75f+zYXXXq8VdfkCGz=e$ z#qf5(u$6}4<3Tg3E3HPX3n+$bD7KI1iJ|zck<-;s4aE-eD24|V>+pNd;TdmKJc_LX zinlcsJI13J5m2nvQ0xo}_vjuOFs#uq?4o5*A1vjd*e+mrUBi%#*NjmC#cLXhU1J%s zbHMPbhGBGIM3sG9jSeVY(NKI26!rvg8iFx#2=)vJp3@NQ27+jBhE1pl z7*=Z-_5_1tmY{-aP+W@NuMZFE%W=YptGgV&i7scp?MGZM1bKcjs^LBqL>r+>uvQ|& z7ToWJ{;3!G0Wb7_d!c{kh5or0`av)BFTBtXd7&TnLO%j@jFSaYVz`Ef>Z4xDAM-*# z?uGs(&>ju{m6!4-ywFd2p`Y?XKMizDx9y3!8PX-85_2=IKb`N%y@1J?`0#kEjyJcP z;PXAzAK_L{=TJRcK33C$BSFDd*{>2`2^dCe7#27g$p0!Bbm*hpO|Zd_2Amx@5pMt^ z(FPlSVW7U+{yP{Z>lb;oz1xXq?@>2`Y zN@M>LCj;$&9Sk~jAJ8#QeiMADm-1u%lpp5@U*-p2?gu~K4}JpRamJmg<4y#gxlIE%Fi!+$Dm| zZ>Isyr)BU}+I4NU_@295v+?K4Y&@s?nQ?|6{7gUiS$^R|V+F*8R81@^5&d zuLc@_nM6+eW}v!iQj!k4CQv;g3HaJTb+U2#Eid$SUg+z+&^N?J>n;_46bo&-RJ+{^ z{bMim9YDv~@S1GD(-VJ}m-Tl89pf^~Wc)o|%J214exDo8rrEBspNeI_=^FWIw*g!i zOz>y@;LrQPU+{y!=m&o(fIB`vvfW?!GbrpE0@tP98}2rcg6kWv7DsBX7XRU4!CRLBfahZ;zt4#9K*nN3V$>( z!*-XDX4r<(Rxvqj_YFQ4G{y2PylXIhJf;~OO&pLTQT7M&}01xp-v+I%ru+ZJ__Qmt6`M)aeU zv04T+t{BL$Rp5=cH4J+@86c1u0R|m<94e{7F(ys$N;jPBgtNzV9yq{F!3NL;I`}M9 zvfezLZ0G|~>1e*o|KEv6AziRm(}mx4Q?L;u;R4-K`L}p*&W*bJvY$l@9X_CT)3xl* ze(*7XliuEcCgr>NDIeUF)p&L^l%l^s`_uz+H2ot?&2t#E%0$ zdFNL+(GaliQTNd@gy-+brUI-oRDyw89P=kP6~#S?#3HTogID{($NRx2_`xUo!6yOk z9y@e=g=QCXYAp0~2J}iV^l7ot)f&rR1Uk++yBfAn(%}CZOZ$@s^xuHSpKZLens6@o zx(2-IreMF)ejR)$8t<^c2H(aFCp)#98t_Xug|vVajB2k(5-!U0&#)c%knG zI)>{_rr+ZSzqc&gX@nXk#k(V5vb`Q~Y`-%2M)f@2a%;y^T|Pm_14cIO!jm5vwao&s4Z6Ww$^WrN{>-EIZ$rj zaGFe?0yJmemKn8es=;dBX8cq?7g zUf05w1&hYjEbQy*?a1!IS?}|z9yKp*p*zGV4Jv1VjW(8HOMqlD(+fSz3!V2uALxZX z$O~QXg>Hz2M&}ISl3Kfb?m~QVM>an@n`4jhIh_qbE3%=Qxj_->y3NloJn8SnSC8^* zF`4Jfz@vgZ-%#d>&vLwlm-(cU=TD`}at;(+qCbbBX<7!GPTh<>rUFlOIcIS!;@s#@Vw zYXQGg#O@FNbhur@ZF(AgD_m%8%dSpKdF^6%P#SIdjMEOcKZK>$&i-r)THJOF6bUXA zzN4_y$q}=))=?-p%99X7+uGSl4NHS`qjR{MFSY|4-41R@>|;#acX0Qu+fp}&Rf5|q zyQOKYbKLmZwZt5jZhveR5;W58k4-a9KUiKLP_0$Z$}|>WaYx2F_{GAfs&)Iry<>CF zAH2x3k99FSBrr^cq_Nu){!mHX1>!_@L#+Mc))J9$?>^6SdYu>C_I_z{O1S4SVY;>X zZac)s6IKrQRik^?_c>>4#lsy|JKU|^G!ija59`2npHXS_ej5H@GwdUIu2aHo2S4z$ zYuPmZ*wtx=yDfSN{&FyHy)jJ3eKh;^t>CbZAh*OeROQJb#;MA&t&>zNS}G)BsW^eG z5)p{lCtD$6d{`yi^Lj+>Mkx@pl8%bBMZ8RAZQMq&G!4>7ThbtXpE$Op zw!BX+OrSP$-hKeP;V|V3;3EFe`}7)2v%*uDVZ`#f(dUme`o+DzM6R?VYn0v}*5-?S zvMS+T#e|lfm41n-_7$aH1Z1p};{^qxzn)V~Poo>$ZK1}JMavC|*->lp>7pFCf^;N? zZ%KhFp>OSoFhq4w#L|di?#79e@O8yfvA2t8+0JH1WFHi1%i&rlk5mTC?ple69qt0! zFP$9mhw#{HWfbzm=uER>hqw`OyCRXLgjFpo?GllWiWEdV7LnNO3lt~sr1cnQ1le;* z{jsyck=1H>MCn`i93;HHLNQ(3L|CP897HXv6p4O_A|W8w5foVp#3)oD{c060V$iKn zwES4K_Fo&OoNZ}{${`HvhA!#kI|#WK&rHaImsxNio^=rH$p4j(dPO)kNyUWrpob|s~vJ?AOGZ-caJ5f3?A zUAU5lS?##UDKXk9A;!8@i`bx9CEOgVQ?SFW#Yci&!Eh}H3=cZRAzs_S;IVhZ{*?4| zkdNt9&9M1!2#W_jCI-#s)pMKA82eyZDy~j8i!*BTv2;rsL4z}H`kOp)F=9REwj{5= z$%V?=+FhLz?gtx{c; zMv!|h+%$F!YS~=R7x!A3M&F8CIM(wejgg!NM;fgiC>)n^-m-dqpjf&k*^zV3&SyJs0xZ+U=da&MD#cSUsnHPk)%T$btKdw}PAY; zM@S=vi30u;8R8>Y54rX3MTq(IviN-@0STpMoVglgrO zAV08^q;uZg6bnvF2Wd@bA%mMkJkjmR;nw1oa4&0Vd`j$I*4&ojK@Y=#iSKo{mNeet z6!v)b?WVb~a{FVTNVvC7$54b*g`6(NY?1*pP#iR9N9pz}4S%F@BKz1ONAiD$UosMg zu~uY|#(b;9b5as*QPrka;5JNE8)<$_C#xK(nVvSOXk2;z7_e>Gj17xi6zKwt+VvC%DUaC$w`+C#$-F{G@Px-S9s?~JIV_fW0Y zr<7v&6HV1hh+ao!Os~I>9I`FToUcS|$0l1X6|J^Pta5U=zn;&1+r>SxxhJLFotAFg zzLov*Pw7wLTpGooj?*;kaQj1iL?LbIH==Ai+#|@{7V|zxdTcfCC#w$n5Z5HNKxlcN z3jQAe0RR7Z0eqHgY*a-QfTvG+wuM5WKuZf0+q!Bg^uc!B_U^s2yBGF8y!S44!;dSW zS{9Q^X$hoiQsbXuNYG$R#9&BBYHK4Ati^=HXsGcY{_^pUK*A6FL42eNB!K6f-PuQX zx0{^I-I;IBIdkTmnNe5~TgDjs{EJ~9G%N(N9we*;T*Gu!Lw8l(;Z{(Rc`=)Uk*34n z+$n+zgx<0w~czi9ih^zuZWj2>lTPf>?j_I3sEaZ9WK^WHZA2}ZgIUcq zbRetQ5kNkh_5TZCmV2pmc2&)ctRt)aw`S@vZkQ1pK+vjgSQ?av?MON(##!r${V)92 zQRGm>lG8fztA@P_V>h-oS7PxYR|7&pjbX)QsN6t10;BXpbTvq3pf^(d+P^w{1xGQt zL`~UY!*a+mR|(Y8cJ!Z_97haA)TR?NIRKkT5A@Sd03)LVUhl~0@%Yf-W&%F`aB56w zs0Ea~UKCqQr7i4x7@e4ztQK_r60{pIlvo|5BvACjY1=`_gJXx{$9);Tcrw2I_3T^V zhVOkjDNHSg|LB+;R?V%Xb#`ds26WQGMg2n-s7}m{r~yl|Vy-EvRs%(8?EU832xBls zl_(r@%#G@*)5s=Z;D_&~&kK9vcuHv8M%x=Xd-WGof{fVSpc;WtbCc@|*JYL4+jD}R zs@+6PaYpM7hV?jc>ya0ZLRJnUyh>&@QcXFv+Y2B@A-8r@>FD*!n(0EmIZ-=L4eumF zZ?|-w1y!^XapubrL*9@3{A`ZdzJg{K*I>c3qnQ@`;Mcz!OF$W(Ng{&jQg;(~MGNbP z;h$caYJrAa+zc&kzM;z&cX)O|wX!gb|2EO_RPdr)vg+8LrxzIEI|gG1yHpXt?_j7eyx;f|?z2A+02OcO<|)tN{jk zN(bxkv_h-68U#ad%P)dEa#FDq;QSSkaJXOsc2Zpy4Ud+hhqy_2*PvY$D%VkiD!01G zw-35zW??9`+AQB7_Z+Tuld>N#_}<0x#YKoJqVqu2gT~$**LwiV?|Mef7xdrDOqd^? zpLWn3ib&tAOMz~<9?F>coA+=EvEp<|9+2puFXx~V6}h;mTVJBJl$Vb^7W%|Jtzt$) zeg_SI&U(E7=U;ESuG0001ZoSl)&3IZ_jhmTSh;GD%BDfu@jaak~(~dCU z$J?>s0~JKbBHY}25=eEhz7-qLTw%>7xHST4g36?dTL#1QjO3)zH7nAB!KvfqJNHnR zQGf+Wg|Ln?lzAHLFz)10z^KfjD6&vx9@^Cm=F%ffh4jJ8ngpOaVBcCJwRf#U55BkI z9>N_G>Mb(ZOQbNdHOpckdrf?Yc;ec{O;41|Ka`yzh2lrdJ;8_Fk@X(@;A)b@X8-^I literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.logdb @@ -0,0 +1 @@ +v1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg new file mode 100644 index 0000000..5e15a3b --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.qmsg @@ -0,0 +1,11 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668463001396 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668463001396 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:56:41 2022 " "Processing started: Mon Nov 14 21:56:41 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668463001396 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463001396 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463001396 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668463001483 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668463001483 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Dec2_4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Dec2_4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Dec2_4 " "Found entity 1: Dec2_4" { } { { "Dec2_4.bdf" "" { Schematic "/home/tiagorg/repos/DecoderDemo/Dec2_4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668463006150 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463006150 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Dec2_4 " "Elaborating entity \"Dec2_4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668463006177 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668463006421 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668463006616 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668463006616 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668463006630 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668463006630 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668463006630 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668463006630 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "402 " "Peak virtual memory: 402 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668463006633 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:56:46 2022 " "Processing ended: Mon Nov 14 21:56:46 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668463006633 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668463006633 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668463006633 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668463006633 ""} diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map.rdb new file mode 100644 index 0000000000000000000000000000000000000000..515af9a25e619ba1c92d26d1baefe3b9bba43df0 GIT binary patch literal 1341 zcmV-D1;Y9i000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Eo!00000001lm00000006N80000000000 z002D&00000004La>{eTE+>rCR|HiFJjXO*Wq~Qlf8%SULj$@<6raA z-y06|^CzCS`W0fqSGtluC{xoT5o_uDlO6xE?04+$?HD`2|8Mgzyzr}t@kG(94EY=YEuY8}c~1ZB;bW?EAZ^W>zLZY9fc z{Z?WTx-s*xMP z0h)6h67=Ex(TpC?cYyf*DH)<|wJO9?OTx@f2saVP&6w_6N{5pizpBx@mZ7psnI#yg9 zpEJ(vD;6<3Q5AXzB_;|lDa3wpqJJ5pW$S4esS@_Sr7P|uPyQ!*CO|TUBsdQ zqpPUtfS!Pemv%*yW13D*zJ73W{D2$BH0k^l(``mRmCl2_>3AR0^k_U8Pw4xokk4<> zaVC>P{PoRe@dL;iB%P<~NJ9K2{0Sw?YUoll>z>A)?o1Z8l7A zCaBPD$-I$drq7NOoZlacM(OoWi+AyU+7;Rw)XY;lPpD5YrBEiW-VQi7-nBZ;6X8i< zuwH_OP$FQmB&3MnL+CUr4hbC;wUZoXH&BAa?INs%)lo$`fXD2 z(dPIr?HIPUG_dmyxwlYXLg1hBb4zS+aiC~_{1*TK0RR7Z0pez0WDsCrU}*Yiqss`S znShuZh=W|5;)7fR{DVT67$SiD{L&xlK#G9{h+*>nPF}9gA)k`?$CU#Y2>_Fzf&d=W+a!fGLxNDhm$v^>qx2j1O^i@^OW#W(6C1l|eBZrdklH z+Q-%1(FdxEjbQ^&bUVkNc|ZzmHB1$!mG$pSIVfe=-$KCZs5ejywT z3P35Dko==EKpJE%%z+SP!68AOe(v#5)tn3oKowj|wAx_~gc<7Y80zjC40RzF*ih!A zmn&F-%GrPzThB(5^Y9*v7x=aI72Mz^YQ^T4@lLQ5%2_nfCnVUcCpXlDZ&*yXR-hJ-fyZ3eg0Dy;^ zoz@WmAgo8&I-_$g5b@{pK_QSJXb=>1oP^KI21SHGKu~D#dWJyO<*6?~vG}4KP;@rF zXuY>eyI~;){^tZRx@_>jxa_*2i=A{6efg)8yn(Nw-@7?q8Mu4<^O}&m?ltvZjLl%i z$6-HmcSxes83(ItawVyEx4fVRElC5n?z@v>x!#3P>tMuGq5Kk|12gTXeTBIyGGh_D zwM!V}H4>e(H=-I@H8iN4|Eim4ra0`Qg?uYHSD{y|&Ggumt81_5Nf}iiXN<<9_rWJ*l4qiNXC`Q+QIlHxv<)##u!TkXQ|agAN_XZ z4an8uia<=Iw8A7cxCdbRD+TG?w)6KmxNtU4&KZft^lw5ho61O~ zp*i8~$N|xI@#BV8NdtbVM*7JhO zH?vjMGcdz`gZA2=LfzL6X8^$AD0V8T9V1K}+cBhAsc2h~=XYORh(;t9cm`MgN(z_6 zbt+X!wGU=MA#U}ZpcvAl8(yFAH_i6ff{IVtqJzs^5dmw!vXk+*x?O|!9e$_k<|XXe zZMd1OG78=vHU6;e&20eSF|xu4^RYt25FPWt67KSMuo%m22GPUsmV0=pKr%)0!$TGi zJy?j(pyTr{Y-ba_SRHBcR2|@i9iZ4f65!yaf)AMYpWkY@PsEELVMm)1(+$VCM<9rW zn^EQjat-fsS{{4pf4<71Ky6 znO|1^0QTwdWy0|9y-)Kxb~5irCekk?d!9s{)=t4rF$7y~{$0H9+t+TRf;K)1g6b7P z$E6S`J4Q_d9hZJ^J+v*?JI_F{_?NB)?G4DLP52J;ok4E zbI&ZJr3(n2Pw*e~Zqh%=D(a0$SuBUbf2x|R8s7h|b3)(c^)gBAktJ~soRyAui<&DF zw!Ngwu7H^=(}_Y>rN;MQ^&qyS$GAjwafJ_{d>p4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*J)}0RR91008qM00000005Z_0000000000 z001s100000004La>|F_bT}8dVMdTqOf*S~kms$jYh9q=>$^+Bnw!M(t$1& zrr6RZB~43P5TQVU0tE_IWvQ|iL_`IIQrYA^kzH9tKmpO;^F7g@3kb^E_kEKy>D+r~ zIXVB+l49nUlbJK~|Gxh+bLQ;lZkx$u_85`L?1Fq#EW3l3i;KIoU9amrYhYP6*Ik^x z`cdHU*~4}&E)H#1X|CmIpSigBG+yJ(T(@Z+y*`*+^Oa&;_Gn!i7s@s7KJb@|UN6n# z@`U6zWxKYSI&@j*Hodxyd1*W2tJ??Dre$^il;*lUlxLyeT(rE)CRe*lzpmG~{h^PG zUJvQfaykbz^Fb_iT{C)ScJ7e_KV%e<0_ap2_T**-h1|75v){ zi<*kNW>mLxUeC}d;&fuUSfV;=dVIr%4eyJ}lwv*eM^VqIz7GrYjp(hhc0y0@ai=(2 zD66!O(6VG;4Rf8$x^tt-_ai5o>*m7dVkf)bo9<_pV_rw_OadV3zp35?3qgf+Ochv({8=9Wc~J79>c=@ zxZXz(HXjA7UP4$c$DFcRELykDO%5$@*4gCDc3lq1v0b`oUD{4xu4Uuo+O9aVT1LlI zuiJ9U=(sR9?WMe4*H}}ww2te#UAGN3C%$y?ark6iwz%rG{kC>p$6S|9yUaRWhG@~e zasw-(bPICg*I}`7>b@&&)7-ke_lU=-N1oQ={62A!m9gnv%Cinaf5=wo;nD?x4z- zMKxLEuEo}aW}U{Fb!J(Q7M+`IW*H`wo^`Q`iDFDUVX4ESTzZ%Ddm{ba$Qe}H#9Z6D zC(7mfJM8p2=C;r7?&~_XcXrw1@*uHRI-)}MB+?M<7vluzp^ z9W$kJdc9OGw61ZkycF-Ur&KPqo)%9?k8XGQ=c?B@ZKuv%@}>2ImDhN!SFfj?m)eyv z66eW}N9&g(A1b@vVLOJ#A<%F?4EMuOI22EN9P+4pTr}@7=&wLg+CGN)p5~t#{ zRc~%S`g16qiPF}{I~Ml!=ym4$gp#?w$jOrq`p>inma;RQ&Yp$cGdp^hv@e*^!BZr4 zrO!FI-JiTR69)Z+4H0@+F88qs&x+r8G*z>zj7U zUWAx)B#y$8bu7AfUHLlTxafAhu5&#qbiO}ixoCM;4v~Sh661tZH`7P44ApVLST4mf z0t@|OvffGPn>}xK_pxkVVQd0~Y1d9hnRHuY(IL_yMAblA4*Z9sHP=M54DI`3(L6&v zA8-zZW$;hmdE?Q&j?Ylfm%~=}-(gsEzisZgkAiO7BDKTD$vVxOMVSlzHq*)I%MV2r zS>$zC-it?MasA@>Sb>GPj$Pawkb$%mapknglq8-jfujvKU}2xp2A$jN(fwcA=EEeB zC7*QBdbQ2IT+6z0ZHuejRZpF+HnX1mF8j1xX}hjxPP|?>ZPjI+Yni0Esi%}f>(IHY zy|kX|rM#M>v|h`y-b{`jW4Z7(?IHmKRZNg z)bFw{t@^dl@fvjUUUfF+0%Nh~}UybjAgC_Ig29m+hv z>%ZMiDN6hwu5xjig5?xgXWZ(hFh!VjC$P-={@dK9L>9GNm{{L`i`$goy=8TOSxcxD zEioUezK5133#zSY&F#H2*ua3QE>(|MQp@+0z2oHgU1gU5{@*EM#`m{|u`jjJ5fbY` z1p$1S41SsnezpwG6JExnv4DTpjjbH-Z{DQYenemWTx+q@hk9rKft*~OsuJ| zDb(ibP_!mr@b?Et^5~5gp({k_1Aw*#57j#P9Oold$sPYZ(40hag_?==IjyfW_vvp2 z1E;Dq7w?UC9n#^?Y*h1Lfs{WU` zV3=_<;54s)nm0g2#WDuNfMenrFbQ+`y_m}lq*8Ic4E}8y{06{jQL`u z#P#JcoIOkEazt;LC3>#~?bi&;z_8rH@b}mZCxc;yh2bAT21eMW{|%t=r(S?T7A4k1 z$p?NDay+XmG&VQX)MslO8=CU3#F*c|5fEO(APs`xhcyQN% z8U;B15{~A*0A<{Zo@tFbL1j92cft9?EzTbrRIeFa;X5qIK=)kkPefykzH`CbBY1t| zk*3#X*{A&&G;(K9)7IK(V$8A+-+@M&)<2d_lv(yMbfQt<|BMJ;RZ(=R6j%F98N3T{ zj=n^?=g2(omcf4pIIsKE)YoU5YFekXm?2Y6MHP^94&KMm$o7({t7IbV#-?|PWz%~c z&=_6sf&)-zI}kmBEjc!HQ-#8T>*Se3cA-Q9SrsOtGD~g~iRsOcw*rx@3Ew@5fW_ zn)+{&!G9Uc_EqqFk;U_0$3nYIe^G>fUFJCVmtaV`qxeuf_;QFXvjlGEcyL$bb^)Ai z4Y|5}TZ1_k%`y+ADOEaND}lye;)_9JWX6vT!(En-1C-v3c3uW_A;$xWKd;X&>_GzT zgves|+~Css`5=;!aE*{dfDR0Am*Rf~lx@z7T`u6E0OBuEj1LoFCjy($*V-`D7v;xf z@aF(0y?b!@l#j+CG~35IJIaSXAVMFQ2wf>c9|Cls!+fUmTAKj=B^jLeiUiuPk->is zIQ}wK)fHp+LT*jI;5{WJ_yq{4AlaaO8fZRuRp7a9ea>n=Dt#V20}4S0yco;=yP)B4 zJn=(A%=DXh%3bi^#)G?N_5TH&(QBR7#LIQ2VP@GEz2C=j-(|o{5xRP;sn0C?bpJtw z{v*(Wet%h{ybTc%D4!a^E0f*6YL{v60Gvk) zGWe;0)0kx2+A^8)(*WNI%G+9U*_xJYb8d25eNA&VpXh;^(?P~3-6OK5=Elj*H4P2< z!sKjIKG9RmXMmCSiCS7~3UxKjbtqaB#GG^4@)S7E1P7lDZGkWKxop0nW^&GI2r7NH zoP|cgNLv9oU-4*anAp~mZKdzh`&&XUj=+> z8|_7Dl3ko8*;mpeTMe=uxariiwB)DevMsshe6o|tuY!rQT)xnnYc8mVTnm%(liQlT zkGTTmoT0vkM)goiZL7?*uczkZC25&-X=)~2mKxLLX*sthHIu%P8q+sZW4a4Ib({-p2MBrO8_zg1ncVzGz z0q2XgIKFIbYi(+4&DJ&Nr}C(9a&u!_A<;qIcV#Ah4{-b?98uo~G`0QjO=*(doF>^V zX_EaQO|n}-#+QvTqVfd}sMhBEXq+RoCVO3PUAlC)r|IhYG|7ILuA_IPY1^G3OZVjQ zE->OR;YNNppn@6u9vS>cBHQniDZgI^e?VmWgCg`pGSeRxDSt$Sz89lyFZ-mHJu=@q zC3|G9=7?+)ZfA^cYl56L!2;(o$Zw&*Hlle|ElNK4o4~R?*>$S7MCi92rfa*A`%HgF z2Im(L@R#)Z;0Ro65x}>W!FQCwSIXe$1D<@)Tp&|^A>h1vsL#}m(Na|O4IMrO$bK|9AM^5U`-EVvvTf}yY`av<5{{|%Y)Z#v*HcU)Hhj=#j0 zyRQ`DuL6EY=x>^OU{z&xwy`;zYpAM9_6*w9;NrtDmHi1NYw~Rq*T^aOP`J>FYvmNz z$tk`ir?_5D@ohQ94WQuVZS_J`UB0EZacZtP(bE#&0TVZ@M2|?`C;@+00{$Mr_)ENz z-z3D}EX3a;#Q#8uzg3984S4C^dR+<}x2M3dJ_U{+fq^6cgmFCC4=8B zgWn^A{|NBJ^VhuqGwjJuuzw8n-kgKVE_BxvvTcQyrd(})Qa)FgZOKo@jYCLUlW*sJ zpDZ{(iTq18tR8VFk9i#SQwQ7#g3tAz$>5Iz&gT;I1wKsDnoSmhC%}??yL?iF{<$mw zPs!lF5ZV5;O!+f_C*G)g@DQ|MDxNQcA1{OV%HRtCPd;AzWXc!H;EQDN6J+qkfG3aK z5}EQ70q0xo1NXIzIkKqen=nrb+rVvV0=`JVD_rIS+sC1TrxU$BJ$>!-J9_(O>tCWG zf(!47^HG|~1wK}fm7+uma?(6A%|#{aTN>M%Yjb9cS$3i40m`4YX{xWORZsJ`mumX+FFCKsOW;`(11fxyvbP@^xkW7q}KD5 z9+z7FSU`-PW{gUm{eEO|>@Ol4akvRsa{9lJNnekY>JR0M_O$~r3?9QVRLB`<6#>i%`$jP816E>Eje^*a_F?= z(CNvc|DGKBpM!)N2aQJqioZ-%cE0l)X|CRBkFZmx)HyFz6=6BZqIAq4P1ZQ%9m%1c zfO4NwXl!lAJ4kf~Yf-VtYNKVg5Z|5L^d3Ny%^SyumHM{+1v207ZqI{vnXt&3wlBr>I=_e8GMNhz7%j? z23XM7yKqL|!rrc;Xc;Rm@6QP9cR~3Tr-a8GXC{Z96^8n{>ukVztlQMwe{z|RcUi!Q zIv23v)KY}N;gc*HD@cw?4PZ!takcY6k)^6 zIiO28Ciw$N0ce>sUjQabi;=T^5Qn4pZ2W1FB|r{Vd8C*be<@o4=PzV)7U^0%zo)ma zeP$=h)>IY%L+Z;Y3v4(YaN6+28SOm_`{pm~GpLJ-Wi}W`Gv`2(Z{hYcw9lG7x664{ zQ^v!JC|!u01D)?FPp)soFa6+kz*b(*a_*VR=rkAd1JF1MdCL*`rgpqRoh#({E?{AD zJJ$1)+Hw5U-q2X5Zv7dsqN0y~bTly}{2Y2k1oxbG`m`^_i9Xv0G4c)1P6RqIY}m|+ z>sI^*S#zY!V91;lrqJ_6>72-;s(^OWbp>Zdl+Hvx2)Kb%1fRzx4qilW$m2D5UL|aA zEX4cRxH2R%g4x}uNZtqch|oV0q3;!;|4W4aZxQ;(BJ_PC^iM?S`$gynMCb>B7Hl#P ziIhJqLO&uxKPq$lry}K#iO`RW&`*faPXZknFKmWX=BB@G*f15h9a%Qh{aE2WrIwlo z^$nATwt9R9d|IvhLwlO>MlenXW9h{G0NDJ~t!B6$3`biS9*oVf77U#hhKFJ^TnUC* z7KVpoGpqr_91FuEV2If{mw=+%Lh*cjiq)X#wNShepJEj#7Fj5M9iL()D3)3%UW`w% z0u(1(DE=ot#d1(AvrxPaig+V)85ovZ7~Y6)#L1voVWAj-!>yRZVkszAS}3-PPq7FT zt1J}Tfr6o^az3c6H_~1(thO+GFg8Os7%s6eY#+H%T^TfD4k*@GD0Yq?iCLhy(n7II ze2Pv`thG?=8lU25P+V`J*eyQAbWq%Aq1Xcy@kaMlFx+He__Un?wL0lR(F}%LEezTC zZWKVV-a@fwY$FZ_!(A4Jk&zKq;c+zy6!%&vJ_8E;l|07JnkeZ&Oa#Gw7J^Z62@VCp zgBF6lKw#-jiwP6J@Ti4hU&sa~8Y*}LKUKd2E-tWarb}_8pl%1`@I|wn`#v#oJgCr% z$#FX>ElwCBCc4yu^&<2SMd&+#juAXaDaT7qzf%UkE1vD>_^oh7henvG5*?3I_wdUc z5x&&;>UaZZ8wY%rQ2nuC^?K{u3MOoW2$Xv8MNqKLebq%Xyz|P24cl25W(OI_??TTB zyWoQ#2RJ)$EM8MXW=%f)+(>=3|2yw4>*tAl?+&7M?B77_cd*31C&)nOUFi8h#~J-D zd~Z;@w*NKQ{}GG*3xW)^--Yf2ni>KZ99{5*BIS!@%1@BN7t7#FWbmaj_=$kW8F#LZ zI|+D>3-_Gm8#P}F8?T4$%NVwwungNThbd@*3w}y0`(5Z$Md)QB^l383PmkdBZDkK~ zBU~!^;&uk$=ndR-ZLDjn#mAU(&CZ|a@$oE|nQ^8JewGY=whX=ka2~7TUQ|t?*8S*; zFMj8wz;SL09OtFLu`&gY^Hbos035iDlfk=t$vWl26pUB}4mC03M-J82X!++G7#9ig z7Yp%U1)lc#cMPtH_3I+^B@ueeL6)GYDqrL-jnD@=A64+7FB73J7g@e0LXYMz-(J@4I$TuY`Tj6o10m z;;xpn#lM9qXrl}Ms@(_F>f{?hug6pFx;*%PaL3s8UGQxH4^4bJe6IOp+sK3%!@#u_ zeIPQ!ca>0^;TlTY4T8fpm_8V};<;d@&2Wu|?FV7TOBj%QSO(;WA~Rw{^mm{bX`%Qi zDDdamu-NKCe=IV<69*ss<7kXKs(u3pK5KE{lVKBdS3D1jeJvEb#xR3!xbVB7k?rBh z$;I#~zDzy+UzMz*_$lMj738UyZY`&}WpK0d|c=)!T9 zF8p?wf=;+5$G^mbyQb$)!NWidsNDtMLk1rOIO+ZU=Tg3xO!;SJ@V#a5&jF5yuQNyH z>sqI@C%TZZugrq|01wT9zP{gIh#w7n^3Jaaq9LI66s|4j06T+a{&pQyRDyw80`sQ} zUL}K9%issf;A3R)u`>8Lz{6u_J3IjJj^){x(?sagMd&kPp?84gZ&)mU0q8i}>_>oq z&4T}PEbT7zUx3D+Z@jaahi5JaUJX;QUtRF6;C#RWAAF}UJmkQmVG3Hn80ZDXfmDSX z9^rwjk(4x3wFrHn2wfYYll@Y6gGhHlgq|6p-A}WcJysg?t_Yp%o6>WE<{rg+k3)Ai zb2E*LcOgT=OD(Sl90!~ke62W-SH;`$ByFO1R}0|b`-S{iL3`D~2OntKXqJ6pd|Kwq zUuE#O0B4x$b5nEmvHGGknA~bvS8;&pv03(czatuB%v-LW93Lt7A1Axe2La8UM$4qy zHq&6U>@)sgneh|CaP*FKwwxGs+aNwQW4zLugVX%gqlTWDU2|Jz&l_Dcx38BRmLOA|$iPBJ>myIxj*WEF7wr7mWkt8KFjzN=!PAQGT)Nd_0)$W1tmkdd$CNw`fB7Hh?JX!Wj`!y zU&Sjos7En#D*th&QnM9Tn5b1(5V`3ks8Ol~TWf$LEW!Sh+Mzz5;EgFqfK_G|W`1V(P8n=al?C#~)MmON32Nqi>b_USIYMTFN6% ze}K~P<&!}>!tqd+dI!v>QqU5%W2i`QUmzWYok5P6-g-x&;uxGnc*{;|n0ExlB;Dj3 zVfWRc=O(v<#~l7Krp^|_eH*qk%;A;b5tQH3H1=~mw)1NlDkEs~*fCVb>E`ivSWDng zA&nidy6EDaF4T^%Y%=gd!bfc3`Ge=iN~HnJHo!WCDdFD4ZnXISHbj;NK@mqw@~37 zFp5+tu@hAZIn=;4IX)I7ReLcLQs#@=qP!Ai5Ov_}g{T4Jl?YGtWokD`g_=G`B96-B zsE>*|s>~PlDwEf_O=4*pq-DOOLHaInyqA1=mqM68ZP|AFPuLCX|BkQZaOy=Wi-$d? zlQ7L{h}z$7^7$i;ehKei%1*t?_EGkDc->bA&Zb0oFQ&9CHTor{+T}*SD9ExP$1($A zKfyCiPoo>czEES)PAd$F*-_1<@wnA+UuiKV?B3o~3NZszW@(vWVdvCFc(`FHZ>5&U zW4+G~v=u|jd^x66LYdxesNLHt;q|u6eN{pGm44)9@lX;=4PVp^%o`0m)Rl?phD0`K zSUAXbOHJp>A{K^hVG^B2kaxGnlrw_vxuo&f!{EqTwR9VO8=iwyke@Y7S63A(42~nH z<#&dJf{ZjI6vXW8vYsp36{t~YLKKIoMGZPr%Wbry6W^WJA^aHCm>f#2ezcR)>-cXZ z9&ON&7g@0yk8~+^l>f}fyUI8=c}3FR_Zn74T2@ikFYi)C*|eoBeF-Pq`?7)`i$sE5 z!E_a$SK^9#00a5symaGr|1jdb5)#8m%=BL3P(BSuObwd%THn5x#_5*hi#i$EBDSb4 z$I>mQ_rsPB&$#Iy*iLjQrY>wcRn2|BPcu<4i!s>?HHQdTzFrZM$f5BINmKPjgg!N zM;hJ^6^_-M*}PF7Dwe*N>_|EaXQ8vyeM;o7=vx$O!$HOFj8MX^)Eu|HIq3Ty$`|5Q z0eRW83LU7F-b}ebJEpaevxqe2JuTBxHKfWav?isYR7-diDg(<%%?dT2niY0COo=dQ zm?JDv_RK`t{kbxZGQ(5_|EYqGVVN&pi83wriZF*zBK**z-7wQzB@wox3{qBM<)9SP zJ=|`s60Bs3X@{4?e*4dk|9F{ZjJ!xMcPk2i!T`f$(Tv8T;2kEQYYv5Kv*Z#T~s zfpFA^ibVKe;&_U1s?gH~AK2T{cYNBHUOtt@6}{c6+^mTC{)=!}s^MU&O|2qqn5nkR z{g~s_)O)t9+qYjKr zi3I%;zQLWwfl--ZWu}+Cx>E*;Ipu^Wr6C}}PK&YM_x5f0uvmpK?5(LK9ON|AhB*=h zsBA9e=MVisR>$jQ?wb|I1UbS%&XL0;9bH;cHrAE~FW2q<2!SHDZ)vn!$fo305+t(J$c*NZoD`+QE+|OMP+g zqjba`3|<=#+o59X^>#(jm+9M*=`-AOdj~B|V+6T5biZt?-__6q_kEnl&8?wq ztK5tg{|^8F|NnRae3o5oR7DiWr=_h$pcD#)0xjjE*p^jGp&xA5ZEx?+?q1mY;k|dU z8y>ENYFSJwr6rK6NsTYnkf6~R!C**8YHK4AtY|`FG}icrPky`+NO-^p;zz1L0(j2Z zJGZ;N-Q8p|n>)Wb=gfc3nHiZCv2w=Pr=Jalpkq6st%Vkk^g6m>E4t<=n$69qB=cf6 z2_p@Mzq(ZfJ+=y$)FhQ#1|Un=1^9IK>xW~jphsjmG&E*$8LgGDPWUi&{nmNt2w3d` zt~XmUW85xfjqrKvz7y9F+g!@nvK*|8#!r6m zI*15^$^*9pT<*c+02Y8-0bc6CslxCcfS>f>;=*u8pfkM8gG&m-ZD4shs|4tk&b$54 zQOu}*mg<%x>xmVt1-{-pbiZZ=^tg36D~m#?f}y`x4_-P39hvHIp%~X3t|e6`nvi0l ztZBg@exb3ziNWFYz~D>$_36Qp@Jezxx9@$Fn`~9Nwj?X2fj7;T6pfpel!3(+kKPj8 znrzPEk}1cX{XDgb9f5(g^V;^0K*F=oR(hbN#59#__Gu zYUmn}RqP1l&L=bBe_=vScwRa?ifSa*kk`H&)3r-oZXNU}fTo)&l!s+Y8W_e|Yl;0Y z{?}2=9Bg9A^EUBcHOUOa^g|B!BwM|8BueWShcDwOu0<4z71vFhyg8BH^`vp%>4`Dn zP!yb`?l6C@Ypg*8gxV`v$n7JD*PoSExS*CdU<{j;ziM z&iw!%Noi5ku(gVvauQ0fDVZt9kQ8$>sWkdd<4uH7Op*E#j)~(WHN~!HSn=O~J9SR@ z6K77w;uiKIj3>@q`2{-iSPebK6IBu*H8;2x;hL;)YpYLmuOKs;;*92P42x|1#)BZ7 zZ>$WOh{{b>q_+DCvfK6QFGw%Pfz@*W6J(Sq;&`u7&x0w_Kv6qGDczfR@?Xk>jb{L>4QP0&$@8=3tp5q?$@5l9?O|i^@6y|EJiusC0dXPI8w4JkNqjX}k}vYb z-#wBXb;Klxu2_5%<-U7*eWK#!jw-m1;AWZj=5F_uVHn6s9YZofOn7kr4*&rF|Mr+S A;{X5v literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.map_bb.logdb @@ -0,0 +1 @@ +v1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.pre_map.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..5e1c46f7227c19ec57ff9bdd327adb65cc3fbf72 GIT binary patch literal 10887 zcmV;2DtOft000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*F240RR91002KF00000004Ol0000000000 z004(700000004La>|F_%Tt&6+Ao>uI$KnEEYhu_*n3=3B52vT6XVOVechlW7NqA42 zEE5bQnJ}3I!Y2E^21H~NK|oOv5fPA0o+5-rHW5%(zvug0KAz&rX5Rl--|DV=>)yWi zR&^rC)HgL%x9a@ooH})CxodBdNF=U7+$JP$@2O7)9J8EJ(#tfA|9A)IrDM5TM&2^A zoctM8I@YTg=2^x_TBbo!rB!)KSzf;CF?sIp;jPv)Pa1Do++)dusmx0r-ZE)dFI`YM z`LIq#$yZIK%~|HU|6NpJfcC1Mp51fo)cuB{Uv~nZIe9|dXc}RM-%#Tj3 zm-#R{*4suYOX}Gym9$Y(saF(QNh574kNr~8kT>g?s`|1_9!o4~B(1m1TQ2M4q~!07 z&G5}=S?7lhDkm-L{OV;{SiR)Il!0SvjL0PtH#;el+Yxs}yvvFAAx%1wSQ%v>dh2*h z8d)#tgHkC+rhp3FPTq1Ok=~AD>iHv9IDxt|9{1Wi>r~xkJyY4fO}9*(AZb{p21#?& zCT<(}(XdSNQR|tPd`|h>x=?*cAI9g{2>L{k)ha$4N6h%hiNwDngg;~kCQlNRLgMaJq2YE1IZ|GOT=zO zlf|>uxCf!fo7f7_M05-_aBox@TF#;yL9&-q$7ZxzjSbC@-N5Z1eSqy@;5<3Fa9(x( z(7fS=)rEsA79Y9{2P>B?oHx9>x?;h+Wu&4{VL*#OwA7p%QSNGFpiya1Bx0W>%ZZVJ zT8x(mNFR6d#IVj4SU?9bP^XORpmsXCk-*uCMrgjc~g~!d0DUWk!9XA3XK=TW3Nnk%sTR9lx1ofR8E>^ zd{rJYFL?o450}BqKkgjSAG;CX4U7M%9;5v*>W8D_aed7LT)}Tb9D~UDk>Qhw`qp6e z()mM6SUuou2$&~Ks&)(VWXFwyocfWihZ1mOog>mnoJ@(m6ERekk;O|FFFTZ+W(KHo z59-K{7rvz`L94`lpkd3IGA5n4H&VG`$P`8O&;!{VnGBR8oAGW-X@~m}CnEaUB8hSt zr5$8@gKQ@2Wh(i~W66)HEMqE(FuUxTs>B=SZMivi=bGLx@_efP~Ll`ZytlL zv~kjjrnVUmyo_NyBpp-Ia+D?!)i4$I5^InKD)7%f3|0{OHtrnGd65y=|1Tq@K-ENgI?(U4rWU$|=vUoMq&v z>ccX5EHR{!v@(@tlBQYOjFxq3RQUwqyyeaC2_;N~KhmEV=u_Q@CVn}J8@1c0-;9o* zxbpz#p63xijHu3YoNwhZ^D-h^4|`(n+QK;^KCbK_`WL~AdNERmq!Xn+JXX(Ocr5FX zt%qHq-{#H{c?HR9Rrza>@mI*?Rd{)J7M42diDVqG|K=2sN2~Obe0~j{oFiUF9E12Z z#NCm37V&oEd9F~q8%8QpybO)*Lo6aDalA32yc0%h(%jBEMBdW76j9zS5qH5P5gG7? z#@~@Xh^X8FlO(8h^&-n_cfTaX%_!l`noh*&h*FZc^CgKW=?{ohosw8yyXz$>$fgsq zh^Vp=cf2H#FB=LMR${xC;~!ZSkv{8`*Y0#l9#r8zMDj|4Lh38-UrD0JQPGJ=Ru>?$ zymp66QUtLPcef-Fo7xE|*_?sAVAF2N$NIC1{MMPtE$O(YXWo=nm) zS@sU!K&x?^~OtP>NHc&e11vVYfQ}`S&gwCF~YH-oe@KWb}g*;wbn7Hal z2ur;E&N?}x3S^UiRGzcGWae-{NgNJ5*OnaGqqC5YLeSc11;!Qa%V~n+hR@0(sR!Nm zR9^PfMoRSwDCgW+=;^_K-=IQOf)jCI2tCOhda^n6Ompa2fYNG(JpTEHAS>}4%+N|} z0F5qmM@)`Vvx|}039)_O=~FO5CQaLS-)U1OPny_1b?WrVQzx~xwNGlBKHc?LiG(s$ z+wv+8oNxou=n6>`Q|=55t*|{5hy(4Uyjs``P!61~R4$cIXSi+g4QhK;g1u2;*4_!m z$cgcg*bYdI_W{z+P$-sxSvd>HGN${*6WZCp?hhn6X7Z`7T&7X(&bj0&Dwj~f`KzZt zmvbW;M=tDvjq*9D;B=f`y`#!6Y%h1LE&D#pAQ!d3SSp}Jsc`6 z_L{U3`kzl7LU*UMSr1SL&Xxk77F{JKOKsuVnmaR8oE#r z(oaF{tvb+LVYbRq%?Cjb0hE(@s=rcD>D7D?b{?>_V$DxggqjaR&o^)H0tebY(RBh5 zMe0Ix=pdkMOl+BlnYVPAIrMQrd5WIO<&wozWky*wk7$Tf5Y$0WfC2uzc^^M60UH5+Cp^y&UJi$|WrTYbuY^Mhp>NFeQM^AI{2oa5gAdrjXWPN&gu`hz zD(^L06;PH1Pg_C0dRR1}e&hRabLdJyd4Yn%EZLK-AnO}~v|a@~SC_WrvK78S6b50B z1U7tbkzUr}<7G!V(wlAgh<^q><%eG#0Z;9T_xAMBz~ay3y5%wE&|}S^$D2b>1C-mZ zQYMwlmN6M4?;C=;`gC*IXP86JG>4vL4n5l(dX72tTyyAo=Fs!ap%<7#FEod)F^66h z4wZA&UqR}fFD`bosC9K1(Y_J5V#W$YU?y0@n_W5>2a~jb=UnIjhl~oKQrwg52;w`03Z!r z`9A=q31eRr;>AP!8QJ&Kaj}YhyMQ%pYuX(8ggNvDKAAi=Eqb=Sw|Pdgf<$II0aBTe8d&4vjIId z9#T>Fv`9#v`|!~|K9#Z2w_B{Cz>J*hNdg<+j2SLW8%3Zk(I zO7x`4?u(5c2AhMXg!n2fCf>4iES1ewGNo!Um7bOA&7eMU0Dx>;Dj)gw03XPdmUsAN z^B8*ld7;vO#OJuJ#!;B7+FOF7pe}J>e4e`i)|Dz}szoSQ=&w|{+*S+uYB|-H=_%n~ zwblsoUk)em>tWU@LAuJ$D05t;Ki^-@bXQAr;53Ewtm^DSX;!KPTXYk5Z9Kl5(~6}` zIa3Y zJIW8F)7e5bml>!TwIE2*w(8IN{!7iNoDn3qKG7w5tYA1j@aDs`s|#ko+m zSeT6tgIi?gKz|I0TuLbWAj}$*^QTm8tC?a)d1_mxT*aNo!t9z!`peF&^H6;5`?$E* zn0907K?_Qis>szwpfG-2(%SfvI11}l59rH>V?IL{nLJDPkVnAP-$S?( zvxd%mFy?eFy`7!4Z(bBrrAk%|?HSojDOF0(5IuM}Xt)dv4-Jh}m(Lp>Su8)-Kqib_ z)>Gwjwl~l16Anb67%7Tkm``B)@ChUhQTa@T_cE&3m5UjA2}ns@n@k8%gD+1I&}AAt zaRW`PPPG}ZHe1z)`ciP`N>69d}-$2)7CQY;nv3eeQU{m9tdIngva zM@|Z>9eb237^_%wu<>-JDv-N^uPcFqdrfBn>CaWNMQq`UnNqosPYHt`0|7CI5job? zK*KSIhz_h~yQ$H!;K;dAyGS0SA)P&4DwNCBF7EnLI7xCg{$c_XY91F~P3|brJH=G5 z<4Lq(rOcH&n=kfP1mEMqmwH8?qjq$$&E^MSOnR@I@8@Il<;04!@B;R&Vq}nCe;ymG zd?sDN{utA=RQiP2%-LmqnNn}2iXCQlAeF;vrC8y_)-deKc4te%tS7~0$mXZI%7s!F zTpZ6=R(mk8WTUH2L;k7<$oJfO3;v?Ca_;C(F6ifY>XmQe{3!`q^NC!4vBYSMof^0(-6n_B;#h z`4-pU% z*(ydqXkFv(YL!lRgHh{Fxb6Wr{22_`djT_?Ved1C{?J(82h4>&XbyeISl_kA$cN3< zeZ*MkqsGYl;YGYwkLAld86{_DD>IU_GpSk0A|BnD&|mb-O7+8_>FHNs?&qbXcR~>K zE#S~7gQ4-ZG4h=Nb)~LIgVg2gkob#pYgcmR$Mg)LW1?TEqb=32u{m@LbLe^I(DMN` zbBGJfg=3h1!dUXJlJ?n&^PW}p@_%pgJdyNJ5T41+;+~UBb_O^~>p_I(@wc}%O zQeSTxr2kjJhYwY^)q)o$kAvXX*}<>3gWq5W|C$~A>vr%P?cm?AgMSlnUWOGftaoS2 zY22|biN}Rxm#b+|_kRmqSQp#vZZZXa+Z1>+fcP^SsJB>PziWZL)dKrH3+!zc*zW^t zvufUMiNC`Vf2Sq>2f!PyeRlzBwzs<5TcY$~7Z&*K^Obham(=}z(y%J?_49xyk?PnCbS`$`nQ)WLgQHFPq!cw{h{Sy+F!0X13(vH>4w<69Z+?fXsc*so(+GMUHeP+wQ3 zlx&;4ce10cqeJ866u4L_y>b}p4Yz@Z$Aoq|svtQEF~+!2-UVF^q@lh?n?sKWltXUt zsO3Y$Bh`hmz4!CxvQKeje{Ml_XywTAl_NOz4X!R$J{*2FsQFA`4P9Ndc*)=jUj`_2 z@lZY=ej)1U#C*!KH&?*-?eRcVg>NU6Be7D5_Cd#w$8mX9w#Y}>GkLrNBwmTYaki&A zqdQmaD|CyEsseLmU1};d6P#Uv!3RhuI?#}hesUgAhL4baG^Vu}-mK_*43Ht-OvV(t z%I8Z1$A-gcsWlKGrb9(?8Woh>TYK+Qsi|j!jNI)&@oHn8){_Z>W>5h;<6{!}j0aLb z48rz0VhuWoKX4Rc<{+uxK?OCA{DT4Yb|ezF086`@ePjB&R_I%SHuK4DG?!W*kjIZ4 zDAS12Fl51AP~2B&ml2YR+!E+ht3C-+v%koTTy zF8efd=;`LrGt8l90?O->cuP*a=$q|znMgSZvesGV!p}B`p5s6#7eh~Oy_?+A(I~@x z-~PDV!26Dk&yW=I;UA>;DsV3f%%-UOjFCSyM&56X{BL9AkBpH&Hby>RjQoi)@l6E4Dkg{RG>=ih~Ktls)h$~ zuoWV|MaB1Z`}lDKejFz7G@jgki|Z5Q#=IIH!a?(Cu^D=;75ZT-^dna2M}dye2|;Fi z(GLES9sFfG_$zks|FMI=0l2xxy=fd{e;L^!C7qpPCJ}k658Mb5!qW zGZOwuyOwWb2Onz(-_8!cBjDy9yOTc}r7|?@^rW5YJ6j1K=Ll~%^O;Wpjz7<%ek^f9 zvxbki0q+8^Pme_uy(j1*P7*J^sV}LQW5pKNaWeRdMyB~i{XKDR<6YqIK!K0)(r8H8 z4x&hj+#hOE%bfwuAZVJqn?tk5Gshs-cqrzwV8X(fD>o$w>= z;78fPSKGmlwu2u7xQ)*p3p_j4Y@RyKPWjK}UvWgYPc`YVYaG#&O~BVWqRssDt5)ditkBn6p>K$c-rVQJ`g@VkZ{l8t zANn>c^!KgMw*wtzvm2!Q9VYnBhG*UxUwh@W{4Ss)oMHtje|LQ04b2nx*a^Sa4*ryt z?oZnZf6fm6f*t%tJNQd>@RuEU$i?L#pZh)F;TwS<^q=f>|Fa$ZFaEltR0g(;x{vjl zB;SZ8{58PG`M^I2-Vw(7uLIy80zAq@7lhx$5g*d+LGTYd;=`^02H`ig#DBz5zsaNT zphyIX-^^0{M;-Bo*4r9zs@Hs15~Tdc9N}@=-U(?=_&DIPJx5ujwlxqhWg{JHB3{97 z2R!~F*lt&!QMUu2ydBZ}7o*AlRSdj|J{)#NWfb#0AAlbRJo$V2-ZSaA(48Q6!mj>k zS&fvuU1+82_KuHMI8OjN!i?n-uE5)(!>9V#y)zp8NtoRaJ|j}ogU~bWgx_cf|3-9p z$;Wbkhz7q6mK*Sa?|?p!(CxPbz<0ERj|Uw3dxwkSSF8;ZzKfmkUG3nz*}?Y!97avd z&URO3RGXgk2ep4sI}P>%Jjz@bBz|v8`~=|5JAa}TdLMtZXPypfc^lC9Yxe2KW`5gl z2k)?hPqKqgwu4WxgHHuKn$N8Ane0xEgkFPT>4!eW3Vmv1^wB<=zXWuYv3eeKKi&ub zf03l06@dN&(D)1U_aOLR?BE-r;TF=jj1E7^$8wK_!#Qi52GeotsfKpkh-mJtM2hEU zR2cFd#>h#=$h3ou|7+@f#xnE9$b}9v_$kjI+YUO&_}^q)0wlQxUM80!g(Gw}`gAHP zLOfVP=`Z{-PYKSUg7<3i6~HXsm#gB*(%4_*$b$!88{=D=)%Jb&og|riQyl4bpl14Ritsoi0IrNB?_@U;ND;6)V@yC8c}lE*4}c7QDB4?W8~fB8)2IS6E) zujw*R9Cn=|4?kSDg_7S|$!~=`b}ot6K||S>AT1#!9}Xn)%A<_G0LA@CmCFN@TaU?1)A7!rkd&+WM{7wZn0cqHAuJ~+lKPcr0{o}n~T-- zXhi`Bpi8XQxcOd+R)`Jf5#Dp*JX%4a=^@s5b=-za;Y{Z;ve-(;;}I?;oPx8mOONo` zffKU}5-w%EP_&{O){A2QB&Olhg*aJJeM}qbn(Eq&t2qqUewRCh`-jFf+#$IvR`eExtFG}7R~^*8)%FfrXMf5oR@~ZAd1!4hC@`2DHFpTN zN4R2am&@u4yagH$o+Y^;272u5<)KLt1vjD1+~qQK@l>tV-JprxlAv5H zw!&92A!WYOFA>FFrSyw{92UysG6fR8`EErwgtvvh;##!AArU)jKd(I=Q<^WNs1*LK zUF(H3b!|dY$q?$d1 z*fmO9c>OqWiK2%%NgP%vlB8%VmX*yZ!d*(USUBW8Mln+{^7g(KA>LddyTF zw)q&vXpXxGvZRr3CuA9JAj^VRSa39MQ3&qH|6;pqPT)_s)G0n*zUVUv{sOOrtUG`0 z&bky$Y^w`Q{iCTK8dI%6>$!hYfi|%TO=l_{wS4VPwTA&j4LWScNhj~%>dNcSxnwg} z!QZdnqf?yP&jdNc=?`7;g_wQI4-5$&QmpVX)#_`=SuGgnEzoQlzL$C?l!wODzhDs< ziCF>ZwY*P9O?hLl9#|kLo_xo1{o~UlQyb?mWy4d5?%42R-!095@8}Wnq$pljt{0{o z#-{Hb$JG|`4Ws&Byi?B3-U96=Mk{(wYnkkFBmX)6q!dGn?3d98G2EVPFtHg}JshGpdsbcxWzlmRE}Q5}J0xs|{1Fal3h$YN`hp z$hK@E?*U6Ae}H41wXz_X$NH!Ee*gdg|NnRae3o5oR7Dhkr=>*@T7C+p1&ZaT*w$4` zp+9VwZD;S!?q0h0-u2$Qr5hfuglbt#3Z*5Gs!5G6)sTSEkcdH>kkn!$5v*uJ{EHf2 zj6V7EMj+uqABaDx0#WdsvpaWpySu%~WOir1Ip@robIweFm9Qm@v3K7a_Cd$?KwAqf z5)3#-%vKHEQFU9GA+Cs0HVrck2S2)50zJ0MG^ukb z5(-KyW1TQ?@%x+Sp(6mnENwP7!@@3SjWE1r@9}Gh9a+TKvK(wN&7XMV!>=n#Q(|h; z^Fd*%eIl4P-nYZk;(y46b%4;QB5*6fOI-M?h2eI9m%1=t7~Tc&!!C>_EMP{5r_;a8 zg<*dS!EGRUIa>+PbDg&bpd)w{^jTiF9L0!Muof7-bKq_*9@`3NxGIZ6sFIbz;F0~8 zjzUMGI?_;B=#J3iniGohurF(w*NY=G=Q%z!k{ld*W}rSfH0obL3g`B|j_xK|RcTq= z3hSUvx4Ehdb0tM!Y32QwCATJ4fGLYZ)OA|?Q+B{{I1K7E2BX&`!VzCN@%>0Yx7w*$Ry;IC>y?%$H@0C+oMr zj(q~$@UQPrNyC=He>6;qsIg5Xb#`bD)09p{CN&(bYA2ki8Zfz;aAI6FA0aP|z1nyK zVYFO^eo$cGIB{LI>)8ZMeEHeMb5ftIIVp*o+0!r|J$>az=tyHV^k`2=je@I1Oz1(O zE2^-z3<$dpfUMe|mMNj7o)o)>ej9GbK$9jZue%S*`awATEDbYslxRkWA`acRe` z0*To`ie6}HKMkU2C$f+$QA6p)bKcHszzb);``kunpvPny?Ng&cg_}V~<2nxu^U*v5 zdw@o_bEwL_3Q5B;6dhyRVF(<#>AX zaAVvAfpD3(xR(nC9U?M!=G~q<;d0r*P6BYjll%oiZ0n)X`I<&NZ+ETqNGPAYwqN2h zi-Mw0Rm4upq91;{brqzLI9cpnzoy)@i=6?W_tSqXq#zlAZUuKzWE(lO{?=Xe5W^!t zk(w2DAeuS7VNzf_tA~lMvcWn`G!%>bMlcL7hIw#DUR<{WTsW>%TPqsPom37=hDXa# zCt8X37V1@@VAyI%73OZT?M%naEKIq6$*~Or*%q3Y>_P}X6o`Szm5|fNO_c|r6jABN zySj@Me{^;wWh_w1QuUDG%iCrbV1>7tRhEkGbx)o=Z&7)fTrMikPw&N3&)x`v z6xS_iUS=^QOvvaay|`5gVr)NUCA1zk4!_ng0{ZjtBN zv+mR03#275B!ZOv{|{7^l#p^FAt9k)YVDR8f*!_<8*K!vcUv4~-)-Ht;~4wSU%%RS t?qbL+KmS1B`ho`);_7N_Vhf}s7+yYReROHhqcdOjToGwKvquPG9spk;M4|uy literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.routing.rdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..90552cc6163148d674e86907978e7dd7868bf55c GIT binary patch literal 3830 zcmd584qdn}(aBW*#bInW813Q!WoFT8d{;(_wii*Obgd zN?DnB$f${EvNWe@DjpakL!_lKP}30112C-iU0Z4Uw(tAnyPo%YPtW^2&+m8N_wRn+ zS4%-bp=QGx`CdWcxV%})k6!4LQ6Ui+1ISKu3v&wt2W&`Kpn(-+r-6mV4*3SzDL?ga zGuR&zjW%!!42hOMYXeq#HN}^}R|f^n*E7Dl5Wl$>w`PKUAYuy>q~v$Qq?vzNiRwD6 zpt_J27?3yQT!L*5PKyb+&wKR2uh`=1Tm_}Q)!<5a;}RN=>c zC5wPMS2x-hQ0RiE=(vzGGt(bYC6k5D3q@lLHDg)x(#+%oyOCyD$gUkJcDB-Rkuz=T zC6mk-S0x_eOpISz!p2Jm7x@U0bF4IW$w$=Dky0&vrlz(mmz4$yAy2}g$QHL_Bu{;6HAfS z(vlQA&#_`&=q8C!i##hEdSdw9f?Wk34w`N%6^%ew6QjIwD43WJD-z^o99kPv>$u!8 zKMI}g7uPSOi-5BS88S924cC-3Crqgg+tZXB9vfK@nYp(is}yqGY{lV?z+7`8A(y9P zwWU8^c!)Ln0+NTqpn%B|=~J}m%+PZ|12-WE(=|(s z5?C8;dp{^ik$R!5EL?n(c5>rVBzhN>hFH5Eu^~1%+LZN4RM)@L`*YrOo@OX32Ztqt zYB;5f{1=%isNvj4xl=pR`fGH5-i`hP=I@XZ!OF$lwOp8Mklg~+Ty_h=@gKXnKXCmM zQSS`W_su-=`4v%sPs(~WB1nL6mc?Kt;*RGR5C}$x^YaCgsBB)teD2Y0V6EyDo#$2O zF`mDQ7Kr^u(MvvB|g-v5v z5&L8~EF5OD&o4R~9Z6#94ZN+3-+{BFW*qsvyo?hD>*Ghb-=6;Paqk?`tDEPQy?Usx zsB1>AKO$rF>?>yghY};3B=|jE5&UZz??Cjs$X`j~9<~y#NX4l{*W*-%iX%zY1M|t9 zNs-9N%zdQD5lX z{KDnSBaMS*bzkQVI;}*29DQ>iowOa#`g0A=ieWx zEd_Wd43^SxXH6+8PF6D+bX_F}a|3{xq&h-Zvw*!9;N4;}&a9YeN1D8DHJTtH56eUJ zez~e%-jJY3l`2!n3yS3oV^pbp@Xj|N) z>!sr22&z`nDo@P-gAG-ln{wM7yyklNqh(>HZJ`zv{7+1}&UG8eEH-XB8>6LmvyRM> zVx2YET=oNDL`)!WI?{sEIM$ZkyEU}2_0puRjwhZ_t?W}V-_9HsG}N_C525q=S>y;S z;|hCx{R4G(UI3v2V&W)NEr*z-Lk{arkEA{+a!`g?LbTYCm0PIXD(+>u>g8ZB2P7}V zw@)7vBB#PhSY2DIuV@psfZ=@sPv8&x5dN0?ewi3l>pu1+?^;svY3R#KY5ZFNwkY(8 z>Fv5qR6f@tGdmmU88ebW>xdl@YnRqFK{>IR4?+BFC4DxzIZ0#!tQexuIR#P^kp-e97*z>!^&tlzF%tN z7?PNI(7h9e--`z(j$NB|tMfh4Z0-IIf^Xq!t)!Bzui4KuJedi@pn_-;0e`PP+j~N4 zMg*z_wf`D}TePMnCK(rRN}wA@k)F`XhDTHdsw>wZ@IZH-yW1tkiOf0InJj&ppc6#1)|xP0Z}THBi;U7e zJEPIqht&24QQclBKyLuL=u6*y^F~5y*6mkw0fE7RCR8rmD!R?H&FQ=Mu;5DB&YfrR zI}ajes6ydINZ5|tGmicKAopa`msIb*D%94y(oYpZ;;;N5JkLQ>Ik?vVn^MGM+@l+Q za$ux=YwQ0#t_CGwF0|{cB+wOe1cSMiMkQsn?xW*Z^po|DI6HbfCzndZb)+qhJUn^S zEN8*(`VUC$xDzeZt36Tp79jF7DwIgTgIUM0KiCD!AvJ-m`5VigIw-}pa52U?%8e5Mi1X#HZv*mPmmQ{<*#SslKn}3$XZ|ap+^x{rX3;er+Qc}<+ExVFtzR?BJuzFqPfHph! z!SBJ#KAqek_e&4F@zzeA0cZ^gI?8E*r^@Z>^~>+CRbhQV<)FkjHDSiCc$~H4DFRR3 z&~-Dx5t)geM#4HqNWgA7_kecNZe3(g7UW?N$r(24+H%zgw6_5_=ZvwpKS80@2?&`e z2lPkM-3hm_6>=AwV96s}c22~dtPSd*PI@Oix{Zk8;Ys-HZ7b&d5B2+hIIPw9!n92O zXD5@zt@%30FHqgj&o6i(_)7N&6&F(rx13J*EqRjetGJZzQ25cusY^=(`g~ZHJ>aze z3#t3D+Frwl01&L+xo^ZOZ8rU;x_bI-)BGZZqTKG7U%xXh*jTu)?2tJI1SFFG^rla7M!}d`EnPdgTZ9% m$SAd6W3qkI`4mg#%5_g23K{C?dxrLxa8omI#_}JjO!gbBAack6 literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv.hdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..d74a4fc9ecc87cb8b7d18e7eef7b41bce2c3e530 GIT binary patch literal 10831 zcmV-VDzMcQ000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*CMB0RR91000{$00000002)50000000000 z002)a00000004La>|F_%Tt&6+Ao>)S$Ku9fXkt(V5@seV(TCI1(=+L$r@QIynIycY zO_qrUl1!XT0s%z!eGQ0+h=__iDk=g3BF_aPpaLSIpy2m>pUdZSUs%lh|LR-ab#L9< zb#GOJL8iW`sk&9?Kj+k`Q_EfZ#i>;48pPKc;y!`;bi^^s86~|;P5h^OxF8+N)iUyy zk>%visM4`s#W2q@M$$5kiYl$jQ_2eRRgcN@fBn0Sv6tg;wnYRawI|{W z5b5Imh%cH>%ClHyo&^zPkn6dGr zPl~J|t&l!N(vky9n987*o#z#jo@gG+$SS`El2c13mWWakszOqi6CM@*fkv{9eMq<( zsLGVo)#ATe-pG@hi!4*2M#7XhO8q`!8j&4q6Q=_wqX{qRn37g*ll(M9r?NUh_CzX1|p_Me!rt&x}B@KDAj;X3I%jB`dl19=7%Yx;yK1oXc z!PpMpj+S*{*r;;SvM#J%mYM1$52g$pQ&U7PmHNDwGPx0PD&j3(yd7!Ui^R$(`!HC? zW75caNgtI;IWh%Q=yvLEJBjp098=G4u)+(}o$=kjeR{j9yR2s_+qcVZt@g1@4U*>A z7q@8Cdgdh!^CnrR{dK!hpE!I@h@np$S)JmubBwmfvEf-U?DK5!X)T5HEU^b*w;;$h z?g->b)uLoAsp$`|5c#Xc|5WPVk#cpAQT23|smEy?Gpc1c44#6rh=F7j2p`^IPNVLG zE>B=9Koik1)WE$_ZEORJJ_VA4ygD|cAJJy*-%)Vv25$f818ffi=gHAk%j+w~mXEKh zuNvLB<{j&Cuxb6O<>OoG8&@u0Pb&Hp2DAu7%NB7X%3X~NG%5{>MC`LdFR*|PsD>BfVAZB|>$a$cD)IrZf@RBQnW(7mn)MsG?`?)KZWK$pc}*&0!U*X> zxvqkVjL=-SiAqYHV{-Fi*apeY z*9nBFvF}`L(_$=oYjwb4-j;0kj zCi4#>sI zC2}kG{$~qCfT{K9|?NN&P4L zZ_sE}dW%Y=+oHI|D6mdQBWXEM*PW{L>Lj}0K zyd%;`oJ@(m0nw<+#F}+$*1v>Zj5@O8WoxNQ)GBd1XxMV5jA<_(j8v`|GDT4{ zEP`x~Fb2wz&DhaW+Tk|DnTTPwNTXavX$RTfD4WT8nM%I$Sn^{k%b3bznX)WQhFZ@& z1JB^;l^fTr+q8E1#F{a2rZ%8>09&}DS^r;MpF3c4dKd?^>|tb^p%4ATt1S#sgZ^8n zSmY=`*G!9u(8{Hz)|J}h-pO1l&LCT_N7`DMyJ-xyorwW zu2IU8dbUd?ZB#0CiK-7Pr@XLomXV*T56k4S#E?eP%2bv~ns#YBTGpviF-^yd=Wkj|aW@7Hz)jJ|S zsq85Fhrx?_F;a)L7o|QtR?lE~EbEYMh6ADBE4(A}ijvo<@?Sy5Um%lrtmN65DfQG7 z$s2(EH?M#^I;EH7^Eh~Nj`#`U6vR&;9)Qe4h&Lk7bA`seEK-r;XVB<=(U$2%j+ z`>V&5f)>T#I%+7j>65M-znYnW}+({3gV!ZW>=H%f)0Qo&%+KEJ(fJMLUVh zZ}LQnbZNx-^XAQ&JGy+uiq$LUA3As5+|fC+XRkiAdv4e4(fKP^EEn!bT80$^=U)jE z3P0n;&n3$@kFFjYU+2AHP{eBnGdCXtVX3E{-Y#cRfo$`S%5$cd%sdV#Ny35W*OEhb zd=~QI1zH%bz*wSx@Ie8N6+YdHq#0P}4^&?E&Q418Nhs%hSsEC?|Ina9Rf3aoUR|Fqv7EH&ZfNP*2^J@51JoxITwr>xE zf7%WH8NkiMg2ID(KWr8ZiclB*gBdC<_FHMO-j>b&V$_-Fy`Xaw-2$innjEco`QKur z{~$G2WIq8k{!(HqEz8wGO~qdg#lyf)0=YZti>1m?rjX8-hRXRurk0Oh$0WA{F)o=` z!#*f53>QKk#kPHB2z=jo@P{MdX)~O5BAi;NVLA#}7Ts(jIog8A{ZYU=-$Q*kZUzDf zJS?QahoO@7(PCM<4GM>$-)cltF`~&u4Sgsu^ix!O>mIaFTB34P^HI(2N1ckII_UdgfWN>%P?4;q1567UM)#r8;Le2q0wW;|e!m<10l>+={UDAK z{sTZwgM!?n@DF=&{a`pZ;d+3Sw&@%w#_N$W16!KL}#>j_;sIETKUiMk`(6jBK=h#Ef zwTGT(4?W)=dVxLkLVM^%_Rx#%p;Uv7pV@uF(ZF{;V#R%#NpYSSQU%2<>e(YA&F(!HmeAcvM^G0f|4W zw$4wARj#`(@HB2e=EKbN=4434N^b$u(v|-MP?|9DMIl~1#GjRY-xN>&TJ89Q=XeKuZG9X zf(f|*3vC$u=VmxNitwQ1{{@s5t1T<@GM1{8nvd$|UmBYoh5VI=>=BO;sa!II)lt^bl?kD(hNMmdlnx(rMeA)rPfvza)IB2>lIK2rw2zM^@c~fWz^E*%XBTGj z;t{G5*b#hELz&{Re^9D-g=p-nyk{Yi6_=#5nQAuEpR-}bM@HrJz^mK+xsiM}S0Bg> zsF@1tgxFqRmb zQr&4d9?HX*d~O6CRjk%37{@w(B%+W<=FV;QeZg36v5U#|mp)((WIh{*yZ*Su}7v)T)mKQ^NVLn&M zRI&?24;~E~E(7CZV-xia%f~0y$WJto2_u*FOtqRHEOPsV0}&`jilP|iQ`kPd8c9o3 zF<0Zgj5>DZVuoG|Qc^c26GGGw$`b^1nHEpnKohG|V+L%@R?VTl4&1qtl?$1y*zVOc zMa;XH+4IFgRTlH1@=)lP*PLn><_8xxR_J;StAv;e*FzrJ=u=@Rb5Kr4Y&8Z}<@DOH zM`Q8fEI!!aJ_ha)!z+q?+Q&XqSdv**tz+@2AHK9)_qqclsSOEPW81=4cv_lgONA2m z4ykv66Yp+z<86)EU30X@%|kUsp;*S6PMIGPBedmc!n_+3o0kiEOG%GwZYgi56$k49 z_7h;g2LP3v@E0-F7xLNIz5?h$ZRHh8gZajMQ^^ftSrv`i1gYHl4VU||d$bXn4im~9 zOrU+66PqvKosCW@S4u-AXd2*tOk(bwXj+{kCxtDZJ*qW~RjfJKczRP6$bBKyl|aG0 zrni6$7i#%3ws7TKrCKUxgu#!8fP}+{9GhyO;g~~22iEib)aV3odbeNtlP?6RR;WiVIA4l_TJ zDPXlytZ;H?8207+^A%y%QxY>|^D}+bQl$?rj^``u0~lDc(e+wM(e~8D%%`!>h%mNuEGS`O)XP?#`|A~l?34?P)BveO5p6hJG52|dML z=&6A22DaD_q%+ktrnKQgrjpJlevtb#FycS*e8IJfff#>z0D)cYp()l6p{6orB(aDwB70NadjBqqtoTZsH+n zoEKQD^!|=C?21mP@_~-1a%D%je6SM@x~e0pe5fN_uI>nz4|jyiHQ-{oBz+7}{8{Wy zuC+#f97ryM`C^{?_FAc$RfngVj~c3<01L|&f6^ZM8GGnw?V;BJ%8!U(AJ;f-O7~at zBiw-vHg7DbHk0`%+kMVnmCpl;KMQa90$?3k6R+ZVRL@8(W1`fBGIcT1;qx)qE%cfxfWxZ%%Yzs;hNp9^* zw)}veC3H&s3w5-m8g{ma?rIOcz#e)bpmq*%k-gB10p%4jc?PRmP|Zhq@mAn@?XbHm zJ+$x$6`|&%(3b$sI_>U;1V;fc1<=k`?*-D*885RI`aU4>ml{BjO$(+`O}!jI_7JfQ zL7Y5}!oS}Ue}yCd1HiMZ<|WqrN=L~b1m3c%ukw^me$o6x_Cl`?hsIe;3s^7I$nb;&CC_uiCavju)0 zK>S$^)axCvUv$8J$pQOi2kZ?F*slQVvTEMwh`-4Zf3qY0tH4{XeYXH=x3{|0Ug&N1 z(68A;Z?}hj9Z;(|=MDgA-sC5n-vsiFoIA7&v6*6exQJ)ev-yF1u0PF3D3jmJ`j)*h zzU{Rs`F81^aG`PbJl_q6!a&UswP1YD9(o_3eEKzyr`GWVR62Q+?*|9F@%4Z;@(1<} ze$XEJLu-8>vKRUzK&=Mm*bA+v%nkO?ciBV7?V%e1we!IVd!d``p_}cY$Jj%U1=P+; zTkM4%4=BIR)4XTWoXrB6sLAbw__BHBhChBFIXgVub}ppy3~uAvRrPmnS|K?G(FG5ysPGlUVM#-?0ULpcF#dRHqU9%5P_jL92XuP}vA4|Hd7mh+|xeYuzA+*;MiR4(s z1mi||7jz4dmiiuN4?Pi34!O}|H;j!>)K?|;-uKwcKFyQ;&Xx7CO%oe7P2e~*__|p6 zaQL~P<}-y2bba-jwWAwD8KBU|L-~C8#i*kb^C`>0LJ8lu#{*F{zMW8w#Y!PM1RXye z$JNF8G9P8n74Z&`cqIbI`GNYv{z84I)Gs!w3e1=Fsj1Y=@OA|jA0VCSK@A`M0BIgZVFx|279GSNH8PkvO6nI-L5*YoU_i4Ssnqqr((ZQO znEs*@`b$9D`D8zuORe8h#E%>((}>bAWWiog1;;|sei)SiwNtt5A*W6AE7>}VQulCs zbu0GJsy%er9y($VU1kqG!XEmc_RzN_geqIyw*!j5RCjtqyk)ZGWvRM{9l;`|6#KG1 zS5$`2kqK2+m@c=6t^kzV>SC$JcQgAHuD+~KYo%t5BYwTT>O2>*n=jsFFLa|lbQ7R6 zVLH4Xn9eQD=E^nxJVsx>C|+2?j!L|Umd+K#+rr+Xl!>=jo9z`m#vXdCJ#>pb^f*Ad zE#EjXzG>yertwk5F@4!6?>*gK_8IojGwq>g*+b6;l-DKkmYjIeH$Uhzk#Z1at#j;! zpKA|2&x6h`8&7V7o7^+eD9e4{n{l~;_Z?fGAt@EjAEXZ|@HG_JO;NX7BfoBqyu%v# z-`2=)SR=n_jr^81^4r$PJFSs-StGXrY3csou@-u_HS!*7Qj6ahS-{cyjv{u1}B~^J=&Y2kocD zcIa(R=EUi z-_J?-G*5W9ozJ`;aQyin^^4o^=`P^?0oHpguIK}SjyXv@`h>ouegG@BxQ>&Ac?*yRV@0WZt3C8KqrS8ah*CR+Y@xcK z5l&^>KG-Sn=tkm$cJ7JiATNr5uXYsw4h!+UXs#FD2{>C6{WMg&wy#N!R_+-eWudy% z8|l%2v*YIA(Q~EPmu;u~T2FjW^tb#qcyEjQI;Xa;PmXShPK`OCHvsM8@#9X?H#(sw zfHur9TBj+7+vFsCvzzc^+~CK$!MC`8lURnLtCMt9iKDY)Hu@(ewG{j zY&ZBhfOG$eCxbHhq;`L(@le>#e&=?8$9Wy#aefDQT+jg?7j}ThMc{$$eyaI7|FDi} z*C`iwK#8s3Atq(~pk$bQmbKI25=Z={j`;ThPyOr`h4(w5ukg^b=i6BB10H%-m%S(-Qd#!hyH=#qPUf) zjS{}UoA5Wd!Qbcxe-q#^YHCTozqYX6_M|_m{Rg^fa1h{e=DH~H2Rq_t0B_&2Hyz{caXkYeE2CLmb=#s z$EjlK4495vPc?MoMnrpOB~m;;qr#Byu}03aMrJ)^@?TRQvX)u2My~RZ(NB3s*>==J zCjTbmS|G_a@-n#;DIB4<)u(e&VenuHrN8jUJSDh*3f`;5R{-;PU#^ZPOA~*QqX-^+ zZH#Ye*1Hcqbe3cuOnpl9wrU?jg{A(#PY6|{J_RT(DSlzpX!D@qIPzFFlfO$F8lqae zD`1wbo#zSlpZ=-ReL|Ir`9N}luMT8~m11AkhwW`u9hy+#7BaOUK2$ih;+cJ3_au04 zUU};{hsN@&`a@%@M%Px?tecTpJ25)GJbeJ2^i7I}zO2szP+f)raHD<+bdgY`gHGs$ zPUyT7dXW=)u@k!BgdU28M(0f7?3dlLaxK2jkj{^!bL==idC>}?B&}-ZIzcjpbLhJ^ z;fId1H!_qFQs1oH0qP^HAjWl5&rTRBLQqqN$UJ`paniaMKMBqs$7Y@{ zCGVx=*#WYgKlCi~{N*#5=OB=IzNX7OaoBZ=Jp6F`u1bCnCBHlJ*tw+s02<1^0%-*) z^BhRzRY4hl0gCw%#Dfs;z!!L~MLDvmllhMV<)ll(gayLU+Va4h;Ag582=OWpHnhDS z;`>o5gf?yuv1lBP*PbbVB-clw*+Xlbx%rENOwFqElMFsR_)}KC0!^%$siwLH*)J%C zTP&Yg4Pw?~Pa_Xa3V*k`y;x0;P84te`owCDTM?w_gjh2VbI+N1bb>P^!#q21GWJ2tQl1ftPISXFqS!x)sd>5(Co8ItX+vF8U7K+= zhvC}qa|g43XiT*kM$^NhK=Tg^9%hI(Yip1Tf(GIa$!D>0u)wUk#zS0nQ2SQfJ7}H# z`k+{GYe(gwwZ)>qVsg~n!E6t+Vr-Ys>MMf<8V{Z&`5+d0>=)#rNf8Ctq0QVaGxYIP zt<&A0iQSE&9HnR-^-3dCZT`>{vv3&mqGj$m^FY=#eMSnzFr5ZF3YEP+@^zHf7V$)~ zV^=;SZ80;|+G2+6uoVAFZP{UstSP3A+vj07T=Un~OX-?DG>eIXw_} z84|Oj76k2auhM)WMWqOD?Y1DKt!rzFnHwhtVUMDz*xPAR?rWz9>ij0u+Hz!&LNtFw z5&Ona3QZ4l0reO1k!to3Vz(-7;q~LxWr`l+Byn7!NRy(iSXQ>DFuRmyF*D>jMzKgS z@;;#! zE>#E~subaLs+6x#k44JEHm{@@&2d*lmNfE>gsjI6WLfYS3y#Ar3c(%uAMbt5N&M-S zCdI3&m%J^-U*MIH?H6v_PnV*J?R0^yf3(#@W2zNsJ@-#4&?Yva=^~}0Hf-Cs@i2g> zL5EG9b?WPUU3u+!m%Yqa@V9H<)GJQy7lEAN%)739Z^FLi2ZjU>DOUKHYW1z;tQJfQ z7HBp#@1-6z^3a%u7pw*&F)JYb2=CKTQ{LEX1{N?f-1l_g`o~*Y%39g{a4F9|h0y2O z7rUc0|GA?_%#)&IUHObKeRgd6+;LoO5r1}6|8sE4*)Le2-Nfib&uNX*d`vqPJu#?Q zC-j))v12Yc&rZK+Uij>YeeOunMx|MGE-k)2jM>O$3bWWwP+S&Ny%S8I9Ujpp6#apQ z{gF@l@<0~sL(O;~ut=MlN{XKcA&)#6*swMs8V^|zey*;H)lOE;OtteD4Wg~4+J#Kf zNL3Gh?Z;=2e*_CODFu!Xm4`;rED+*A{q4UeHlfzH+GXtJU>s8q*)L7SWWhzusBMXP zbwh<{Ow9#a<1~oH9AsvyNwFxT3Hay*@D3?NMfnvE7+q!Bg^ul)Cc6!e2?twiQ&pBJV;o(TAmc^t{S^}w>)c8^j2^tNF z7_#Bs}N?@scW#2>$=SJ7;&h-JWDR+nI0v+x-8`a8|;W zFvi~hU?>C~!$0ew#nc|pv|P7Wm7Oyf9R8&CD3E5$dCrtg<}D-h)uxI z+n@e7wg!4cmP12fj!;lq8S8+7i$B~v4;=vr=4f-d9TjdlYk=Xcdrw?L?C2uKmgQlK zY5wF}AAeI(nif-?nOB9a^$Im(d}wE&IsA|h8vvnEMc@{Im-z743&U*yFZE%*FuWV! zhkY1LSip?-Ku36)4}*UT!L1;9Ia>wL^BuSQp(A)T^f^&?JjIN!V9hXk=iuEM+_sg_ za8(Y4Pz5W4!J`K*9fOWUwP&EHFg#%-bT1O;(NNB^U=T-WE^uOSI5jZ%Y=2#9a3s8v z6wdB_6T?liDl@XU6E#4a;d0Fo_A1K2(u(`9NN#N|=Ll{qF>jwpu4ac}BIUiX{XK}v z1T^#lP2rBCMGajT?i%(7jP04dTqOf1)7dc-wjw~SZkYyB%vvP!^nIrCFq!UXQ6JH&LDeMISEP28}E@ zb})53lw(UE=Xbc4eFognZy!!dRZHQ2G)#$U)@G7AGdPQ7O1mP98n#w*lU`iwvALb} zEUwv)P?SbrYq)_h22-ZKDll=pgrT{0Y#b)O`uyTKsZX9cX^C6dGcX@NbLA)KNMjZB zXir3oL#Tx%3{@D4CY-GWLH7$X9aCJFPTu8GVzq&MnW3WO>}rCs;QSNA6!3#Yo_0Y=VaF%}0BR z_9WdLx4W(kqvg0jZmz{`5D1rfvwyi@(jg*iXU^@p3oe(P>=XbO0;yjS#I^w%?XPVn z3cPEfM?(3;wF45DRiuhuO%c1Oihlh4)>V)~;^blP$2A?M-4v#}TX)fKhJi&;ccLET zD5sZ68f;~CFwt2yP>bb*TG1N>qwo%x1Gg1qYa77xXKUKvqB+`5MP4#AQieLwO1zCw zuLj3}t3@(D#sC2;qkUG2OTl@p`$f@g6u}=s?FCI7o`W zJs)}*&kbbd4%0!T84|!W`h#4lm=&A%UW1n`CdKVjmUzc8e=^h?Tcsj_NmL3=IicH{h!09fqeEtUwhFUJl ZhC2U2!wZgeytUEn%4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*CO}00000008#_00000005N&0000000000 z004;u00000004Latd_fKlu;1J&&GIfL`4@12@9r_sL=?DptyygW|0kKtHsjBMjyZ@ z@&SAViOt-so}!r-bwU zQ1$~9Mk_KM5nhh$d)X?j$cX-AWSJ8FS0oi;1>tF}V&qOO9TCn6uSfnW&hg1UpHFni zuz`p@kl*kXJ4^ItoNucnghMhP6~=bF_ApA9@}{VaCUs$v<70e9%^33jvTs4L1G;?x zDD5-)Ar101b1N!#M4eqz>p|EJ$90v478E;$Ufr|(+gfM;Uh6E?`b}?I2%loj93F?0 zGe!6zvj%fm=4a1eyp+irq>DIoRgDbh#sS>W`sqPVEhKi1yspST?ZO*&j$H4I*{9?@ zzV*G1-^WXyi`|)ndP&!ZF7o;~&yT`4WbNMR!=+n{L*e`P=8x`f&o{TGldb7|viW2> zpUx)Zv!<%WBCQBN5MBK)k?!cu?(?5_!IYi}n0JElyk#j*%{@j(ajG$+Lfwt=r%Rli zelCdPZ??u5zB^8yTOQ|sV|Z%#B)2!R_PCZ+cWy2Pb6U91`S?2ovm`K-t4Ef-?g6np?xHwugZ+M(ryE$G7mhFE!Q3>mssOS9VZ;C4^d~nOP%N0rSn|OCgG6t zeQ4Fde-y}aDsk?UZ&Kas3xats^2>@&I9Alq(vcrJg=IGn9A8=bJyK=zNgl*QU+b_U zUQ6fo+xIc~WQ7i#OhQTL@tBR0jur7*I^-?gs_4A--v9sr|NnRa5@29tFkoO{u%3|k zi4jOM0kI4aJG(f=2fI6lxW)&$I{W(thXjQ>hs3-3g#<-1F(?2PYpK52O*!aQ62Na18Nu z@&Uz-JJ5-)LBZS%6+kt=9TQf9^aubkKM*7IfSkj_&;bK0ov@u$KU{zTjR641{CWcCxUE4G6m=yUw(!Rpy1vKKc0XL04YFKwDRc8mz66uxn@?XLJR}|yp%h| literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry.sci b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..7d39adde8f31de0546a03b8e43c0c0a063183ff7 GIT binary patch literal 225 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6RZ28@c)0HSXx3# z#K8jx5^n7Y?G^MeW;8SxV7IP5wtEL#^{(HBh6M~i4H$wBGaosssu>n`RMmB6<;ycX td=8AC|GTX;U|!N#GlB6R4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Dq$000000021`00000002P(0000000000 z005mB00000004La>|OhJ+eUU4x7jvgU)%0(-;b#jS9T&oB=weU^(cBY-nAW7QoK#K zJvtBpiikmg20+P*j`t7mFX{Kq42A#+ili)c?HnSZi5c9vbLYNh?!^rC$;`~mpWyR{ z`0y%~X8s&sCtlkN&c#k(`&Zw)k$hC}PpR~0sQno}zrg2j@c9RPh%f!Hj`yrjKP(G; zeu5ABWAV9rZu{b8n0O5r#lovp+WCW-ncc8+6?x~aL_FMmBV#oJ|1dB!F#%^@sI#&7Hup5bP?252C*k&OXjvIUDfk?v8j~9g>o_h_^>;?^@ zj78ZF91$ihHxhB!OfK!n6|B0E}v0HtiQBFd@Qj(&;9m>G^Ifno-!6 z?LZT7i)I+P=OLM2e&_`azw7YcaWB9b(TT$I$Zkv7gdoFfcYQfvh3rwUkFp)dVZ*Z% z*AY(G=(gP;QIKfo1?Z)%iX4UewgV=`{*{QkXWzMvM3j?IQj@q*JC=ku!ocwqBQ&Lo z>xYUY@f`F8U~T!hdlok$uR~a|a~`=a`(BjjRFnVcf<@g}97bN-H4S^9W%5CR9vuGr zj(B!h03ueCOP2LYv`QwiXxl-zX*ZH?1YVzAl@;Yw2jF0h9t#NE2>EE>!6>fxzB$-8eao^Khk40aPO1 zhx&7m1?e@=4X+JD#!*30d$@iOjf1LdQhiPni}EUGlCUHeSbB z0D(f}!qFwC4f#-1I(Fdtr-2P)%EOmR zV-&Q)*c$TG3u(%nShC7M_-Um>6!#C7o^&WlgJKY_^zD3p7gqxT6mhI zP@)pMNw*^^ExO4{nTp7Bf_;q_6x``q~_YrV` z?jQ^*dxtxN^dGqm*Mm52NqmWl`AvXD17jW}Kq$yLXFx{$bUlO>R0fKwJ$cpcxq5>KCsIoO&z_elJk=~iqRS{~oj{{XUE_dGX;J{2L4%kRoP?t;Cf zH_Jx|Z*IF>J`0^IaV^TT%`iwRO}p*+S6f0}b84l#i)Cvxwt5Yjh>cEy`ZK%n`aBA|fm3OOei&_u%a)h8c!GuKxfd94^jUpe zUiy6YER0~J+4@@NEf?FKBj(o+Hut{VeEj*6So&NjNP$441C>D_7HM%t?lftJ_fV&NEDys zziLo;G#q(~-QJQ0cdd}jH=E7J=o(Km=mcoUaI{thFU7lZs}A~>@maxVH4j5}1EjMk z&bV-V(+v~14~+(q_J`OA#*(y(LAsE1h>!0{qH@G&EDjz!urkLP3Ib^g4`QKEeD5{% z50PKou@UOpO-`K%rV;ko9e6ngRA(;3V}Qm8q#&sdMwn_z&25V+|KlLb#4LxwU( zpI&Kkn&1#hm|`tU>gPFko~nT)4kv&0uhvAfGFFhw|ZHZd73MrzTI--o^ zZQJG(BX>XwKGxrO_O7fkT4#17(dtQJOWEb)Su_u0nQPhNtc&UTf^zK%YD>)PezLMw zVotl(x>cxHs#5!?`Dzs=Q=Vj>I|&Z@h%h>O!qY!2wB@dE6neLPDQCE+<4RhCfq~mI{@!ER!^5tHOUO6K)~e|R~wESpd-mI>0Gz4?!Sar zMWy6j57@;t*g>c(N0#yN*FBn3GU*zSC{4T$^M{z^ndXz6^+Py?01IisoP@Mw05k8( z4BVD@0wMz8IZx*}&taAE#8!*Rx%s(mtj?lDHk4r7M23mLpu?I4t+6n)xj5u4b%{6g zB;~rmbUPZ7=It}AWx7}bDZ-VhNvjj;zE5e)6e!)&_Z2U2+_%zA zLBNAPN)5=YQB*PGtl?egPxp3H_0ThnR^&W>@Bl_Uk32N9CVsaK9+YP*m+skXFX04> znu^x_)v8qBzK7>Fgj44e;DSiG4#jeRNrfIE~GN@0w}7B#^ooSZE?~MnrQ&=CE5w^2dt@fB-{}~HLw)5ppmc=O8R097`UWR7e{B|_iqy6O2o+csxGf+~f&`0`pN`aUBb{y(Qc*zX$w|0`%VYJ`i zve3j_Htt3!&y7CPjNyjw658o^ z3US`x2eRY1jo+EHze&bJzf+9A$Twt1GM?WVA9sdon&dbOGr1Y3H1m%D|1z{Ahwsqw zB0z3N5j!zojx)s+XUV>+%?;djQsP_Q{v8{4Rh}X*WbKqKg=|5pBzOIU>RFQ85S|6x zJ1V!@$X5gcZ~5JOv58OF=_mN7-v&Ow${e>)&hp+kDb`?-*SHs! z<@QLibM8$ll}dk)uY#Q{MdAMY?~0MWj5}kpa+8$Zd&La)V)kE36hCmIxLP^NP33sU zkOh4IQOmuS+zZ5y7XP2(R<8U0AG&O!FPP{OzJ_U>c-V^xE=0AVrnX0DVXix!&!~V| zk>AICY1+voY@M=eB8?m}56X1G5VxK;vW?ZLm5r;PQ{9|MH-9|rGP94q(X;2xQ&}$* zoK9sE%(Fipt(lHwB6rbmWIEgNx2VrY1}eZi#4eaSULkWM`{0Qr&ZV3o#=oMXf8dkrkBuyco1I4HzDXtFsE(`^lDT9NO1#ml7~`MLfrP6wQ_K`gEx z?0)_HwEE!P|GZyO9wces|;4-`xH<11D^QNpgi}6Q{@dD*us7} z)tou4m)-VR`cniqWsS;sQ+&!2Pi0LrC;dwM34>yBV2q~clGOa7V zF@16b0+)dm+*pK*oWX{4tNK6|XNWlm7>jyE50S7qL}S%7EJcaaVU5?SDU0x8-Hs}9 zn9QE35=EN6kW@7koZefYD(LPCUYrr_%nTLaH@*Fj$K3xYAIyA$FZkEn7s#7E`O^*D zY3hoTm&ZM1;LL3b|0^4jv_nrj0t%W(Y>yKhMZxh?|_RDIW#_jWxgtwy#j!^;( z7ZdH@F3Vf_BPw-kRdBN^E*el3YYbVChFTS0E#=Dxrp!^v&F>1F4y2-Pi6`v18qcWG zt$Q4WxJLrbqMD8}Ln!NmC0kKFydYUtS5O@Flx5?wOndw(%f{C-?fa)J8;fOhh`-x; zezJeGbGo_;=WVsjY?teYyo>ZS4k8UoESZZ-%u2n0WRl!I?u8?I9 z@(0K^tCd<6Q!}Cq?ubwiIMeJGyG^cM@ryTA(TNr8Km{BBXR!krfE}oijc?mCxwKz> zuITFXT?0K0RB%0%TJg^D-q)vlJ10A}Vr?8~JW#MsR`OfiIr_gPDj)&;?0D^%E zE>Kg9B&~W|(ioB;9>S_Rxxy_j@@i-V!+xLPr=j>ub294Dg69H8i#c=qOVs8wnWNhb zWIl1~ zLaH5oGBL(Lod%3rTm=tl#OfjU0v;zgW{%S&_{BC?yb4?Rp)(>((S(M39l@u8(_8lauONY&@l22m2I&%X@)-6!ifqmS=$&du2I3_^ik9?6c3%?nZu(18fGD1?KpRxZMv^ z#Oy2#4ZVQZ!$AtEp#={K9cfinp&eANz+k;@E!WfVi`lXjYh}f)R5#N)4P(lD*Hl3w zq`qciQ+mp(Ww6jm17LYMMM1Q6YZGWOt7^OP+vozb~m;UglIben*n_)Segq%jRc z0`LvjGz?L3Uv>rm8Tc{{Lna7A)~(tayx4l`khJ?S{>XT-m332>&L#a7wOk~!VlC$; z5@K4hYM9A-(Mis8_esX&VrE-b$}n8FzzBG86u3_0!q&B8@<3@&+pa#`Mqvd z0BSPNTLxRf2=CY|e!qm?SvWF84953N9aNg?$@$M*A-nv&tDt1nT4(-h=)_^d!~tVi zzd3`oVpR(=SoPdok{eyFP~@sUP*Sr}xvQXLQ-zBCQW^9GYb$25*3`-&jYhGH)ev1?95W z%&b7qQdhH!Nv0^6b>p+r*=2ddtgQ6fYak!Ik;_~HadxGp`dXVPxAHMi`W_+4$1uE) zM`ffSZWoS>B?vP|8;hu!$zNN}0+-yW=^N2W0V!ZR&KSJe|0RKmQOc;cr)|(PuC=+F6nK0z7~gw#LR7W8uuQt5{5axt;I6-Pz4S z1SSEX_g-9yU`DYrSdw|6gi z8`Xeu84j_y#s;u(L41tilu<7iS}Ou6C;ZnYB{URz#2jUz;A`!woT>kF0z2V2|wEz)Uc7IPCXNXts~GRpxO T`q#xXi;!)%))YSg00960WB(%i literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.sta_cmp.6_slow_1200mv_85c.tdb new file mode 100644 index 0000000000000000000000000000000000000000..f4c7d4381538112d73a3b2772aba9164448f65b3 GIT binary patch literal 3159 zcmb`G`#%$k|Hoa5ijsRN*HZ1cJ7`!|E@x&ZxozaOL@k#4Xu=l4CzqBxF)~CLp$KC+ z%-nLTk;&Y0OYU}Dw|zU`^ACJ}_&nb4$LsleKOV2g`I$Yl&Fus4O?HtQmtV- zOnyZ;OEmuWEMzr{83diBt0pUE>ETq2*|Vvg1ehFH)BCW4`_6e~(36dB2uRO-U<%OB zTdGk4q--h>x+H0y^+xNAalmvL!>C$wBU?XD9uf$;e-agMd!BH+eGDf>_msKtC;dX^ zqA2=Hx>M2O?ej~;QsK&A1lc>X^rNNWNX@j4Q^0gX?v;jE*q*Kz9Lmp?p4;7+2sMUn?74MaRw}`**2HLUL4mj9kObS5?)# zD7i^b!&YICJVopaCH&Z-;jTbgV18RoC(_eur`_pyJ>qh=RZJ)Tawo6O>u{7bIR^w` zV5M6pIC&6!f$$@~hUn&~wHQKh4wUz49d&7+Cvjl#Qw@l}Mwx7*3V;+M_&{eVBHt`u z{8%f5BQa#OU%voS_`N&lV zdFN;FF~Eh>Yl%}A-wIkE1@rS<*^^sqqj~sW$Kg(V+;jY^S(K|f6|}Apa1^VydxFDL zi*))EuOPI;&*RrTQXrt8uN4hzqu(Ge`bWjb;Q4viS((fDuUuX_7OBGWIKa#8Pdvvh zFHt)=LH$UP{3EQB`TQ(_^5<3CH*=jxJeZ=z;66u*{-)(Z;+SXzC7!x8B{^j~l{S^~ z>c_rO>D?DRvg^~j^$i_vD8J$n0)K&!Y;^g#Uf$hHKfx8dY}b-q`-6@Fr=o1Z(V$>= zL3dHEf~%mrNV%Unuf3C+f)#_#P!$iJ^4DwuA8$MPE`+o+Vj8RsCo@++V+=szk`C7= zK7pq|Zk(p(=DhY7oi0_)O`I~aWVbVP#jz}?bR+WsR8-~sz7$AoJY$5eVIVV}N#gX# z7NPeY1Z+cz9rdHnl*_42y#az1xt_Hl>1OJ8+zLI#W2_QPuE92rurW%no0ewRzN=5z zrk}TzDHL`5j{~I3PC8XB-WV2aQJTC~xzRvNR=p@Q@?yKV^!vg+u&SDZDp(xd3fNm3 z9iZTb8S?P%fi(~JyUWi^yZr@m!s}_&Ne#VoKlEzDIVRHYlc)?Yr&4JPI$3%F)n!uBY4Mmn`hXGE*^ z)47SNd_OtDd?Y6nWzY|-r4Ft5eR7^=R%gOrHy#>qcr*h+E`)!Be1-gu7qt9vM+!Py56LmvDIlmfpkksLy zFnWqtMXKMHs;XxlVLQm#f7Yb2DTf~L@s6*#3y)`&INO(U-zValPKC5qxsbPn;l3!4 zC>b`>s4;uB7abph@C{Q~_T_&3dWG5&k^^U4)j?2FBJ!J9Uk3`7kt>Ch#u>PmkE$7L zI3F}(Vn0W`W{KXh$((j(uq(o{8UPjEj_0pj0|NYE3n9RX<)||{W5{Ox+~_t+*V)%@ zZU-@(_w68szH_Z^E%IW2kDl5&XroMzGnx!Csi?A1DtPJT$T>1ZiO%vDH-sRjlShgd zhh5T0up!!s^pJL|?@pL*_FFinM#50WE$G@qX}3!A=N4J6VlEc4YAK#Ws-x|QA=>{s z_H~iOv0{?(zvuAg#h|V$X4|b&g`q7xsI(hku8(Am8~)xx`AyOhFF!aiP@J;sC^b`p z^Yf@H?nyT$V2l!Oh99*Dquv(YIH>EemGB{0{(#Ie@i{@P)y)gfQ-KNbV9Vns_TY() z2i2F)wL@q{*M_`Zm@dD8O~i%pk;R!wODhQGux-d(*0wLBJIE`r8=ISfrI?e z8Z>@<2QVM`%-g0Z1B8qg~_SF>|sVgV`tMOw4=?V4LeCOtx3vF%VgZ1KCVq@Rr**YRVOAS}iA7YBH z?z_kJ%b^nHH*t$=rR<7FjnwM<&5EIT{3pul+S^9TLHI+>ordy5H-jvmA|D@*hviRC zgIP-3o}m+}haH;DNrp$sHnZ55IuE?_H@vtYK70 zTFZHgD%@5O^>_!%5}}_mH%e@a@1DuA$mT;;$_NQGNk1}fRBmq61W|9&utEf{w?EC2 z+}!ua%mBGu3Gq{Oy);zqpHqwRHM=H2y_amT#0QVfE`!Xm!vP5gbGyGng?h$>Bd0^p z_9>sCX^%82hpYm}T%OJg>Ko|k0=2(nl?l@fD+OAU^jIm{fX_W1h}Thp&sRdNrlBqY z;HH>+?RF@VqFCjiK(>bZ6PdZ;r13whm}_jzxP@u$RH549v-vlXI4N~%X$E*@cG_g` zp+q(-qa@fN!v_n2>d;e~W|xS=p~j%I4A(1h$XAuX$k_wn2KM6jTVDkv{GN!61v;sJ zFfh6iV0L0;CctJQSa2F$uV!E_U6b}C-q~cp-og8~o`vDfyBABcZ?8xG;3m>LhNK^k z77ZsRI=NcL7(0tuq+db4uI_6oIVK@y*VL$0Ty%V5CJF=A6L|?91V?I-P6p| zo3qTZV!A|LP5w}x?kev(^i(z@Q^x6OycBLJVDk4@4jxOI$BliOUniN?0q1@y=n>i5 z-D*}ZVNk}r@$G9Alr=-_V{xt2UrF~qqH{qZu|ZTnkD&{3er@;h(N>|7XM%x+&Lm4dwlC-(nT91LcFOS6!6v$Bqg{}qi z{ZSlu16d34q$BRwoo{`VV-5T^y$3p-&@r1+uRL3I=|gYK^-jHU!k1c=C%LQ$;_EvR zlNiNm{|z0qU1Cj+6au zIDyOdXs@kukq}=8jd44}t>*~aHkuH!dl2DV$UmEIyynxMq$-$s}QBWK>>7~INA zBgrMMeYfDDHZ1sL{My(Z+Kpo>Y9n1OJqiAtElAgi%5%&q+Vy$42LI&tKS=v3DF3OK W@Mk8gcpUNKOlB9kWdG+q^(U_(8^M5q^k_I&cg9t{$I@_=?gToEWSX`NU`8xOudcJ%tFG);$z%Y}U zRpD_@ngkzZi literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.tiscmp.fast_1200mv_0c.ddb new file mode 100644 index 0000000000000000000000000000000000000000..2c6901034267e5e16864c7579f6e55433663fc37 GIT binary patch literal 107168 zcma&MWmMf<7cGnxcS_;l?(Xic#odZaad*nW-QC@_xVsg4aEj}}-SzT5_q+eUk&I-o zjJ;QqoweqgGs#y72#6Xa$j>bVgze|T@wwMDcXqLMuqR<-VPa)sB@uJ8wlgE)W@8~? zWo7NfoLA%E|xFD6l^&Z)vIY$9O=y-%+o?@|8Rd&)`yj~$|Gj=$Os%Te7D0XtUw1)Zg&y7 z*o!8Zbz~F>Qb}7`#>%|pU|4$q65P$&v#6~lt2Se49+p#GX7GJN?iKFmqUg@v&?Y%E zyo@2AH!ygaEfD}@&L0jE?Y30bUmklf_H$GA7@EmXUJEE{4qxvv_BW=<(!0psfZJTP zFi&k1mgDP*2vw6-O&F%T4JlT}la}G{uJYRPKS1rRvFbfxZ??s2U?cM9l4<<6!9Pz= zKQ`O$F4t)3X}@aneh05?;f2dfE%QB8gu6GuryWMGB`Nb<*m6Y3{J3}e}r zxu(_!`}H;bdSsOtQQim_;cRXuCix{H21Zx_>FoEUIeK~Gp5fZ=+7Bu{=glVpP*Y@M zbas?f@!Mw8^jmA<4e442U}TWhtNPE6aI3pO)Gz`)XEZ&am5MlXJJ|72s}=vnSw!mr zY13<5rdg}j5(vvjeDx@E-!otN^)FEmKS*sv?*}LwKPQP)sUm2PsXyiqd^0hA#CjmV>xW0=43?RmdQT84Hp zO!@JF8QQC7FC?o#)`EHY{%~nt!PD>q8CmILGaF>%`#p_RHHW#H+~;k%@yUDV*e6RgsmhLDK+j~eOB6E1n&Yo+n$ z16(s+ZeSyBFE{C;g!b?rXCi>l`vKW6^gMqC;7D0q+-iHu>r;%Sy*`1_&P`f4ti?u@ z&5(79+W9GCtnHI8<8?7?@imumt_*E1IVhs*>pEVpc_KKkJJX(`BRQ9hIfXkhm(5Ui z9IHcpL)UIl)wl10dG$Hsow%-RnTogvy!{>=H^CL8Y(l26;&?pqR@eJ8;hpfCm(#}& z>hHaK1=t^NtGS0C{|=%Yu9FrA-vancs0&vQFNa??xnG3+d;}Ke0{S8Xz=eeA2>xq1 z?c}Y#g#nHw!(8nz=V32K9~;^m$uC;R0e2};w{^~=^Y6^3$NL4mgy-4$%Z_;IPr?Ub z?QxS20firLdJH||w6`)J3uPl6)lap@cW3i>pja+zP;!k|B=;YQz8BQ@syywG5k=s+&kc{_Pq3d()iZf zzWtP48+#TP@DlMJh5G4mc=KN7!)D2{`K~wA$s~Gkg47G=IAl{|+cg1(a$-ME`>bX zH%kB4`!u?EzIj|g`4Z4G)SPW^Fp`aDoR$X7eqoUraDDaeW`DZsm}hkNX1a0b7sqt7 zyXJnVL+Nmr7}0^3KF%$nw7KF{9F$>8cY0k`w%gx=j5NUzYY-h)>B-ceuB`qyrkL6{ z^ea3a6P!g)P~Ca1C^VdtkLO`7^LksrogkO)pPH_#tGobc4^L=zhsT<%n=iQzZ7$Ci ze$;O_gMN9Q&)9yOZ-=z)n@aEYT=8-0D7!%!W%jF?E+}(?x1;RO(*d9ZKR5ypo6YD| zu8AX|=T*BcMuv-&$&L-9+7^pVClBETpL4~kJ+F(^PaKd}cxb@qI?8h2dh+A;ect!m z6g)l^K>J}l+Rl!4p?45abyxGgwBjef+3_RS11|0hXnJ|b`~#mGd>7)kCbfTW(C`ds z_v81QRP`L|n>tYr;{dDrubi-5q*0&$YrZ(w6Iy$?s_QDeSc3EJ4J2}fp7Aesn5faa z74*Ee_5fG-l(cEaWS)8T3#cKX=x} zj`c`D+0#^ppV8|Rm!I(JO>~d)#n(xn@yc$$)fu7I3q@OpzaEcA4P9mS z0n(ch^tJO3^C&O(2HgYm`WtY>g+YRCuetAiMcr^+H_Y8(KYar(s`rTxC4GfnD7yZT z-0bJT;tAO)V{_=J=hjM*B%aT7EfM|3{SuUGap{I(+k+%{yyAz%UO4?XT;Aw zV8dR~3uOmCoeSIbTm6kx_O9Hl+C8q^o3bJwt$@Rd80F359Ip+FG*7`i{{00%KcllV zpNGxX!_|FT{Jm>`w~Cog-*H>-vh$C*4RXV`_6;Ayhqvt5o{P7P9o~yao0ogx^%s|5 zLjTRqQBc64-};x7KM?K=gzddRvy+$=wdlrv% z>|e|SbXqTV)fUfQR?nHwiguUAj+izkRxig8s~*m;_U1RFFN_*Ip6=2n_PfvJYiILb z-sfMM?gD1+d#>4)@9drb3Hw-XzH2Kz^l1sqm^PvKICT5QZ0vjJRz1vjYfj&|>u$W5 zCwSESdujN1bKjLT>=nBpgce@KW%XPS5M19aTc2CKiFxt4c$#qVDf_sL&9>*mb5)Y= zy?f|>F>*7yaH#95c_7?;GstZ{rp*P<4;!v{^zY(75OTE1ZmN9Tur^!+a;Hs!AMHN{ zJN^kx@iF5Rq8Xu0={s2y2Qv%16!rWLXmj6;)v8|d=(8=`fA>9uZ|D@N`qkr-zHm9* zH#MnQ8r1sjXVp3X#(Q~|i-x3r-KB?eE6CFF&d;p(=QHZdV%5vL+l56t(<+nE&&{6# zIML6>Sgkh#MZvEBzTw~cRsA;E#24b|cBlf_f(5ws7gRmr=dKj&Q*t+j2T$5h=Y4zv zo~GfvEgk%PF4iyF*_nH3hx0ve7HzsG-!5Wfv>sO?yS0dKCk3dc2@9f8+r~$?`kOvO z?rLB7wEHSl-iNsRsx%wyfQVoEaTl?n+;bnX0cZ4Pbs>KsmO~dbn%RTZzz$2 z2Hc0fF_vAqT)p`wz~|J9C6D-Z6X9g*HS6ZQ2MsKI(fLlu!)#^knHjNYvEW-letUEE zO+X3yCq8IGdot6w zO5v-JLO@1!!R|*tk~}}J%aGzP@qxtG$S2J1hwP_Nr{tWDaJXnxX|fbgC41ZwBl&%yA#B``(|f z%w_l_8xHq|23y(3-%YUWzLG)zgy-mvkMr_HR=ak~yIH~{@rE=d6s z@BBRyzB^k6$}$1SZ$=JT^Ghle#?gpZ5<(obmoqe4B`uzwAZCo~gr;{)Hk~sd0+N)_ z$0GZ5CZ+mpGlH9x(xe7cK({k!G%u;o9>SA2-K&7n2k!|D9LX<ZhI7CwiMPx>T-rp@_j6 z-Gah?05w3XvG~HW7A|=hwPU0}3gkrV2)8HUSi_alJBfB+wV^3(42>XS=YXr1jLc+) zVEHEYFF8&IfSeBt@Z=>f7i2+6MZP5c@%`(ib0Z(ynl4mr45g!DMkbpzdB?!P4*BV% z_+$7E&by>Ic|#^&kp}!W^sCQM`l4zXdr(4U!eV8xt0N*pwaJ=c#Z*0BPKp@%vA9|W zauhyvvroN2xjlXD(^u-LQV44vQE`Z^Kg?g3eCd+v^>diXY{<=jjQsmuUb;v&y;!PWxM;wH_qF9=V;KxMWt~xvI!66;TOF*dm(MZA88e zlxQoUMyX5hU)O|WgD?%~DdV+Dd0b}CJDJwjf8uOdDg#he&7BuXn#_E!~x)jbe_ zY+aVwQ9PC^f6#cu4eReIOmqMh7}r|?GUht=ywpjJyn@a2nekBhJ2}FZNb5kpemUGyr!R|kig4IjH-vff^5rIIJi=&usXYz z>B!+N13xA-FcO#M$0zvG1xEjTV)=>--;)=Y^eE%aAW?q1xNOGcOZod!2Ph&MPHig2 zM!!p{GrV1FJq)=xu1j7`t$RM8^~)rHR&hhXogc4e{Snt5@sUsYtW$_VM}R^;^)xb@ zblAvk2qj8Jq3J^HpX8RHRR*H|OQX?ce;{6!5oyAm=RkRk?@DR&x{ z$~dDv4)tlO;NG~G85aU z)AvH|aGAR3B?)1b8dFd)EQ)zpsy80_2^jRKk-IHDxSH zzKmlc35H)Q+2HZf=rogxHF}Bzi|b=m0=$Ph5HyQ z>ZRo9Y7+i|hiv{H_)-L$H?cscC$?-wnIJ;$beU_6Eb{H5*okZN$YO~Bi^)mYBZVPS zhlG_%f6LV<9_G?_jv`FVq>1T#Pt|dqNm<{W|BC2i92i&n^n;p#hLh5cbk#vD$(H@Q zTQT$y#2dPhLQ!2p>5`~!73yq1EyY+E)9!6LEgoS*x^H}mqJmJ@krHpZp)-V>W8`z2 zqk!czk1^V{6ECsWP0*dkx(XA3A}NXU1(-LOzVU0+iI=Z_f0&ayw(~VrFXB_>S4(Z4J~;JqJGL(- zu;hSsK5(D@uErM=jJSut4%v~OUQA!sHHi|P^Q1Bj;jC-;WZ=r;i~lL9=QTjn7bs?r z37P&(jZ~?r8aq75C@}}UXP)wrRgCgx^Xe$Z?Wibz5vHstZUpq0`cip@?h0ZW$KKgJ){!jP@8b1BSqPZriSL-xtv$*LR z14)KvI{?(ArBIdSitVetKwy-xqZ+ctaeG-Or+4k3hPvzOuo!*VedDo}bRLw6;fIla za^sb~Q=h=Alv3zf zrg1^>jE(O5jy0n7R2xnh)R`dddY?iHx3m`IpD)v9qE}dfY`9aAEV5{@d31sXy!l!t zuvA+{b+tAqaAG*PC{?hioUTOQ%zjJEM4eL{v5gaSRwXumgQw(+YmsB;v#c@Y(4oV# zJ}qJ2ga$ArClh2@gIYn6)n8m{L~+56U1Vuz;I235$c(WQ=2YGrLtY%Cibx_hZdG zUwy11b+tFSR4w*Hy}nmE4+@xR+NXz2sc^ppE+w)T7TB7+;Q8WygvH7(HlmwIMT*nF zPHLd#IUMJzohHd$8)o>^o%<;~y6#xf(8k0Z6sSleEtags1-i1m}CVV(pF zE{-<_zR}uI^a%q8*4P#%Z9sT6Y4O8sai5;mhv zB&Oep2bGdWU;wKc=5{#~5K+6IwSr=B4l&DbcJ)C}q6fbD9%5^eWdSp36n;vC zA^2xOtf`u%SV%QhEYs77awb{e)CEn78UBVKLH?K%t99zg3EDsG$VI*|kr5iearRw?|8B~^i~$2a%8 z5gh9|thLNAS!01_2@J?qIQq2hdei&ztg?@CFb;xC-leiP>sZu9ef;EQePkli6X zdL=4qTb>b5#45Yu$3^PyHWGR4^DdSn z0kt`34fXm8N;9>lwFqg5B9Ybr0`3W(5H=l<*ViPI6gv27^`ir8p;Xd~eI_Lq>%_u$ zXVCkx*U6toSCCcL-H@``~ns&HC88GK7P$ zLX(F6E@uTki6Z<~)cADpIbetPG>uL#@benh&0irdNr=~T1~Z6JByr)!OBp4~k-yH( zbL=Kr_^Jwaz=sj1h;sMcRRQwqKV3DWjoZ*<$OgzAK#3z{DHMUCl{2p*V0>!qM{g(p zyhc1a2#io~5&j_$sTx<%f$)h(6O@YFFh=0zs9w=45VyE;XkPP5MAoT~t-YcVN<8b9 zl52S}=7%I3@!4mXIuv+pF=ZNALb3G>OoAbkS*kx#@A4kb(M$0eia#v@ZOM7Mpd=?ryruw{oKkY4eu93m!5MAco**X= zMb%ffmfbKyI;()t$2eUCkeJ#95xL9Au72|BFp?(COGT3*>tWK6Bm$%$(?%#@2giiFDI8A) zOVUJB%2iObZb6%)qewwPh`4!WHR`YU>dqXOKx*njqdIEne=?C$=TJlK&ZmFJ;MbFl zw4UiYj3ADeaPEdw9-#jw64}!q3^bK-GjO0{bn<)1V5LdIr<6^!Wy2d~6xs_tVNB8G z$7e1xi!T@{O6V&Aqp9xO1?mo<`I*T9VH^z?glg}3;cd}o$%PSx?IkRxr@P{6^NO9q z_GkXL>UhJUVTUpX6MgE0+Ef==6zpCz6d9&v9LJOE9{+=WyJQnI3Z%AK_B-}K-j+ZE z5^+e2bSZ~Voc>L%`wbP%9p@W;gq^9EIV{VlrjJxfpG4XeFE#!-I_V1C|Ij!v z@i+Y+&p1ot>E@ks4r%m6RM|{u;{Q(?kQVKoJ>vN-IA&_;O9C)4a$Y)IQ2*A^))XdZ z&Z=(fJyHs$b%`u7S`poisUF2+{N^(m@m!Y-VZ+;|`L%7i+;(2L`X_()?=UGTD;_P? z^%;x#Q1tzU1fCqz7bmgCs+1`+X~qnLQiaeF)Ievpe&AnpNh;mRa?W6P`fcK}f9nqe z{rz7fAN$RswG+16L!k`E)O>o5eP362;mV2cDmF+ydgTQtc~IQ`P9tBl9{+?9>vBLz*5g_t4;driD!@SSdy-4JPJ#Lh`yFknMkH(qkD- z{i6%;GW6AVfM!wT8RPeIY)Q%Ee?=}*r~0(TmtzbxUy{FDY~w40Td51^ZPu(9kYa09CWg+6zCNAHzlTSh1AL+OwDDqkN|3i#HSp zl`#THs_Q4M?EOQ7$5cVEtpu#I3!9BDq;{uOeK8$=5{(GtWDVAY7&kL^W$SG|^?hx3 zbYuzn>=7f6UM91fgMqq6=VPKnkaQZt4~F0`%KZW%Ymhmqi!Ozq<5TH^>S()b>n?UU zK~=j#dbZh+o^B%ra+GC{R9dWhVtuwT`-V+GZ<$o`E0EJP#^UQAL%^Q6u#F-5$aZOS zrb@HZXU~Hz$cn~x&WZyH|-q)k>FZkUP2emp!? z57A>~2HY5{<43zXOP{%9P}UYgvAtI~jBjYgM52;Z4-sX5+W8N==BBbk z#3g(OlPHP9(24fd$^O65S#7sW);nwu;>(d*E#_F;Ra8u!m}$8YAHs(FPrnjDLnX}T z({O;4-GflhP9D4Pq}*8}M;WCCo8mnQMnqRA35jkgE5ooNHj|VFK-BdwP%-(nf|LxO z2-~Pq1n;@^a6<7Xpf!pE$0s3)hRApC9{b#qhq}k(q}=R33f)2HP%E3dRutFVA3 zAdlqn79CNjo#1Peauau|?4DFE$5VR~_j&k;|Dj&007}QI?OH!+-eDzaiK;c2M}72( zG)bPGFf|2mBR+W!<66T%Q;*M=lfx1hXT}W!_j45PHG#U~D5i0PIM}x%JFe=f&pjo_ zCpBZJZZ2xFGo96IkbkAql^Pd^Y!N=Cm{;}E;4~~`e_$hG3XPwB(rGAqj{$`y5m0|Z zBSbfemNDLsIylEb&rYSPS%P^ZSfq&~SeUx%#N?Y%Rv(Z)9B($lQ)_6GT;c4UCeQ?b zGfIPkjY0k!=?Qm>T*tI3K8dppvE605+OP|PFM5_qaX+>U`7)KmP;GXA7#t!gWj+>X zTJ#`ho^v{lp^yUD#WpwDw>Qg&FQjcaOz=Le`j?=mQ$xr98tV2PzD-mDEpmf>r8NY8 zJeTc%2^U55pxMLEJ&+Tk1kOFq4!+`qNbKNvw(?~}{kg;UAc5P0wGf&t`S+?(<0$PL zuq{+)mopaLU~z1$n^ZE67^F>ktFez>+;k2_Mui>b&5GsCvfOoO6}%`_Xa z@;c+M1hVia3NBb>I+Cp!GH=n5H;juExPc-L7UAZSgMIaZy)wOBfz9N-1D3XdOx54CZWTx?PrCr0TNPiZqSjuTH+IYZV!c&QP2X=zx#?HJ) zF$DnN4~<<<2SsF+g+d5=4Z(#1uudUsHM(L#%l12;%yztWPudu(PapUvlNo(vO2>yHnCQZ<(9DOLRRr~(AbzMqpR$#r{EL| z7Cs5#o;b5}&DwdL$)63(w8Dyh@{7G}4mMVYWZ!p^43vGOb#d+U5Eh+?I5Q3){J1tE z#y>Di%>Hiq9tnI3-Pv6fEbw;d(JNl>l}9Q2c%Q1-6u=L!ti$>_4< zqnF0_3nIcZa9W}#cuScqBm^T|T^xPFy4}Yr6ClG-l_R(Lyy@_tEAJnR*^1JaU`;}lI ztK|OtiXR-v$7bk+zt}r&q+4IgPS3|&^BIYembt&glJdmCB@4W8o@{g% z_3TIWUHy8qd(4d5vl-YqX_{aHV@dT52$|#huED<%WC zvzi@a*=IE}Q0@F3NvCQre#Kl_Z8EZ)M&vG}EAWSHy>Spty%i22Dvc zL*AKrO1^!597JHJL+VJt=%DSby-l%BQq~q&kCpfhC!j?K#6?YV7LKE`h}>aNPGM!o z=wVa&4NcEA7DhzR;iQwQ?Z42RHbH75sG832Zp7(y^u~?xQ-qv6df~OBQIX5Zi>8;4 zcZ@^P=O|}+n0Akfsarny<8HU{XcW5j${Z`LLHKb=VxD=CjLMBKue$N%~Ky$ zQGet;-NLN z{S|^+v+4fpW{kse^1Kc7WDb1ls0|f9wly(=VWu129kb)#9@_%z)m;Du)<;fl?^o>;8Nsknn@p* z(-Aa9+N#0x@ou-qG*jj3r8iG8Qu0o>6c6UzzmUZR5L;P_*w*j>UiI_W z8gokZb!-*-+wE*!R9-0A1e6=|+s|&EWWJodg{7eDkj2b}sJD89xDhN9Df8gX{{#$o z58EeUnrNfQOhR)@cC)v4kyfluV5uc4z-2Y#Vd0Y7bX zh|6qY>@r6DQkX6dg6JX&z}H~t1^oIsZ4CwTg+gpo;l9`w91ZwVmi~g4?7k;&!@B6w_b z8TfP4Z^+;h1sxx)X(BHM4wx3{A6wza2gCy71hSY_9 zg$~4oor6!x%+E6d*ANi%?eg#Fw(+1a7rT!cHGk;sJ_LU#iXw2# zgTt;MYyvY6qX+hf7^V!Gft8$-pya+!O1$#8_#--_h9e^>(c}m5PLj(E(@6k=l2nu+ zhOLZiBONKYXLKL2BhjV`$4lt(f;X=P7?#YlBuF^g?b7*;M@+K$U+)is!mzYO-;JM- z*_LJo{XFpuvk_dcb)m01lGGSDS`rJ+n@kMYDC`~8TXfkuyIaxa-iJD0NS{-JtaPT| zl(x;tu6EbB6|2vA=!hpXtQ=~03K$Bxo3O-X zq@?k3l+{brMsR4toaG;OK1hkdwX8&@+lZqr%+Z+JTdko4ow1<`yFc#J4T%E?kJUzR zXz3Uyc^M~DwK^L~gcrSDNr?5wCx5{t=ey7gVM>cBlWE3jHEgf7*!3&`^b`6rta^`D z1+QZBKK!U^gOIGG@-(`_-Bnr7)(q%EUsIC`x}xp1cO(;F89i>2W+YkH#e113qFli( z9NBT-XETcP2LOEh9;r8n9%91u0Vk2N@a% z+H17M`(cO+nP~_L^AMo&1gG_oQCr?a2oh2%GdebZ{{(<41(cHa%yX>eF3lzLbSL;S zNDEvGqZHS9y8kMbhwGLq2sbE9jkaTX+2Okg$6glChJhaWGy4mUdo zd3p(3NlGjI5tOjve1b_zXeL^w+=%CnMb05>adIVU7H=fSW&BT zsELKw9wqlBZ?DOSspDM2c1}IUu{h#xT!PiolyMm=_({hioKCwFhAW_-4&6HZbt?T7 z-a3}%>-^L&w$_~Rt#TN_eCR%L<`sXrAtU!Dp|l!Csg#H}wZxz)4wGzRq=`|$dlQI# zKRO-DaK#yv2*<=9G$oBS2sxpw+xOLf9v7@2h8>cAUNRvaYC-4y zjP){VuS|W^q04=(!krbM#(ac}n$7&wYrW|AnuYBU4`BT*@c!m^JL-Ghl5`vc{}D*+ zGu~ZTYi2eT49-&xr~#d^SN-vW-6eJG`*(sG6VrY=F$rutJF0hnSJ=%4#QrVRbUE|O zkKYz4Zqa6DS;3AYalKvjOXO+3Pm*YV;IC9oH{))`XosB6J=y7b{CTnf!UN+4LV&Ys z8>AT<+%apfmB#>aU*~hb(iRvRN!yo7OII%l>eP)Y68})zp`!x7WFbb6g2Lkh4?Kb? z^_Uc8skbl0R7|?u`m(>ybb7FS;Ypt<)gYm~h`erirLm;H5{S3H_R58i zZ1XDx4+f68zbc6eteq&hMkEL+qdy8H8|CS0M-mfVKNA=pXRA1o#}5YW5^yuO3qg2| zC512qp*u-NxK3M{ez`JY$UwqW%AHY0&RgI?I{%FXGW6}D2{;BAbiog|Rti0Vm<+E?rk0_>eN zHA#F%K1ptZAdU1L%LS6;Mj@!JM5%W#4na(2+knq6@43?}fICya|gAYLGE=1L>_Ml;x@ zy}w`1KsI;AwKVdHp;V5g$WlZ8WGx^BAx|(*5+$z$g_z%`n`$m>_Kcy;#oLg|Ew8sT z(J(I#7m7Z6RpDxz8sS%;JXy0vJn8aB3HbuIj+$$H`9g`vKM+)t{`w zex0|4-owt!T5xRfHGkez?r2NvkaheHT(F(D8+=oCr#f3xoj~fAi;(O-NU^+Mr8m~D z$0L!mLW$?ynO`9Cs$l?s8TvSO=uqW4I0Jao5-hT62dfAC6IW{!4lzp*^5+2@fgwu* zB)n4ts;L>dM?JlL4ILhw_g+56*55DWF~re&ySY0s8_n?-D%Q-)l@o8!`N4c?aek zOU=z}6WBUBJz^Bi^WKAE*)KR+!1UvvBZ&N3woWY?E?B!@V*m4;+t5}e-IlE@FA$cj z(6snd95);Z8U};_6#NV!&Re5MIExWP7p8Mj`$r?s3x4(>a$Zy-Pdxc29x>Bt`W!N0 zhfUv6zF}QEoy%&RBYpmyN}X&l?Pm~E&b|}!wUXF(?%IiQ?C^&aqM(#(b>*Z)RaH7Q zUnvbeEpy@R+-Q1J2_Cb~bWT z(z`H;TW*2(Dd=&l23mGY!7Q%=f)6im39>>acP6G(lgx)hsOTign@d335!OTIq5wL@ z_2U)yiE`QpW!gBwXh;3VWL`hjz*hQ65aU{22!~!r;zAQ0d=Lms`fmR8U?iwOCi*ZB z=&sv>?jjY^J-5c*mY`=ry$*3f^V60Ezu13qI){pP#H@^d|9%PiwK2O>L&e-RsozU| z8{Ll>ITA)l;)R}$NCU6(R_*DRqRO`iyh{6tU(`eR?>ULMPzEEYPd+A{jtA7lKfdOL zJd0cnU>K0V)e~Mc`{qz>B($O#LNR-bSq6sLHciSq3eD@9@~A7$eIzOV60yxjt3! zv-lh-n}1;|+rrV`+>uL#M*=6kgJFa2XKTDqG`El?!~V1Y9kU~VurRL`qXC1C%Z|V% zJ9Sn0u3h4SZI+oOn^Q?yC@zP7`XltwQK@c!Z-r*n>QYwuNh6idJ6IetZ{z14ipP}d%E6pZ`aI~*LTPs*RZFgKsU@B7*`tAXrF}5Hl3pzo};*~H?_sywY`+nC)Y6F4o05-s_|=03Xoj^OPyp9<d)?5kcnCyue%$CRT>owpYaQ{=FZ7_Y4z9I*cv?c~sC_ z$-kMZr$20hMp)+&dwd+`e={r(CbIM&hLC5ZB2ZSjocQOqZMSyXp?Un$bg24(EQvT9is189$`9 zFdMeRbmLtFyAM9ei4TWVR~x5D@2}N>hE3>3!Z+-Tjec;<^9VKzsmbE)Ac5a&%Go;P zM8lQ7VhZ_GZqjB)_Y{&yq~TT0&}2v3tDuA5D{~Ku$tw9(MwLrq7|IDoLqizCL1eY} zB{%S!On=VQv$ehCUzn*sz&=`mX0~es%Z4DZtpW2!>_n68RVx4Ty z64JAzv#YTlL1NPq-6QwP0G%>ic%BhosW2W7o_L-h%x5!T29roUgwr|EC-?12Ftk+6 z_rnY4R;3XA2J(q!5Ue`Tniy7he={-AsmBjX>&XRZ{OfePR7b!L|;cnYMN? zyERTTBP99tcxoOMdp5hb`fvP-&Qm`{g5xq431Ln1 zf6gB&=!Uii!82%IH74I=MUSgDNjj8NerFq&rFrk($4v;k6T*WgmrEMJcWV{H0InTiWrp>_}}Z{!tn(3a1j9TNP6sidq!MkR_Ps zkj%jhm}|xH5jbqc;{dCg(8VAyj#$Aq%f3e>I8*_*VgPfsGWlGQDCwHrF6>t@N|z05 zG-!Iy7^r#nn~azDAHmek~>F;Xwg zfcwH{6%)!A!P^PmEY@(p>Y*ht9mAOx4X)1IP)xeUQW{+?M5tYq*Xd- z{udOrvbp&R_0hARF@2T3C~0FC$!jGvhM(@as2U$W9sPtG4&{PLs12*Q8CM4zfen*j0OzX~$-#^`+A&+~V=&9S}2eG|Ol5Pg66 z8Ny*Ik*&uW3o7h@?Zxl@K@}7yQWuN`-d18WH@F(d5jtazMedm&rQmi^Cy`vP>{`*Y z`MaxA#SKO|lKZjgc1zGczRGx!%b%*l6gI57btROX_(;*?69|4&+;$8mOyL*4;q>+Y z>3EuifgZD7>w&N1UCU2?3ZxoO3t51(b*Atl&?{~F5;Q|39asJOFStPua)fmOnS63& zCSaxN*TEg=p}IvzeT?PnyqwXkyi+0yRRFC;-2||Dcvxmm{%W2FY8uzPGBr8oCSa{R( zOW`pTr>QrgEPPDij;o&8l`k4kZz1O7E6-f=cLjQ*Y+97pqryBm}TJ?^OJ6w2nKDzEucQ_hO zn_fUBu?1&$is(D8F`OJ984G*)%Ae8ILgII_p+k-C?CNvK3tzsZ$avV(4LM`VNVPrO zvRrKoMpmxXlos!Nff}?9ugh+Fs9(j#rNq|!4xdrh*l2-ASG^ov(Of;xqhD7w1#b(Y z^g4P*8I6woV$Yj7n!w#7PjA<0Gm76Nf&NJq`F3Geh3d>6mz@S+VMp)RTXI@|KGufD z87gNboNb;7?D>bzps7n-J+rEnz82~crIh5gKdJ23TH3SQ>u^rIXCv!9{trW?u!`m?qYz$yc0ma^0rji@wSlE_y_xJ>b9y`DEAO6L^XzjyAY~ zaYeV9M%xvJeXI_i6hferC2WO1Zm-a$_{|UWdq%bk#za5o0N)uJ zbEi3beRAKIGD)jZ z9s@=BIL}=7Jr&H5s(!U1u_9@Ktr|J=5EEb)D&l&e;a{5Eu$fG8DDH~Lj^;-7>?%s> z%jQi{E|Kqy+8MdO_gWV@L;6K*+%hK9_s6tL8;mKuYwBJ}nG=alF_J&RxYfPu&zDW& z@S@>!G4<>Ilr(>4qv1v-EtNDUm|-49%heb8{zjSH8%Qe6rGwW`$$myd;R~zr)P?6s z^s7hdFU0m8|L_bZoS#K>lZqaX=Av{8wuI3(4{%SFZ-k1pct2G`9Z+L2@s_d{DTg<~ z+>)H8>fT>RToyvn9C6dB9XfMO_$^tVXRvelH! zj4X61j7NR{1wC#dJT{UecwxpSG55izxoGA)ce%@&PyEDqGb zCoP@_T<0s(rxEOCYeZARggpdU4xm%B6l@Lp3_4E*LwRoLPhiSemxwgJ{uF-2gnyWn zv+NYsv~K%e^4Po?(7@+~H}O&S@(YTxHF?vHtK`N28=>n(e!E!}?6dfd3A5W<`htyE z%P`M1k3M~ULFeK=8DuSh0fQ7zQl-&=(OA$RAya>yHxIlcVvYLUg;ucoDRu< zWV@!V?Jx@_5tJ94^?i%yfy$rbn~!*5N%3=0qypIfJ7;aR(bhh1oqbnFZN!rgl-T#H z_XSw+i`+NR{S@F|3f_-t5@7RMLL^<$A8pNWaaUkrqaelwW|_Mcb>^FEmw2bk3+)Fw zV_`9tpiXmq$uxt&>}dPlV~=dUxbOBu12hZ*I=I*sW}q{t$@NtX3iYDFL?DiOIX)Vi z2jHjf6})M|?gvSZN6KW5GhNlo7AJA7IiCO}(+2D?*5>K;=zIzr#O^iZIR&aBtY@DxE2Tm_d;=p zBE?B?DDJ_7yL@@q_h(jC*2>(;y))<06XSy(Adg6a8f%J>7#wQW14E(=IBvpJXrQ zUic&ESj(PGC{@z%_bK>*eqW*}S&N_Pn5JZ`CVB9zi<0;mDKt6A6-K-9$>ueg{NFp$ zmHZn|(*9w}g+pG>BNhcZmH;11H)o*>vkJ1->pPQoJ>0~B@?RXE@~hi30l8+S)Sn!T z=Dj}z3-@9nJh>Ji%}8vF_j}HDl@&j=KEfmbW5!YlNrrU5l+YmD%)2wT$9X+WLQ*V? zwwJiO*2C<(LO^F|>-dKm8aXee^w&!cuE!8uwiD)+e**7!43NilEMapht0`oCXL@** z-OXDc?eSGT8$+zlnYT%Y^1x_1tQrx>tUf_(0k~qd-2KSQ)63i<^Y)d#A`cHFYPm>h z2~J;j--C)c{mnG>Hh(MK1KaJ$p{#Le=W0k7X($@lVJPP-RzYKVKyFb{Xi;Qk?MbY~ z-cPe!VP$>JeyI|bH2#1K`qDoKGr9%%w4etT?+7LIdY_Wker0+*+`WwYRF9DfD`tK~ zEh=k3Ec%lvw=-55*|bRx2bFiK86+%sulED)D{Ipi#Ji?m(6=jkr_m4KS)WioYdXRv zyS;9vm#Xi79tAVvn73UZ9zucM)ZbdnNZm9T_1{-oekbmhA+Yi~s#c&2bQ!v~GcYLT z;AgCAKHu}n@IEoYtO@;=UqubY`rbeqh$eRO`Olul%Hi}SA19;v-U{%Xk+PonY5)EB z0BXnuwi#-q)QBeHQ1tIgy?h4bjE%Yick3+C_?k^vy?HJk zno5TNmkNzF+EZtNUdRVxO`aic#bwu{9^Az7-67pPElxVuZ#M;f^At$q9^(x_Bhcv8 z7Kn))2goMTpA1hZ(-7(&a#793-B*)`gMGe~IFtOZlsqFqgWaJae*!(#Q}8AiUk(Gy z4ZEUuIxjN?l16WX7anB4>PR1Nuv@GA8pNmI=3C~aIr5~EA{b=WoI_j|9cb&?AO;Yl zqLW5s5TIg)dz*o8u5_#e{%zn920ity=2(Y%tNb2r&UxlBFn1kQs95!8y?GOyR>Q+; zEMmCM`!{Ws8GL`se=m=Q{^?Xebs?!fzeG3LudleDVjAy3&rh^o%Z9%MDDE1__)$Ea z0ZN7iHYo~;WDIP=e%5NQ9^AN+7G8sgkEtyn@&s9vh$4R#L~`TegIW(m_fTuR*6@;( zYhSnW{iL7^lhxE=id-SDX}FWcK{b&aqdd4Rl6b`+JpR2a6Omn1T#Yl% zE2Q9aj`vHEVcsNf%!Mn0{kZt*)$EiJM4ibT)uk_a+@;Xw2hv-<@uNy}1!vXMLaCH+7 zTo6ZxCT?Mx^~XJM(nq}>t!Dl5qs43+VNQx>gN^iWR!S1nROShY| z$lw0vA5(NBRX_Fgsm&ZpW<+WZu90R^WB4K?r;R4^*!@~*Hj66*&*?n2?NrzwCien= zS2*R+t%t($I2Zg}^e|K*b}2e6yQNin#oVhuRTN^3)P(x+7TLt|)^W2Xp zF^lPeQbh(rfAG}rccvLHELr)5ACVha4p`_RlE31!lIbt~#bM>nPb1sH$jbRV3{e_I zoKd>zA2vX~dMK9|vgBXfkdJ6npkSxIyCNupK>Z??`w96Om0NIo1Q3e~GV}J z4`+C(Qk5V15R64&LKwgtj-K)oCdDd4s1r=LK2dse1f@g;TqRqNZUpl3Y5mUH;iX_y zL)JHPci&`URd~dXJV{NL-*6YK*Xs}QnbTJ=QNQWeB66=at5tESzHm8Myl=Ix zA4DxA7)eqM&zJG?I{G*{v5c+s?v$a{WfPj~h)gH7$nucTvhIwyR#31;s{%)A*V5$Z zPrLm8Nn8mUgp~S3FbF{p1KxSL9*KgFL=7En&d2YFBq9w2KI7OF_&m8eyM*S$*r&Tn zulUINRH}hYQuZSnfXiWaW5wGEa@D@_;5fkHPXhN#;I`^h$Tf@9c6 z3`e}UgBDk9mFa7oNB_xF{PzWdzkUS<1ne*f0ZfY)*kp%i{k277EOKY#Zx(%}^edx8 zDJ#KB;<@pWkiCa6=mK;U{~>8SE`=y-0lo^JTx}a#&C$XU_pqV>fVo-Lbi zD%tlR)PO5uU~gftl|iUB$%A=}l+fPgf+!15JwlNcZ#h9lrL%fd;FWS9j=^p=@By7pE0h zQy%>vSCxbo$2VaA7R`LhxD)RBqKrNl$n%xhH|7)%blkbTKB&4+@(*%&d8RScVWf`* z67NC!6eS-%5Y8m`H7wlGx0aDgg2VLhlNXPv>5G>G>@I;AcQFwYSpnLrT8GR!k%;B* zT6<>I8~B8hz|+r4{(X*);JLQEn3h4;Wed3jKFJ(3N$eLzgnZ9~Mv_dWDa${2=pK#H z+%fn7GN~K`q_U8W9e7b6vDl^6D^G`-Sz!`2sJ4izkb>K*H!c7;F>1Fhrfu+il+lu<+zs#~PaYV0_@#>%{*3r!LW%=4TWDFDrUm zt7%B1=Rr-2_3YgTq;`wN1C;`>Tq@Pyza~5WnA)8EHY8W#ZRA}L15ySPsr0hzS{Yl~ z;8s&NnSpQ>(5QK$&P4i@%E=SYv6G}zMP0scyVg#Diwl+AT1gMb_!921^2sGE{#zqY zvNI}EutjQi@rf4gX@KcTNP>J?Box=8uO!>@*n~H4Ug=5P?q|b){jW?XU$HnQ@$Wgp z5$jY(;uq$w$h>S}y_pdIbqvNaPU2T+9eS+mt>#Hjz~W{@P^0h~5m?FD2%afl;6>44 z!06nyl*g>h@amkH_x`bQx8e^-Ic0!KZ-WW+0Uy3HrP9~@4nKCQHs(ZVep$Q4Luf9O zneC#ez**=pbASuz?R7i8-5ec=fLFQ%i z4g5C_j<;K!OCN&jDa^2LaROJqE;_{X)KI+=^XV+`@uL7R3^UlOceHGx|46AVwtLW4 zeY$2kX!ySwB##o~KBM&6%2H$C7sx>^ZO1s>w2TQ_46vbknggkN$5neT%Mb0~PX~$n zd~cS_c5*#m(D1sRi4mc8tF3%E0!Lids#Vl@f^QsbNh{1gj@Sf=~`d107%P=(dk zEOngDHQcI6)>?#kA`EL9s24PNGJ)*YlMAf$0DGbtbNp4F06BOHJ=2 z49@CagPlEpk!}w9MTFvX@$BPc$u-N#_QkgH&+;*t5W0;T_fuJ-oDI`3Pi&zA>^q=WzJ-)Gna_C{x_pThh^=@>X5&n;xm|13{_#RI(y9`_T8=-21KMJv!LzlPD&C3A#*Y(gPw!JvJ0W%G1_2|zjMe4c3ola%(&u0CQH)DHHo}N&q;TQqn`Om85LW1! zNDoLRG}LFMdw}hu-kNOp{W;OcMC~5OYjwS`;k#pXOi+;J-5@5`omsF@BJvEUWkXp}a%q4_YA9(;P!SKEwpK+@n<9!a4{zie#3=OWSNgwLwB@sT_Vfsg91#T* zih!xC0e)qpkwNIa;Rs+G_>9>@^zXBYY(BC1VS9UL4KvXNTh(Q*SA`HdK(dFCU>a0ROseF=4y>x0rdU@3&CQb3=wmiKuG(Kth&%!kq!t!}=? z`_HOXvhAI220=vCSH+hdp9B=FQFsjkx2B)YyOKygZxt?xRrm;jm+h`Uo%0QzeFb{_ z=uxnWc&;sbFTv9>K*|Kqa#TBZ!A;zfj3HADH;O|mtW80YP+f{jw9r%YT^4OC64L*Z zyjkjK5&RR2XsyTP9~oG7w=`B1022Us^Kzp`Qo)yk;LT>xw@~c3i!RCCU?x8Z8zV^8 z_pq?5`gYE3N=JjIO$w%m5A&gdsuRGUsG)M9we4LADes^_K4pB`BBoHXameTk zw(XE`vPA=>4qZfor;On1|%dQ zNy6|y`BvSYVS_MIX>>b6qo;u3fC*p1v6sddvcV10Q8YVM!z&%p_PODn0_f7NxRFd1 zQY$Kx9qaYD9^>XzV_~=7k(iT_gla2 zgGz*yN}qj?upTDjEP?hwhyt1YfbEa{Zw-`c`acXthAZpCrHh!jAiRu(=7npT_+RM~ z{yG%?uwdWR3GcB`&?iQAShz+AD1fcb)l4aH;!ml=T`Tv-ar3$@WwfEq{rtpgz?m}y zH#f52>Q(hD2-2hM8YVHnr%lWQRgm0MGVMqg~AzMPh zAHvBn7eX3Rq69FM(lN@nCo|aM#oB}QDBx?FAwGvo+DHvHIjYJ<4S6RSP#L0d{1j1m3r4wuauwUNPeV0Ixy2nd_f)1Zvb|cC)7xLV?S9FIO ziqXBLdvBV$6(k4;Sh_V7@T;XoXi5B7Ip`jdHy?Jr`f&KgCItFfE2NP;&0A@k%;mH) zGT@v~QD#`DR{4L*N`O`+d(os}Jn-x@n#{kz%`9!dFZO)Mjbi}+mSi|_;yB~K3F7rd z8jNu5i?QGk;)mXIR3kT?i_d^K>jQ(-_^*Q=;{N7PGRC|!GQuFE?7Ob`?<=M2{xtHw z%8Y;e_j(L}>MrN*eC~3HiW_OunRgd^R37%9@qKAIppCZE5Wp=LlY0TY+H%Wh1=}7M z>omxVBGIpLY#-#p+FyN%y6^tCF(=WKKk@IVVZnWtMm?yQWk?BNfNkf-cl z?(`~*iDMt=A9}Daq!CSkbA)uk((0)KG{}zQm$pD%kv8CE3 z=b8NVQ#SdZ*O7SQTI{c`P>B)OdFMho!PgvT06YVwr?*h+%GbWx2s4aW*}WAz`Pcz> z=d^VroN7eK5y)78T#1l5%t7us31ko98Uc=)`DlCnhg3!3=1H!Br;{q8!sI^e;ZLd0 zij%USZGF7bx+N;Dil0*!XZN0=b|QWSlhZYLKOeKe<+lQ6i4|`^v8OK0rrxlIN z;Ww!w$~@O(FA;Q`H*F&zBE7PZXWilHpX7N;?-3AcW1h2t$w=vhyNOL(Btxdjw|ZH_po5U zvQA7n6tma%a-*0B3XjGhKnsfkTz0w5eypgF$iH>xJf_2e9tiraC}SAS^R;+joxRb< zW|q)dqO>~am@FHf#9f))Fs-aNsgl{K+2c zhH1Z;@Hv`H*H{MRncc#AR!FIP;>=?o7;Q^Q9(mv1(Qo-QCn-1;P1VnSG=P~5mnyQ3 zD#*QG58MvLv&D(3FK^Q;=}VuJr+)iWB{fRH73rf87r&Q0R|FY zdqzsWcUUdSx55{B=So+5e;qeKCj93~9s2En%o`Q7@_5`Qi!WEFatg5cbI!-XwdF6g z5M+ZP%dFofKd88Uh>3*L2raV1D~>zmDxD zx{~_Uk1&VY%=H+H0eW^nI|fDKXd^qC9$W(3p9EYw$K9KpZloJBhXDYo%0Sk>qm3+~ zSn%HPT+chpn{B3?RgJLvtVF3zs>uiz!5loo)w)ITqBuDe!}?pAV&aJ%Qh&>~hm9Nc zd#E$Zqo>|V2T1MM8)I;1n?>{weZn8ai_k9^VYZkaaQaG_Ap zU7~fE`Gv(9PT?6{^+bB-CugP!qpuSS;W&};9`=XhlS+)z`)T8|DZEc3tW)tj;YYAB z1iW3%FMG$NjAQlTPYkm9mSa=l+eyD>1ft_U1fue{+~nFrggI>FXhm^F1Wl>jeySAT z7Mh;BaOwfk_C(TtG{|h?MnXvx(A=tz*4LMQ!BZx!4PurdFYG?Ado+sK&G7{m4_+S+ zbVsfWAOn9L?fdU&;dVPX==1C?QtJCzpMUPTQ8XA*MADR5BIu6)*$m&5B#m)hvi-t7nRI#=rxWvX%YOZ8&DfH3W8Zn7p>Kd{#=n(D|l~ zySht8948lP3Hu#e1qJ)`LBlH?lG$Z zbOuM%(tjbQoIjA>Q?|_s40jw4v0+!O*Ugnk1@4Z0BQ1NO?v^@jiSZuX3HK{*6{7Z7 zW@a?kFY@NuX&1E}nt?j+__xM6v~kVfxXp%zwbD{`&<5woDii_%H-YYkY_`@UkVmK`2(MKnDc`4W72+9F*eP)F z#Y-sZENl;NK0x`0%AezG6V3mKRbxs|j$ATXdftd~i*3yR9PEOtb;)(3{{Totu7 z&E<=dc3P_VED#_p{Dq~e6!HG2zoI1nXtA(8PaK!jcY(VY{#?#IqJ?~R=yBl_cp~n; zyUm!fVZ5*kBMI5`S`NJ+VPbMSjsLQ%lD);OQQ-LWtNP)S5XnElNN&AtJN8r^Cb4?>g z4ns}lo|a!s=^oY%#AdpN(C5bc|Y0h9V* zf5>_5Kz8qum!soUrsNf7QKT8*4@bTW=kC3grd-sKSUhEFkbInYF-%SJ%IA{EHGb7# z<;5yKClh*yo%J+X=;XdhROR*z=1oY__=5hz`r-<%aYzs1HvNSv(<7}a!++y7-Y2!a zYw!6J|5}Rn+~6s}Mz7Zd&`BppG&V=Nfh=J0=_1En2Q+yp3 zg12+@DTnsex4_oltdz2)*&nt*qOI8v z^xU#UUAH4tA!cB9Ke}ZJrL{Q-wWz5628Vh_EzXNz-tSQfYl|7YAZIsO!|#Zl04G3y)icF<$-f|$i)Qs-hjRQ>CK)eT79ekM z+Q)y1*if70-6v)EmDf@Z3fXJyLm{7I?Q0I6+(1TUJWeF4$`iPzG3uz)g6YhP=TBsRA`}A*O zC&XFJj_&^|g!lMVYcMVp!ZeB3jK8rBaOV@wn%~ZngF5vC}#|-OT>*)w!sN`h{i!aszwvqfs@(J@sBjLGTzdQbO{|x^)u7IDmWa#$1W4HFPJ`1|BvHm%DX)W=LW{`&ULXMx_c`Rnf8`=y4!3uwR_CgHZh{Zx2$@0B`Rgru*)J8t6d) z`!)q~AWg6MWNug(B;4C5jRw3ewceGH47w9p3-WS%hof~F>0VNQj+;l4PlMBQ{TolU zT5sp5Yri&}|5Ja5hiEI^`PGO_4(xFYJi7_w#zO+X9AuzN@CHKw^_$W@%gc0|toAy= zGYqBHjaMZ6C2_ZMtsF-7DUzb0-_Sdp2LxCb%vv^rC{YZSHYE&3w^Nz95}G=NHYI5G zj*k~(O~kiI16c(u&J>(pp&A(I2yf4;`Qh{2FhSKbWqrg&m1+TH2!QW)1doUj^_jrh z#;#Y08R6~izwxw*niY4U;|t<0&Th{llhF5G_E&+}i@j**6xvzL$6501W!M$10rVzQ z7=y4WfcrrcyGRJ&WmB?Et`Fueuy83@m~T(+X1=XT=m_r`cbLzT)RJ~^P!i-^t4XG; zNYGG{(c5}A^A19r&ZJ(N+uZro=U*BZ*Gn|w8f&7YZv+xukev=pK>~-| z9Y;)qdXQ1=-DRk4OZ;*3T}@asvQ0i0UF998?M?BzDRE?`90!~Zoi#_t^ql$qFvfu` zKfEnir_K$DJRaNu2qpeAuXpT7PJgR~CYn#oKH@wXTi(%G-tF~Wwn)nKHCXg!L=p!s zbk99z&@{l7*cUS0`B}fir1%Q99tFJm)r12jh36A){%$jDQcT)>Auu=P2Ug8%AOneo zU)Vn9bfbK0e2D9WY+sG;pI<1{mT9%~Y3#v>K}a?oD8z|#IGB=ff%vD1{0sP<=$>weCSy!4O>6`ovRETaDflfL|%`a`a+x_+XyjI&-^CN2n z=TQM|5tQjBHjOe0z+O4%;{OV`8Vylnx=&4|F|+zs1$dg()--@pQUH}}%~aMImzjSZ zbE7SI03Rkk@f1K5NRKpE|j-0ZycOKqn1*oALG46`I)nU=^b#4K(%a7&Wht2`u-#!+mA(s$)X_j?Rf0^d0 z%TU>gQmgl`g1+>&`QK6e-vpi>=Cwh0OZ`*xW_MxlQJCo;Tg$JYs?x@p0N};t@bo?= zB%j1TdWUUe`lTkDh7o`Z7^J(EJ>TiWav`o|EO6prtso=mQ(MaApM~tLWCyCGaG})f zh3gQ2gIIPXm5i1l@E~4_VC%_e?TJ&4P1g6x+?oru(|!Cq`CF_WK=JU<20SC*gY~Fz zY7W7(_-me3%saX>@dC>?+a4!8>7&51i|e^isq-O8JJa#!+0DT_5K%{L`>pX-O!OrW zCt9>}eXWFG@FyLWSc#T1%M zeGmh51PuL64IKPX*6;QB;G>8~m~1q>7Wg*ooH(1mABucB@~Z~I1X3%}CcRqR!mYq6 zSASa>%Uw~CA$`ObHv`<)ZF`+yHd&$s3qSz&mzOxpSiNWHmG*Ri$p#)7yOP7Dlz+8# zNtB3oHA^SMzAf8agC~xuAuHX^yFL4_%)p9+h#L)&T=`DTV3c@5ZQGG z2v#_EnQW+|qS=SZu5W9gMNapC>aDI;xD~*4`-rmb@;|duMD-w~1Uw1LawTSgXRRGstP-#*a} z@ppij{6#}|%;W_%yh3w7em#@lebm%trgJgg=h=lz8`kxW{Za2X!wHmAm-XMN|I7b1 z`G!60+mw)7+r~)Pb65;IyLw928*Vc6D9bduJCpa^Fy|wP2w)I=gT~9I$y(~eqm;&+ zlP=((itZ8hT4{Ww>Qjdy8mt+ky<1TpXqhOE2IxRb7bwnOCiz%NVB0OuIub}3_Sg4i z>kV@Xz=5Lk)%qf>M>H|gx2G7fx=+)hx#wvrN!*ijRezW^4%a;z7>qV`n19oO8AGsMUxw} z$&DznxTf{pKOcM_-P?j}q_ef_!F=c$L2TCOPdacLRv|Cp7OdJRGP&^HQ&!~~A3^$1 z@u#bvAFtg|boi=F9++61IES8W|99;xTs$1;X1KwDmU&y-tGWBgW|R^Kmb#lSWWuGP z58z+);~NJT`2S`V66$+w-UD^=VsIwZn)F6%>zx z2I%-PyDbPfFndh!n(6*%^QrcV-F3l0?`~hJuS12sK#rAJ|5hX6KgDpC6g3*1yv-zL z;em58X*KKnux$X{sWOxloRo{fug;_Gw?jp$d7>UU_!8yt3gJxqNl7f?7lZ zXN@P#1F|5O{{mnT`S)HT7nc$nW#sXs_j)&L@ar{N@V;dj+E2?D9 zXhtQ$yXIN(n%{;Ik});5-6Rtw57y%Q+=Lmi4$#MuOnt#u60?e}6wYt35XB;|QGyNM zb>4si)<06b$}lxrk^Evpqbhgydt}X&E4P?zFhR*Y$>X_afr;9E<-Z4^NRNN`L9T#_ zfdv(Kl59?C{x>0zrx!gdm#w*yyScn@wNY=!^)u;8_3-~6`5lq?BVaVl)g^8g=e^M6 zBPYbIZF+g|bHLjp?S-E4?tv_0LBJb}pUpPzhiyA(7e$2(d~q@GNhBKRo|6q$r{Od^XAL=AIx&R`)xKQn)6w z)`})QFo1+38t-8*$v=!hh1FuhLQA&Ag?dAV_=u>{j|&iIWqQ9kjM#>WMuhBtL3_7b zZ8wv8!v%5%qcg($^*W>oK_{uYt*%>Cppy!E@N}JDB3MES| z+=y;)Vmi+>;YgEGCZHpMR|?v6-~I*~3{9Tv4vqQ&^D)z;4&c=<~ zXKwAUIXnc-0$pC%wp^#%GJ*z$y#eVu8xqN$^c6_CPy%5VNxKv?R`nIL75;NPGVWsb z8ODunoxzleq37fNthW74b?mP4?^)xTT_OY%UmLc$jd*eq$IFn`wA5OOvo!Hb+PV{4 zikv#g!wp}2h#YOY|3?Rvy`9HV<~Da1sUcETlE$P~`H$M^jaJ09G+_zzGG?%+!egbo z>lAaQebnp#*AuCo;l1uupd^EtyWn7d7O5Sfku{T>S&MFqdrFIE;I&bHW%^$&M{!#e z-&XPl$SDRkpAE7KSh9gUPF#HQP^u+*QnFra_N+{1pxlgRMAS>0|7fww8dM+w#!9<| zmn(hA3f><~+_&H4YZ56=IMS0?{&tQX1rca?$d`$rOMaLfy!oBolfc@-T>}JsEmt^V z$?#IZDLT)WogC1xJ>QSi&YD!Y;({3Qj81eXuSdIO|5(Ph(0rnGoH5Wf2;^!`zF$Y`Qgt41u7i8cQY)TsvjxXjac1A_mT-&G7h`T)VO}BdI8Q;65Z%XoUi%-8oNUk zc#8w!OBb$TuL`h>qobtc_@_SJMchna*qaXP@CB+1TVNYiLJTzD)#11w9JXD?JyM<0 zo(iqVC9_T8Xpk<{amhVeEguJkbbJ>{S&vRr0(VdVx>9xscrip$61~Db>VGT#H-AMO zGqH8B7TFTH+0nWj$5kA4llmbjy1ax%3fHecVjcvlh}6Zf3`QdVD!5(ZKx!jVd5pon>`{!C%o`peGve%FpV@j|RuR`;zM zZ;+*Oa1E4y9FconL_rO@2jXn5vjiw5Nh+>MnNDAm?Oy>8=dQXB-H7T1e(tb1cUvnh zn3}Fz+2AC}dLp&0L#cb{ObEM^Oy>=2FXwh{cDpHNGqjbxi6nl*CC%DT)uh}KgyZlfi&#fD5h!lQ2XV97`8~1 zU=VlN>&Za324b4v0?&HGim_-b;i_@)^Da!q&J|4`gjl%l5v^X6#rA~)kw$3Z-9QfjA#6PckMa!Y?qjAPHddt2b& zW1V>^{op#EP2PR6Jm69i0XA!(z7Z3b^1xgH_V}UK1aUKvpkyP@`a(QAN1DB(=hp8) zjx~=wXdnO&$(X-($28gYc6isF#O^xNM`F_C+n+U4mVj4JKNlQmP~y8yZv)X&bZ!>W z+)lJ_7&|Yc#pY6>Dzb^`Z;;xeyFTqk#1=49fI)8w4r5NtnPArbNf(vygDTT}7wg>tJOM zAv3P(HpQb6I{Mj@O(bb3UxI@|UDSQ5BQU$|_P_OyqPDY*nlC4!t`u6rx!y3^Dn2SE zLcsr;6@f{^CNcXe_e?I@-b-C7)haz-BAqRm_}t1tLn#tuYbrU* zwzTw;Vx+^{*84D9dEsfrbK1Qlq0l>VoYibXxW-GC_q7^LLc1zqww_eXybRVW@xYl& zr897$+aj@Pvq(swjF!@wip*3yGw?B%G{QE8dUJ=Jig-+e8%xx+u+9?bZWS_<_EXIk znrb@F!Xge7-E(&(pD|?tG9ZOXb%n=jq<17QgzcYr2eL0Yn(UY2sH5D&fln&% z{kJKYNC)y0IW39*fo|-5&~f%qb9;FI9zA3(piXyV_wX1*?Q@?Nq+`kxV$cMF?SC>I(oq*#0(VGk0S-xtZ$D8A%&} z-!_@Ep4bT&UZ~Da+k-|6Wy6Kt|GRMQkc%u;t4x=M6_LTTIM8*eKUFl`ZZoLpDxyLF za&V#ad^2OSxcQZv4VX_mZTXK|+P00B@>+{cEHA_SzG-iU;pP?kQT5Z2)t$G<`Y`-2 zlKA||w`Ss>%s_Q*A>FTidB*vW&f?_DsNTCfeSh77cS2KZALEZRQUtFADq)EHHaYYc z#}uNzmQY~dzw#c7QW|NwfGqqq2|BM3J$Q_ROrEi1WBwmI)AIaUNe%^H=t<{Au3Jss z4QGr{pje%i=YJn;b8#RGW?|@=M~BO9{#-YuG2a?fa7rKbijmZJ+EL}Ya6=Dimp3xr zPrRBN(V*~K?S3tV1ubRulx<6H+|1p1;@v>lBH1au)24w_%Qj1nO3w5&9Z_JH1E1fy z=w#KipRb@#Ge>bZn3pQ_{uieKPNJpQqB${O^EVSRlPa*{S%UiQd#Kjc#%irnb^(d- zhn+3*;XIf3>+L;}oO*0O;EYJ!qxeg!M*$jh;~2X@6%p!5Q>a}_In%@rzT)?rg=B0x zID1{6E9*~s!^uzgzm#ko%#q=8HI+Jw6i2UZY1-E<`6>y8Sxhi8lq#`>xtw0xI?_8} zEC|~N1u2?_6185ZXA+jF(EO#5q|UAlQqU z&;Bq3Iny>*egJ!fghOgy7!kGT`fD9)t)#Iqkhtfr#Nn#2QU&pNB)molblqvN$EX}Q zz4l8bESwhBB5Y{^?|y5*RIy8;vs|Uy6%~LSdI}#HoL|qhWdGU}aZk$lz_LV+<2kk0 zO=YH83qUB8dfa|!$pA|~yV6qFZ!Ip6GxF0wQL!mYf*0nsR6V3SU#C#QQ!bC&?D+VP z6)U6a*wD4%Zbs9v(Pj-3@8McX%=GP{Qns%gr*f@RHz1tWkrPOu?{dC6cN>DL2ZmGJr&+kvu_mUqlk3;4mB>J zB|gGzNPDaL`#Qp@x=wZ&in#6RJ1g55**j>$%}vpiq(0WcJ3+jYjv9KzQug0}GRK(c zXO8=Umr-1hS?X>VxmTSyd~d|UBKE4PY>vrzGm)vEB{x|pBU4u2RW+oL`j>z_SF&k#e=+1n)5nND^_HIj{yvIO4Q|YiO@fA&FwcLk!sgz4x z6}=?E3sBDQHb(IGJ|_1(75&%%{;D`TW4ho{i`qEYd7nX>oi zPugdEB$so5`LzEIGfOJa`Q>L_XGMKi;7GPx-5Gu_GA{Q)M)l5FXrhB*Ysttn7%==d z;U9zVE0xKPr`(`flpI0;Ork~9n5x19CiFvFJDs&-mVk0~mw zD|n_2_ByKhhduXTT+f1qFjI|0Kj42e*j!s0g)SJCN|iW??|esT17%Q5dz23iqBH7d zF?5t{XDOKzG z1ZSvW+kUgX;D;Scx7$FJ7j+7Spr(amZ^;I^7Ofv-pcT$uz;hMHY(d(cxWVbiYQ#n9 z{zG^|vlFuP*vQDlVnHO#a#b5$2a2(Q29CSv$XHWXC z5ME_6;rPlRKK1F*DvZT9869kE+wHNfGA`3Ee(=E`<#s;_Az*TcVd4KCNj^6FaWe<1 zWoG6+=%uWVJ#uaMt#v->^py>GG5i1O;I*p&@{$yNwsNy`L6bTdPjXleB7goDtY!2( zb!pwH>Tzv69&&4V71uKpe`_nt_FHEo+Wm{6QtPQ7Y#qAjUklqK$KAL(Yn zxx?ukv87p-c<-EJ@7%)^O;y)7^oyNN+L|K^j|jMeqoGv-sGUVyUuzQNpXDa+0r&re zbg7a^AjQ<1;-i|g&6aaL`A|OcfTa6wfrFdRU7}(Esk~1)=fBbK?rkLI+at~vKl@b3 z)x3?w^>(ArP#&7Z2z+Bg?pLnlkS5BPQ%l=`S9l7y-(NafER$< zd;jh&pI$Ts@07*p7a3t>B%2cxlPJOSpp_Z}ohtC9&1oSnl%#HY2j8%p%s!-C*7mlz+#$us`a53bc^L-yTX0^HvFY-!IW{ET4ef5~1eV7kQpN2C zl=08(jLJV=E32uRa8RnI#KjITkAvW-Wy72Y-O@yA`uXnSw)%tWmM7MOo zOkLf{3{{==(rqow%g!ZskJOb-UH?bZcSpnZeQ$q~ND#e*=w*oLiQY%=B}fQHNr*0D z^g1DG7`=pG)R15#dKUzvj$WgW-s>3kck^BE`)m5}A~aT60!B@T%t;^^6=Dd*}APxSJlwT@5XIsXh>v&8hb!-MDr! zz!yv?0pISiIld)_e&x+rqvxU(HxQ`014cuxOv?q0?P#)f&q{akM$eiu?GN5JCZ%Uu z{=2(GeO(wdI0)6#l#ZF|E2{jU#Q&TP&4u(?&1kO1FmTiIJhAWY-%9Meo3H%Mz?okU zRjDx;_lYr^j+b)Z-seg58H5>gGJTx)d)yA~si3Qic;f4SE?P2sD;>RLqwTOuqvkYw7yZ*f;_v`qef<2 zOkBUE#)u7Nm?o%f9(7XI9y|OwF}yrP)$3b8ZtM@2>?NL^WWkmOYo>9OE4QWF>VEQ# zcT9i_12otA)%HBSetuMKjq2Pf%eajlBD2ze z(G{nn0@pV1WqN!x-u=i}u%?{qaiRJC3=5L1qfmfp`&Nw!O*Ekxvpi0-_Y>H&DNX7+ z*=?7gHcMhQOG+CTQu4e=DasoPKZLjMfPKNjam7-x3Zc$p>w5$37Pw0a z8}uS#Z?L$;YE!m0h6c%bE{76{nab(7eN}-JQdr~UM%>1)6Y#Qeo~)ezZOa}qpcQ8 zV|SYzWO35MTp9I1)gd=1ntSYu=*&qhIz#r?btx}29`=^$-S*MA#cD#eVTY_S;$4Uh zQpNDXunx+wbaP-|iR9EPy!!f~D0_M`sA2zztrj$QVZ>=JZNEOQe5ne|oGyS{sr(r! z3A|Cbb=MHih7B3NaKCm;y*gG-l+pDa5cF3bL=2-S<`dLH;#3>p;?R@f#f}#J$Nlkq zvI}K&+YQPSXpx3KY@O1P?4ZMwVnmrMhQPbal-3qI9tp#aaAK$XK~7q+FkM!b8vvA8 z(p=c&44-y{Wv>DW&1BT3`H*PsZ>Bd~6ce{|?+WccdeTKf%pTF0mc4fz3aF>BjkXo99 zO+&)B=u2VjhmcK3sb>8-C0i}kzoi%jy>q;lLS%6#ySw##wv!=Yz?cf!9Rodd@4at( z-(5hnAi-KGTD`TBX^Y0Z++pL;{yOqC)0JTtdT2%8uVZ4Cn<61?zP#lD?OyGXPwcdn zo{0r~=SQ_$ly;%gy^$B?GY5Z6{j_a--c~yW6=0*oF7ae#eoZ7u%KY+5;2ybE-iPZc zm!EkWnq9Y@6nrcnn1o!VXa!sseM?Wz)L^PSwxM~loAf>5{&g1M4UHv~O=71l_7xqV zyW@Z-E2*AS8IVQ4C(RwW8>~NQ)dgQJi9j^s@PNW!g$jxkZ!t63=&M9P@n0Cq!1t?30YxpV!6~N-=E9 zGFvBo9>Sl0?cUOqE5s@6P(-j39W`by*o)4@IS77qCbJEak`kvc#XS?GA9K!eW1$hJ zR$-GYG*pNm{p-PRauZzp*LqGWGd9vHJDclxDN6OCip;qG%?QPYg-GKoUxpG&COH=H6Q;8xahJ_28siZI(C4~Y&!$FwOZS<@Vb?25Z&s_zSk@@1 zeWxCKuFYw}g}NU|!ZVevVT}=oZ7utnV6hmUty%3{V;kFr6q@O05L)hCowFUi`ri@v z`T{nI4Jy{ptlU9vq;{i~KYnNYD-;4j-$t+@Fq$*(->quDg0p+1#9kavqO$Hd*wnOW z-7qA^h#NTV+FMVe_V13M81~FY3;rxgQ-5nG z)B{owG{#I(_;CY zshn+@WZ$Aluy#8J4fuvkv^9^g+s*lOMp&P^<6F91oq2PZm9f@R5O@%WqX?Q1{jUeC zbzw^5dG(=nB5@BP=6uq)~^*? zefzWfuj{*C#klpAmliU$IQh?rBK?>-Wz@hQQQfhDomt;2{?@i^p)DHGTWy0ebJfER z*W#rT_9Wkq@ni!C#Z%6;27S&nNT8)a(90YL3?kH-fp5p`>pNRZ0lBY^Gv~5lEm-_R zk_0JBGSKaxeWR1r?f-gd=NI(#T|y9c7b>Lr{=%3R~nh&0U0~f!ha8G zF|<{eSCwSb?phn7nhuvk3ndHGLo?s_hyr<&pbeVx^a}nh7)oEC9FscB$ChJP!YKj- zHzre-GI5iW?KSJ17#52_zhimO(e_7?BipSzEh2vcK>GyGU5#OMXzorqbl5I}WvH%S zZG%2W4BK3J+xR<$65A`s=~ImcJK<%h#u6v0)GY{}C5yH1ql5>NLXdL)=h;HBvbPi* z;_XiKe57X^j)cNncPw=Fxz+2$fDK{tUI4L5d$>t>9|ew{?eD#vRb)ZgSu&|%j|5b5 z<@7%ml{4qB@9G$tc-b=C{63~J-e&6D-~#2tz0W9g%$4~!Z`wRR1D%!esJ+9jHpr3- z6I5SwC(8{!%6sJEx9lTtPZ<4y?4UK->1X$K)5fKp@z$fZFE7nQSWY`O&wXi!7oGZa zZJ&EJvgo?QsXWsR$M{86$I4XdAMZTJnwju9-r!hMCIz{J&Wg@WqV3;vU}%&fY2=lb98p((pg zNW0MD?aP}wTYoO_h;37JMxH=E;`Yb78h3y561 zeS{>-50vH@pm#Oa8dmi@z_K8eMwA&V8XzCiivRb-XDNg@TDLhJ(VldV=6!XnVY!33 zG05(IT$FQ~i(5vr&r83bMZD!IZD3~RNJRR)o^jq;!1FmlwKeq9Er{?LO*3zNrrCz0 zX$E4Te@6K{ZoCP&6QoUoHN6ll4K1jk-qv?A&AZIenSJk zf2l|*A5g_0+N+*yo#;lHOb^%kx=8zj?2sh70gE7s0Z;DXMgIS|gpuCoOCq$blU_n@ zOxh4MTSnOBz#&Nw`jf1Yz0qED25d0*s3D5|GeYoL#Awp$cG90t*Y`)l6qdA)qfcxS z>x-5pMyi7=HvmR~i@smkQz##o0xT`2JQ2XnFkpY)_-rMpb3W{AW<(+a-@jie`Wx(^ zv$+AUUQBKr*ki=2?zfI4a-U%m+1+QwampXsZEcqnKA5R9h ztK{apJxkls{x!86J%8V)w=Q_NR}L`r8D0xdSu>l8Tpx?_2r|3le$xKhONdVPB8)_x zg<3hcEA%x2FD${fR3)pB`RoJbP=o}M!(7I4`A)j6kdrIsSOKberCp_zGc5Qc9vMLe zFVFnDDJ2C|dw=_;)=HbO%W4&;QR>J1`FY%0scv;BkjarSJko%@L7m-4I(g^Q8_M?Z2bqFI8V#z@A zO(AKWFPqCw5s2?<95|LxD~`L+#(*qlXI+QNkC6vgEI|!RK5O-=YWI6;F7iI5I<;i9 zXw)w@9!MNE5vNGUiC@2uId7X)%I;r7B!rQH{z(257huk}tYsC-*aql@8y~fK|IX^?Xze8PbVXsK)}lih)ggSiqCcIJv~jvY;dOPLsB6#C>+d#flT`?I za~bp!eV!ydUN9cX8@cvWQBDoAvtCX)sTN68vLj{B>4V4SmKs*&v^WN7Wk-qwzf9+7 zJxVn=hsio2J7)W3Ui~L>L^Xl#N<+MToytI^#~_mc=FqZZRUxWX!6YnqyTa8Z-Dm)Wt-?15k&<= zq1s$YGJ(Y~Vqe%Dy7tM-&%O^x)!}Pye!kNAY<+hAQW?U-qh13D>LS>7bnx1TBn509?ch*eC3xKyx)#CX=?}-|9`qtWF zN7Qrn<0s3@r~vlWw`p`LYmE(gD1GlItBOR=Fw4KJF>guTTom3Niu88gBu8tH9GNoG zJhA(Vn-4S@W)wm0?pGv$KHSsT47bX)*blQ`@w5Dv-VcHQNhnR8;1UR`F&%c8Bt4SZ|q+N0f&_^E~jjf_YLU8|azJ063QP_Oq$djNd zS2(E~W#x&;2w&xc6f|DE=`I$vy*l>d-s`cxypJvl zRe#zn#a3Lcsf@SVL7A?pRq~v3gg~vY{9f9$B{a$hP6C+7KpDfD#+t=OPDpjoQQ2?K zJQFhJPdBEdm4`2>A#hFx`CKsP;X%;hbN!@Cs;S*M;_K8q~< z@k^hbTbAaoh$OsB-Dvc$n!7&xSr-HzQ$d__?z*5>;_!^;C8(T>=-Q-fRiwftXPBOK zYLs9NlbmSi_h>Ujhm#~0RG|>hS5e_tjWMm*H=14d313$|PjK0M?@2Ixg+Es|uPNfn zg;9B#1W^?!BgA#@0LO2IbGh})5_Q%WY1S6w?Iy(x~wRQ@>;=7qY0*d<70Etiyg{0mCOjrJQfAIfNSJtUa1W*dp%_w!a$G6F%Pjs@f{aR~dTbFqgE|%=a9#M=%r|$gg6@MRm%mvLEw3|h6>VUv(k{iI8ZXTH#Td8{6<1Yc< z57p{+vqA;)$Z0jDO1sjAKqcoK5h<&rAZx}t)e+GTVex_n?6clZ@M%c7yWeNhq-T%9D+d6vD*kCYt;0C-&#t13pF!)8By(+zLZ=9JE+0FPb&jn9gTcH&@J`O*)iH?h23 zLM60J9IYmg#+4bkZ(is(TzPQYDXwiCg~-RNHt1CQ@;E4G=f1Lu@_@*}7uR+8WJYN-DlhDKrt(tD zA0KnOnQh;2;0u#D_NW*(N2X>x$dFZNB#5&=sGE0&lg%SjT+0H7-rfAHcrDZQI&B7= zdXy*jQ0Db()wzDvk=FY1ad3R-hR){47bW6HQpV%ph0SUxJzv1@8nanZ_?D2HFDFwxapnKxW2&WN#59+X149gAd zQ+yRk1)OgC*)VoX>BR}6N}B~I{lCXi z@Rt@Pc)Q%!q9_U+7j9iWWu>Qg`5DjXQ#HiIcf0?r<=^QtwACp^MP{<_?KGysv}azu zdSneRedOVWmIu+^7f29|_j!RRU_$lpSonJ}t~TDtk}BSMixs!x(1>_O52Zew3Wt=~q`x0rP<}bS(2RpT~`A9t%zdHuHpo*s_ z#8tLiXw+@!%kXY+Cb-oA1{NiiB5@ zPIavv(rfGAMgA^GyKYEis75pSmx=yeXq4Xh08=Yl7{5B+!&#o}?X!&hqSPyP@U-9@ zu$>0Uh@S#qWMt;1uB%SsPO%dxr&pG6MpXhd<<(>J6ti57KE5CZIM2)5pUddnOh=rLmJ;Z7d;`AC@)9POOY zT-CFgzGHV5`(QqY<69?|YmtR*eAnOiK8f>5?P$_9>HDqh1QcrX*x&f(Wxa=yEP31A zB+X#-3b~%Zh#rJ!lS*yn;D2TNawi0%4l*v5tX^wPaBi<8I``X5gC=t-Y^mwRS_iJks^*$ffeun8jCtK%Y)V0!|6B)Fc~RG?>@Z_T`z~9^ zRoJpqwP;Dvtc^(baHERaa7@To^~(X12VPGi_^$%$ysEGk-`_Bat-K`ywq>v?4YL+a z1(~ZMQ?~77J4<31f}LnOLOhYo)$P~=EKUU0lDkSX=zvYdLhYPBXg8_Mu9P!k2s?fv5*iUJ}fWvih&t$}k)LK~pBB&cf7`K)Ls` z8pCzJ2hR8yQ&6Sz^JjwPdVsaI8o+wg1W<5ETgyzT@=9H8yxAQfj@s3b(5^lYxzV;4lywm`R0@GfPea-)R zYPxEb=QR60_H`~RyW<+1csirVj&P6~W#^Bf(lyn=FY9$?;MP2;#y5*~il1P0!V76wFE{NXz~HdGF;^ zjYnsx%j-Q@YyBJzRaAr|sqPaf+bmeU(bO}nN_OVs91$`Ssf?4)n~s4Mo=sQSF-m5s zy$POnZxEU|V`K`L!luerr9jx@I5DaXv`xwOL0>55-udq_)X|%U$uEp^N*V)2(U{8K z=YjgdPHg#VvAe%V3>nNlq+3}xKXpC2*rh+A$K_OGq%+Z*vxh7G>^jmm(=OdwLs}~c z7>(rFLS{75!wiz$18M zQHHY4KoLwyzCL0Xue}n9ss!}aNs58m3NOxqvqc2gM#ammEhPa$=!N~q6exWg#7IqN zGNEhg=I$^Za7u%GxK*k%|9Xb@J!%mtGR@k=C!9fP>kMscs@0v_cPlg{19zWV$R^ga zj}RQK7EcFole*tc98q`3Vd{xLuNo(4t*^{C_(b&8&HT%%1UvLS%0XYnMqti?XZ3g9 zxpt^%Y|Mx(8bYyo^>@0mmT0(n`l_guh>KXE)y+Wtl#Ipv z8#Vs*CRTjvgsx|oOx4n*Hmg147Q%Kl6Z7?9dq$rGj+=d%CnuYF-ws>0+JBw=1L1Sz z^H#eKNb7(JbdQLXg2`cQ{`xqHe7R?-UD_!Q$45kI3eoie<%gulPzJ>U-;| z7Ev-Bj2u2m zxwos13PVK`wuw$CB{)ZwVi-~&8=y`D zVF>b44nwWjm7k8S~ZGL?%CbXvhxG z&{eLqRYfPYtZJ9rAp(I!daFK)x+;V0w~R>pGNyf{I|_FvU(WIrJ4`&;^}bJZnZ1ML z+;#IJ!9M>+y}ESfI8AoMSwVUlH*In$O0T-d$C^K#885j-f)8I4 z``4mfu)Z??#J=zf&n7ATTLRZQNX@|Sjl6OY3q}MwA*0q9UfaK@kKJlFhuP|X3A6B1 z;y_AFqKtCi52p+j$10jNr@A{AQ_;75P7@`;=6?UOHKYICL$o;>c{j-5MdGH&2uy0Q zct#dr4TWES84(7EN|97b2Rh!2bT+>F#*17s8liSbX&__9VE1B#fEG4$G&%L*Dzao0 zpG8yJvMM!Sd};By_HDVtTmR~R@q>rKyoIje#ZDM(u&NDR-tcGepRH`*Ghro0O*Bti zuSiyZ#OrHx5}Vy{Bzj^jBK#GB3>|IUdBDe=H$Bn`7(?|-r^s--w?EJF)upxO(>V~z zGi0n8W-==c2(x*x>Ws;e|K|H3;EXCR#hTHK0g`9CGBu~rl;rw$jnV!ZPQF#YIav)QAW4aDCAC z*IZ5~^XlMte`EZoHSQ;-(#Lk0^dfw+*qt|nsi{fX&de^bL9-%}j{F(=xk>Vm0~ z*{7mAo?lgBfesBFIeOxo(h3|XGo9At$L4!FuDk-3+SQL8q|e|iC;jE9B0DliUJ}Ii zn}NOZQHkEUd+D9e5yOzn%@y5Z&M&G;ErFULE=Creg-P3p0XHG8zD^30qk=qnnl#rm zD_i=b(9i&WfO?>78h)V2K*+AuApXJJs>72F$~(?q#BDk%V>GKSdK6HWXzF$STylX; zQi_T71FnHQ{yx(7V!JqYqcj&-osAk5=*Ydg_pBNBUd3{4jCj|kJOE-1_sp7<=y9ew z8idzZ+1i69ey{u5X4^!MM2^s&Hg9$&M-oa)QT)!|TATMG31+$qkvQBy{;MA57@@Hl zM5@D2hU(jh^G$ZgNS4r0wemoa$~L>aQ_NCV%u-nl_R-n4d|sh`5eiP_8)F!dY4+nZ@d-A4ovNZ)`&1`NG%fzSDv6XFb>=5h*YYIvIL3Gy%YJ+20)V*00BXTdwlJ4hDB$U1e9ZkTe1w& zkc_&xcAxhe`NH6PaHJB0^PbuMTc(N#wcp}JM^ex2TWbSuk!Mq37zA4o-w|JS#5s2} zgVGlX$sM*U56;wo?rSs`BV_qFrCM8)oWQEghR2?mgRg-d0JhNkF(-Xh|sE zU2{v)X3QW%*KRrVWg07PTzI(|fy%xexfn`vfbmZszfN@jZ+J%wB_Oi?XVNxUZnRp& z0Ri*9C=o!M}h4-AzL&Jr#OH(17gCF!u-bMWk}I z!rsU}svd|VOk|LJ=`@r0q_Fvu&F(0Xbpm&mmgk(^Cro;fiBFbQ+?ieM62j@zS&L_X zHf2EZ_T>H0R*{)1%bwC}ss(iyKO^zEQli~3DKV-|kU{mmw z@NG*IqjBcO*LrUql(A+jiMo>BPy;D*#TNki<@^>MJ+H3~N^gh>J-nj29QWiG{EWc= z9;ek4-Ivw~Q;^kS)|oO>e%|gR^`<41Yeh=U=_@@hA860*U-}j!0{ic`JkU|%g%pMg z(k?cQs-v+F$tI7pS&MYtl`OuUNfZuS3BZf$*`%px*SGu9Z4JVJ{`K%$&}^1&JxkR% zo1WoOtz}#dFvGg(JnyH^S!o!<2jps;PvskGSU;X9xqZ)YH;`8$CI- z8(_J5bz_{Ac2cK-MGQ`ZV~~|nai1zjtc~QVs563rZ%l3pz>gOp)jol=l8$vzcJf^#^C;8 zcBmA5O(tTm{z`6zjm^)KBZNFvX3*cPG2v_$Wjit0EOIqJA+cY2$P>E~`=BAgXm&BH zS}2bmr#MLaBA>h5oRzz*tQ81>5_BB~TLGaPrkA%@60ATZpswz|!IqbQ^7oOjmB>YY z^^Wd!M@)GCYCXAc8PTxp(L3I|OEQUUaicK#pLc#qKH_ATEqTx>exf2kBIfN>_kGAn z=&V|x#Kg(GX$0i1QjnfqxKclq;;N8LbtGyrZ_OROkvzKIS?3IZN{~s>_u{s9nH^_qIyCZ~XH> zq8!kR#<; zF5o1q#LKHs)lzLh9PKYCbfefI@2q8iuv~F|aY7o~CIz1z4fM8vqi%3CIJH+{kgM4E zcWm-WTG{cUB=#`1i`jL=)F@{j!ijX=>h{Ae*<}0ZtE+iWEg|M2+We9Gs<&X6tvI z=vgHPLa)N@eN>0;-G!s1D~Z!U?Xt{Y$V?n3_q7rkm0bZS{AJVvT1dS+>?%b?vRA}O zYct3Yg&VQcv*x?{l#VF0sZrzTrI1Xm+E+nI+|tdu<-1@k7tmYG5U#EMwyVG!i90jdqdj)M*MJ`MQd(c?g1 z%oLINuH;Elq}2nc2B@9)bS`2GxB4klHHZ>pFUDPD9BNUjD(B%H=o#I_y5VSZ{F^fb zM_4!z7-!76~Q`aUXk#b3uJ4F!JE$EFn`OvjAz~XN0PpCDsQwi`|nf>M%RrLCi#;#nx+CHD4^e zW3_QoJezE&{TDA@PIs6kr-hA%A_^>!qGeo3S5k=`!h2^R`n8$YPCKR*wKBmONFZQ- z)pvrR^gYcMRQtlGwEhA?K~Q|_&?vl#Yd_bZ;E71)a4 zGEzWdzb@4oDEfz=*0EASLtph(%TdGB;M%oDUs|x)_CjJw!mE2}CQa8K?$aJOY_CJ?D&3Yd%?GN8Qfu>xlES7od_b$Vs5& zOC8d8hg6C$U#W}|_&spE^(mJdN_YX-Tr9Nkjsj2Ak6B=BeuFr{zXB zq9C__m={&g*lsgfG=I9jjJ%vbHF~j{=deI3aGYY0={AXa7p!G-8Xw&fgo59Lqh=o+ z;-R;BHaL834=b-@u8yro1`jJ!O-(NC>OTTe*&Y+nP{E%S<*)ZMTF&3xHi15fRseQK zF6h<4si*5H*-@y?Ff@?4=>O%WRDHt#LqhbrJhLryM33-+7GSveXlrJ(#YZ&V;iSa< zf=n$oMto(@ymzU`14nV@uJpoHB5T-rKVlTpBsD7Vr?WDAuty|2S~a_z(hWmUyX;Kj zCH{M-KDKEKP)+Go!;gdzUtnvlzPU2L(vf801g;zY54q=bckyBobM4I<#=mne()V>- z`4sP*6;#o8lEv*`g}tpNA6)|!n;%|!siUhQVeCG(prKQhf8rTVn_ z*O|S|v3N0aJ{41sWq-#t>rTb&)nobF`|C)1CRu$*(m6so_tg{iICslg=`D(~s*l|n zwV3U?<^&3^V+Um=i%PFolCcsiy4^DylJ6fYY;65{gO^(hdKplHynA5WU=Cjp02wND)5DWw9;V{N&<{`Q#%+WclN`T86HIfeki1$?cS39@(|(F_WK}EHIXv@xT6n z%2zaYF7n_thrcJU=!3I{0lzeWpL3m`dJfVZT^}bxmAGTc{-k4~z-q3Gyq~jU{@q{> zodZxR3+exB6k5cAc45X>39(|!Z3N`7JCl(C=JvHZK$oy4kk{seG})P)6uf?c^%7;$ z7U6mt;zaay_)3<=lc43(Vvjv?d^m|-GFCMm}gyRux~q74Bh7pER@yieW!~`nkVNk(c~PnH9WNyPbWzbJ-;I$h1s21+9N+W!7q zi{{#kpMc3ycS8MXLd(A2pHrx3HOuE;sOT>ZU0 z$(;lVtl7GA1SGPFt!aB09@_q7gA%9y^Tp(y!Tdtq{2M~C?F^M*)tN^=Kq|c9t&7}N zd{I11mt@A%HfV_RNX=zg>A?tq>~%*iSq177wl#DEY8COeuhJk}zn))F+2wsZ8H&dy z%dt2!FBhF0lY^_p-(E!?%xe1^$XFK1Di&s{>gLpP<6O$6m29@KHHs(8{2e~#5Y@@a zIgeF2DTogDVFpz1Apnb?p)?%6TIIInvRs@PG#ITGYq-!?x9%YBZJGvsC^jw{S>8er zRk;2XzO@?kqT^?Ge;V{Y@7y=$C=CMF#w*`)WZ+0&=vqCWW>2m-Da~trGbB(!+KG;M z8g$U*?Q+~n4PGZZH2lkpySRt}I)Y9#ZOD3cHI>YQHthSI%*71NLzlbeSm_U^%{t$I zD3;!Eum&y&Mh=gZB}Cf)gj{{X{29M8_*~K;S`mDt6yCRK))+pyc~K5%Bo-!(*{GW+ z7qLRNTdU4f18F1i(%po!lJA`GL3{$qN4{?tN3f3f*mrxAsu{@)xtonB z^zzTpTtMmu5PGW;U~AF@kAI7%Oo6u_AaQiyz8@s-=DUyeAWTTXp!tt{Ya-&e{d_@t zWjEZ-x%*MuVW#Xi3ukM;D24H^kF$xV+yB`z-ua12+7q-^=gwKb>fcU^W?#(sCmwRM zNG4LMy1HQ^Ww*J90Q*^=E8Y6&eG*Wk?XHA1G(e_SsfKqd#+Gb(IUo>z^r&0baCyAz zMQxoF;PH~0_@Bos2EgocCgiK4-+%l}N9s8crlNkv25!PT9hfeKEIY8k!IymV3uOm0 zUSl`DVJy0n{}t(@&!SJx7HzsZ7t)rVvj%-l3zhj zDIOi8W`8c z%D%9toql2#c^e*6d2zi^A+VmIX{Wz`XK-As`xK}0QG%&TuSyRlAOF!~ovkl^DvqNf zBpVpCuxUoi3l&{1iF|53DD5}w7K&$n;XT613P(jmtohqEAS|wv=T;Ag+mgFP~4jmO^ zp~oI%L@E{t2P>+0fgr|o&GONZZeU`G0m-SwQ5}x#pE*43h``q)KHoB%M8q-sn}NTk z-O%gbPh;*!%J3#TfVLk9WL+}V8A$0?|BDINkk0HnyXa*W6+Ndp;@nMB0~9xwN3THo zu@g&gPIQDH9i=2D;@xI4LuXLN0Fx+23S_ui0J+;|QFHUPckJE(Sde(;^2*%~wMhd;FiF-$Q?@cMJFe~N)>+^Gy&YQffEJHN7|rNX#EA` zTiD-3A}(g8)vkAXuG~q-35U_}zk+G^C3Y|Tif?#1#gAU_FlD=SpQ1?$WNYrwn{aMy zwUiJLS?Pf+IVGDiHprrEk6BIdtTVD%P3*7~lS`i`d>1%JKQcoMH{U2%QsL}$BM$)G zUbMhmaPx|vf2s5*It>E7jk&((8cjxrzKjUjE*`N59?Q?gQi;0Ke#DJuACPS)0W)xe6M!ZZm%$5d> zHM-5|PvA41NFT0~G@Z-VCI#?W_@d*FgxRYXSk+^1o_ERIs3*d3IkQ!eS{H+O_soxM z?KGA*U~#1- zcYc&9gLjnOqiGR)>t4;UnBJ^^1p{h#+y8By>(wK%QHTf_{wqfUvIpC-Q= z7VcK!e}*Op15p?blWX^=8(xs+(3qMVw#p&A2>Ug z?o&s63d2Im1(@Xm=sK4+sR;q&Y51NHV|w=s>EM6=d#TUY!nZQuK?301jJ;a8J}5A; zH>*1hfA?K|C2S3`-kpBfUZN2*+m1c>=`VohqN_?<9lS}0WiO;6S1HDizfueYb{DVv zn$xGs*bDY${kvN$C}s2e%RK--XIpk-y#umpTINlTa+>+P&(K%LR}!MT0x$PgWZVKW zd$YEGDfpZ0?I3XLxalpfLtX~|#;<5%U(+^Qs2B)C;*r+oO`h3bXLJg@fbG1oEihuq zm8nXi9$)t9%8|!sJ33G?Hp%^OCEv-fUXVo1NAE&23wxg&+gb!h^?s*7Qnlzb z<0GE*_)||m>p^g4h(_54D&A;9`X~lAm5=4}(v?JFtghzh2G(kI&wr`SB|R#>cGo<6 z@Zy6grGvr6mtO9AddcUloBNOpfe${eb4DxP*MJ%fE3*Pu;G*fR3k4{G!0)Xq*2`_s zT{h@K03 zz#`@;kb^G%>afn3Q`GNdD_z9)as1HzSi6M>{SS#O$AM zqU9UVKGVC z!htq;&gIw;hD=Gm!sc$~eAjOYhgw&tvmbCpTHFl?M`z`U~p3hJmlFA3@^*&?h#!U;qV?J>=JOaZ0fHi_dni zr|LL~$v*|Lb0$I&mI*2z{PQ)jFwE3${@qNlqCZIQA=IFO-E3<3Z-xWouX3NoVx=pR zNK)U|@gPdX69YeovmfrFN7Mr<{Jzr74>gr1RS9s8Har;F!oXwEDUEP4CrNiGsZ_@G zaV4{m%m{zsEn(uR++&PK$&MHFEaYRR_Mn*%t-O*Lv9UMk_PYpY8iA>DHn7XTT%`1U zE(p9~&_QHdpbmVUF zTm_47P@whGy0<#KA>;RD(=q6z%lVdSzvCVjAQ+oKP{n>1qS*|wLc+B&I9(-i>c^2LJ35(mIP zYb(3sq%hin#mL=yWx-bJEV+HO$e?IS)zXXAs)RIrffQhBmIyhI zfWxG)pyy%QqH@*Yqn*R-!a-(|dCiI-y`;M2g1jZUs$^DtgEv%H`3n~PYOx+S?gg9Q zH9YF;a)>4$N@SJ@TG9|{7B~Ro9S9$mJlEGeF3dZzd};4xpCFjh!(IG2vo zuB=h~Sy&n2(4zm+`&-uK^zT=_4mQ&twF}X`UQ^bRR z#tL}zXKQYEyFJ`2k!h^O@}PFKy`L5#O+{uN18W~ntHus$dQ@f-3mP~v7S5raF;pb^ zI5wPf!<}$;auVm(8A%!C5@h}HWtt3s-t|36PodCjLxTahQ{sh{UXBGt*_u)_kfy8B zxUm|P)_uG@zd^p{=Y8-R^vq7kH9mdHJ@8$H)E9gB2 zaGJ_?2NPGQHi+icj`H{wjezBIdK{kMH4wXh#bTA_lwwhTn}aJ=shZz-vuApn>+s?O z+?cC-&p7I$4SePT*-)eh%!FyEYWQ}Av?);Y8hC#@7_?(WoXjtlA}1`095^PH&NzDw zDp1o3q?68j<}$j_N{?wfT2Iq?uKrR>~s#7cOM z9fQFyx}&u`gJ+oQ-dCd#on~1}^sb#czOkh%8Z=&3o!J_0BygUGgnh5d*tW^@tq>yu z9#gEJe`}EW^{dgVrzi&uQXW*{oHM-M_7^Xh4>eXDQYoM&#Ued2lqPDR*orqO4M%Mi zZ1;W?sZiAa6`L$wc<-fj=?6)80N01jr(6Ugo+6XH@HeSMOlgFXh-z7nO>FFL&b26} zAV`by4nUS{9qeT|j}&wDos zA#+ka=dJ{%q!Nlk9I@L`0m^8dwE_Esz&!dT8iE;5zPhb~jz73- z0vbWgF_mPn!!RaD!%aKbad$|h!a)wIjQ1`$pERmjmVE(~aZ3y}P~3jmCZ>>fR%fs< z*cWA6nK}{_Kq#Ow>7sioY|ziE3|*OOPRZf7e6Py zqgjOgSK5=p^plZ-P>T$MM)O2YAzdWe6^}JU zD*x?&!}GQSlTG&QX@m5pvW~4=>(wyjmb|Q@LBL~5`=Vd4K2Un%BEXR0~cW#9S{8??>usSDV{Qgut-J4u|f}gS$|~d?l^#IJQkwy{*S4zj*6rC zq73d%a2wnSFt`SX1b0t@Ltv2L5Fog_y9WsxWN-@>+}&Y<2Y1-c_uD;Z|Kc!bx~saU zy57C_y?5KTHo{;v5!5>^1M`d*t8>|~yDg31wCOSWH0ey$AUo+buJW6kUsO2>j}JK6 zS?`bCO&fT==z0DlYP-)#;74{~jG5=~rv(i6A`eCHjPIvN0{grT|4MGM?%FFfO^5qA zWo{T@WkFHA+o`*i0wGzjsJHb)B;ff!g-MC}oR6pvXLvbxcj7G=5ZS@J-=ZJBQVoQX zAh}hO&JTGBrVB{B&9Jt}Ik*z7l8@fFsA8*-oZBQEag4|8)yvcitL&LOd;E+XSof40 zNC)(*$ekO;4rQZxymMEnF%56Km#7QIeWaaQO~8rC?6N6zgZ5%PW6_YZjtq3fz1MRa z!<(_={;zjuQ-61zmJ`UL%kcK4LFd|rsmMQ7UXBZLyAk|4=q7X>z;}jc6y7nns6Ce0 z((ZD`_V{^m>*}=25pPE!Bp)x|slpq+9&qguR0x zz1F3hFd5!-7n9j)+S9yu*uXz8AIwfKnWh?WXSB}oRH}%!;Vq&~_q#4&nv>RURTmr; zRIT*k+L%+xil%X1ijse^!w3}+8KGv@Jc+E2Y>(1Di6mb;`u@aQaHs{rp1l%pTU7V@ z{4w@ff=d^*1_<n-&g*x8g5!tSLlT`5K7|f?2H+J?1ck(IAyvMVS>-xo~ zA&($Dq1U4xeVZO*`3!nmTFvPRu!TR`KS>0tAoU{)JwbU{BFe<2D!ef`uzm}jRlu_$ z6UJCt;z`@U+LZ5htE8mq%;#+0f&O7yp{b0}P^9IVq#;-Jx4r8iyzcsgh~dxXClV}6 z9T96(%g=Lz=sDeFa2}@~b8Tv-v;H7k0 zYk82XkzV&JpmBz9Ac0I@9-*WN@6L%iJLwa~=w3n7@TC=igO+!s=YR1Qv?j+0leG+7eArj5+P#`({O|nuq6UA2)sT^`^Q`KoXLWTk(>IL5}-G%Q|T--CP&9?n$_5 z6?ce`iq3`qCESPyS-E@0K#wYO)OV1Jg_xMwJY{;TU?icM!8-!G{{00;LexF-bFU@P zKOx^@7eD3~1HR0nJtvqtQOy{$81E63`o`&9{o|ie@h#r<3-;D{AgBENM`jsAl};jD zUw41!ZgCk5a~>Bd6{Y4}_-^DPoAv-8rSB^q1v!g!j1|jaGX&|!yflf?M?RjCfaM^a z{e0L3-=tO6jcb6y4ZYp2M8!QSXNlPMNss0J_$1tMfba}e!01*-XG0%z2u-2i1!w@8 zvh4T3x6{ULon}X2UJvxlo-J34x?Pym$+yZ%bEX~k0)-&1QmO6H{~)n}0QEkn_mwQE z6Pa(X`fifZ9Dh~(Zn&jt*v!n(-3M`JdIrZ?@UsIFkookjm=#I|L{pmQy?+l*&4?tY z#SOAr;pFDGIIf!m^OAkTA7c&f;3xiVANk&j*(We;_1GpzUT7d3YmB(_sk`&C)grvuK?C68()sNDlp%(u3?{Y-?}Gu=I2 z8}Ja%uItWrs}kr!s*7Q4yu3fag2BTS1P<>8@bKe0yn6qK|G3v!Q>%PU{+o6!-l-{3 zXbtudI|UQJO2I;N0B#Ic?Dw&rR={RDNKqRgN8b0J5SHFS$P0XM96(?}(8>iIU4p!xpO{~he(>E))66;4|OAoevucMd89 zF15=KydXQ7))T}nM?XA!+rE6tlwkOoVQVa$-B|~<(OqG+(J&bMb?|lOxl?FxQUjYP zA}a|>gJHC|5e2`0zjzXkj0xb(MoUyR`oVyrB?%6}Co}MivY4f~#AXYI zG=~&Kj!wkJQ4$%yxddNl8|52T*fkR(r}25jJx(f?5$!yIGwb37iM_^ri;48Lk2nA^ z`)T9{I^nTmgARC6Gfva7@1_KZ>p1mZ6#;1Zeyu}7Di98+CEBU&rrOsJK0VcM<*_uT z);#KE_Co{&HKQ+QU%2${9%*RK(D z>E<oBGqvv+S>pH<`XbU;bb#fH$Gd5%drDaSrt{ zB5}E~x}2(eSX7V}5Ughxd~R(uDFzgQ`!SBuk;2D%!hhl=QYs>!e1)FTQ5(({i1Tp2 z_*EYK+(`VHXNY>3$Zaqe6-r05s$^G#cw2!k zEjF4?{@%+11T8tM`_uf!s+x*V{(;5-#)Wdi7bf#K>js&l=*N=LSaRBwon*ISr#P>Pd1Ie{`Vhs&m= zwc_{3p;hfeu*}b^=H8lI(RU|IX6P!B-y-%g;NnXZqK4 zf>RU7?{FJz<_p*u<_u`<5QX@sbd~027vQ5CDR>H6DJsUXbv5Zom{@l&qenhj>?g?W zY#F`0qI@g*qi$K(5oenu*m+f25TjHjE7HK zt76MqQYaGZQ|<`8JqO;@K;1R}w;%Wmq0#@`XYl1uuw;?P&oIn(+-!jmlQ3ca5WZKx z7d$%GGB>D!6&-naLwTF-rFanBl_+J{2TeNm^EH0OhUfiUZf14@&LiIhL^GlK;E|D> z7&{Z9o-yb&KTScY~U#%BxfT|VXA9$AvOfJI;gExEGo)XSlM!JFqNAsroe9S zruT1GcdaL^m$riZbm2`45heuYsNjE=j)1Ax{ms75T8 zJTOF;`jb#DjSyYkopoLMZl$X8f<$2MsG`pB5lnk%JXkZ5Y)U0B{*%lbGm{(n&Uk+)B8KRW%_LhO5)2Rjq7psFNQ zd}j@AA3LM*C;7Gxy)o0dgCx>`RgoUI`0^eP-#V$A|GnzA}_kwv9NAX z|Iu?1f$h60IXPi@R8;((_r=LqO(psQm*a=65n#(EcElY-p(VfXd__#sV}(X53aGJ4 z)RJcLqPF}nPBzK~EaPa=Zfz@ihUz*5en<__(PxLJo|V*5kn@m{>7Rupq?&kqkWkC$sN{2iBwqDJM%d=HCsKAV;e1 z1t@G&CP0d!?!`ie`;#)p1kEPdqx)WdgH#>G3&dNYYPvJB%kpyrg*>}y6&^SnlGcw` zI563Ut2UNhVy7>t46~Bgn_p>;0?Y^{oQ2pu9h`x>1Qx8rt}kmOa{(-7g*NNT*Mj8x z)W!nId*#_uKURogI>iHebly;0z_7OI9|JJ&-O@msx>44swAnxd)81B9FiD%p+Am$8 zL385XNs(*pIC{;siY|+mp(_)2{0=X~KD!D3Kmhnv`H7!`mB%w3{wV+0edMs7cU9@B z59}!BoA>INUPH`elF96!<&FOe_lE9&vSpBu;sd|KgS;OmDUd`AT8s{A=$&1C?{`WP zZ`IPQ(zRTY6Semt`Y#!2sfq`&@hWj>g@*Zef|2Sy7$h;b3A-U0b&VTwyvSi=%GKsy zjyTEfx6v!CMJ6C8YnNEXSBD9kf*c`p#+TyG&!a&z;#yhoP2R?L`C7~4%{S&ZF%{33 z*ZxK12N=+AE$?XdYA7?FFVjB*Pu^9^s=QW&EI1*BiR38_z*{h57HG)$f1E}4-9(!= z&kh?9woV-ak@&vPAq2`kC1TuMkwH=sxuPyJAER@p9HM}ACR5nA`pjY^`xf~ zL6HX8j#Ya<8~@$}Z75%7AhX5v7@lxvw-bpnimhkB6i7-{{Kbl^&S*Cc1KwGK2b>Xy z6#n&{r+JjwN&V&53@`erQZi}0x8)V(D<~sH%JI&b{(})tZD7!Mf<;xc%6}03!{baM z$nc*8+Ks8G8sZ^B@EtV%<(o}W+Ifo2n$ps?yX}1kTrIoeGv|H!Z`=MHu7N96~`MYA|7)aSQyOjhoa85H_8hX-61!^@_6 zY4}Z|xkT17UrQ|1VFffpLQg;Ud`-Zeq|#$&JF}|;f6M)tDlS+D#&IijY?Q-t?Oy<>&1qY@cvwRGs4dNotOX`ys}*2 znxzPT5rps6+^-9eamc$AH+ydR{0fy9Z1`hWP8H@L>AD{Zr+NEZI!fGH35T_&2|IcZ zd|QXFC57qT0ficpeN7&i`=CR~#oGBYzLwl&ryKpy(3^}b&LCafQJs{OfXi^j=gy{N znTmWc<)dyyOP>TP)u8{9m|x%pRU!jNOi2#Mwa6kU1;(MTw~YHq917VTU#-KkeAg~= zHbtzC*CCzWoi9(7FweRA9KPyyw+R$qTgPAdwG-Y?*{IG;zb>WG>>N`dwH6A?CRU%N z58*&HOQH7G-k!1RV z>gyYJ9`VOw(4sVto_NSN>Mc{5J&UlDZtN;Sud7oiDfI$7l~O&;t9X~9H#kk_o?tS^ zewRY07~Pw6c7;EirB2M}UbcAo^N=7V7wxc+p#w|pBko{aZml)jgeOq1OW|3LVH62e z`R=E_YhYf@85%muPbS?{?x|oia0aIipZ*5Y*r;@T^kBKsq483xw!Q8S3C6Xybr4K$ z`{_PBq5ZxiQk#P#N;OkE6(>u+aQ~BbB9dGA#}7uPgr4I1$-Y-il$Gcy6Z2oLpF>6l zzI5&;m6UrIvTQx2G&tN(S8&11eU^M??{gU2N6YPy#tJ9>>1PLo6mZ}Iv6P9_W+!sw zgWkQmp3P4DFbso>*!K?K(=5U{5Tw%-r#L7Kuv9>OJFTOX7u4rMk$3hL<>1dQzggyB53b_1?E{X zD@)u5k8){qfu*2oc0e(HS}70y%T0lbHYS{!eSSujp8@tTL;(xpmX8XsCrx_1Ag_cV zZKtl{@yE4WuhLbBq|Y#umY zKgh+hzf^zloQ57h5F0s2dXZ_~;WzzRm6@X<%XH$`x922Y6X1y&Gzy3^cj+HEL8 z9dJ2Pq|rB`FXDB4>`5+Az5?w+@&B&r@$IK>VT5q-5&7IeESTwu{L6Hqt|@kW55w;E z%FAS{$2!g_9O#u4$N3$*=PrNZcmBH-7Sh7-k;EG19c-x%qEF~8IazfQFZiQs$;-5c zFZF}Dj9*w_!;60s&*I%I<+fMq=nuu?gL|cfniS(K6h`brw8m`Zq}v9(3?6x9`Uw=~ zv`ICfrM<>IlZh2K50PJ9^~>KZychZ{{sNLIf+`B4)HLSbK!$OBGtT1^=xpBH*nVs0 z!P>Qx(Pz~?k?j^o^;e60+&j;7u3F)(Q`7KHfaJxzlOAH%?jI0J;#baiJeIvf$J|Hw zF{PH=%Dt@#4do%bi}01BYyBG!`AlRUAW|e#K|Xc;8s|Ftj{cD8-)!O_6wFhy)?4Jd zR{3q6Xo$`-zF+CU5$R))FN}Rv(HU&>nAwmOQUDk35$x5mb zUqGiri^fE^nU#~b{@7Vp3dO1I`x$dx!xfCqPTx}lu5A&NdfVPkr|JXrKJ0odsVXRZa=|EA=quaqCU;dZDxyWyxI0REzAdgbZ^ay{m)Vk zjEImtY3i)FwMJ988-J6;;PMrevSjbHze++E#0P9mlAq$I2GOrS@0fOQDA-?#*@_j8 zB|kuu4wS`TmWQE=7vL=)v;KhxKV<#p%Y%o`M65`rA{%v4kU=k2=RERw;0rspMGtZP z3dfTXSt5&tlrc#V4_v$16QsDW6Jv_q{&NhwR}UVMOx0g3&tX#>P|I_{SX9;-cckJg)!D(`23Im;CE197CQKY|JlZn$0Y~lS0wC9T&%$j5OS1`sN zQZC`J7Lv=*~WHEzYM$4a+l z7srp!MWcG`r>=h6u!XjS#)QZBAhIpNi^={KThk%G`Vv)@x|CkbI#`tD$1=# ztaGK}g+dsy+JqMNX%yJTp;+xAd{4Nbn=C&m_67$@|D0xFK&dEf+y@#9Y1ZGV&*v)BbmToBh*y}eg@80wr$G{&;E zaxfz>J}{DPNCUhE0Andb zfLm73^aq{6L+LW5E=J)=_8qz1uH1u9#yvJLecRePFZxmCJ0lH!`5AV52W-BM-Rd%9 z3j>{`;*N-))+J+kI!Vc{GB#*Jne%uyqV}I*r#vU~GL3be&d0MihXi$eAS=8caGP7O z&H3#9SUc}xknt5%hsY^IP`2@Br?YlYr+N3;Jx}l_-i^Eo7M=oBb*ZQKjnBi<2TB?FHx)^9Vw#MA5}#&r?oV8Je{c)yUx zl6=;tFZ{x=mF@70#4Z|&jqNPo%vU!NMv`SNY#^iSj+i}K)kL+jkh)m4w0H36`pLg_ zy}bSG<6hfT1=u>1Z2f7qJXb4GI}@D}<=CbZ;j(baSo5RRo0S^+tP4cjJyI)ARnf@Q zO1QDDlP7haZ>a}H&__dqHB-XN=&9J@J-zl9gMR4oZ#6^neG{l|SKF3WZ}3RHArHbv^){OeuT88TeZ7T3QGYD>3gZ(RkCl=q~!S(HY_-bkR=eQI;S z0EaZ+llR>c$9IPM;psDM7p_ykm-c*NI8V_Y3^9yv+F(m$$sJxz`PDvZST!is;Q4!C z$ncs-wFcOZlj>xT;p~#?7_NFX8oPKu;N8mYDlH}$AXs1=1xjCxJ=AHuyRI1>X0{nJ zFSg#r)+9osHe4hTf~3x}oR59pFawW`Rtx>Xxr~RTTIFXg2S^#eNAZIlV`^4t?=3Ex&v&C-B!Bb!S&Vw{WzTfe!a^XiV z=A+&RO2cnz^{uV)7dfXD*CBw{UTA~h%LlEZXlRlj*o%R@_gkWB8L?QYvo*RK{LxZb z)=R$ZY;W(0H?%l-&H^9^hUgCd)-StEkXW)d^nq8#%?8`&eanpmU51sk0zh(Jdk=#U zv1#sum1zV6*f-DhJUVqeI8)XC-NTLTm7J^UXJ%(c8;?Soyf^#yfJM*LH*B9J}nXq)=HYpuwp zmbKDCesM(*2%@t#*-W4t^Ev$ko35-H2Ko0vp9him_1vI(p%ZC*!z|lqyW*#)Kja!6 z%h+}sY7a&U;E%6a)_Y>s3R3D!8*rK$j)ba|E+ounR|Bc#$X2j|HKi90>Hb2! z%D-mm$S~NB1oPlVCF^~T>#$wn>b7;zRI;_Z4GC5?m!x|B{l0&9^xi}cx@AoeM&fgYs&(EBFg<^wg zY=%EojA8gVs_&!W#`O-BuLO$!;Ho&E&!uMC(#&Q<(PyE3I7o!lRCmN%cM#Abn}pe9 zYS;R4#Y|csK_>;>*@m_ntw;GEC0kqu;GRP)>` zM0eHZKIQinsb!bLPke>(?xTI>EYU6!zYi!$kJ0KR=M#BL0zvlcAtLYFnua_%5?qx- zv`#jQ1aPPF;65?QZR zwUWuq;o(Yj%HK)onf*%N;87Or@1`!D6Z>1Yd1(245sshcl-zc-%kBw-enp(PKmz1w zv0H%1JgePm-2;2*(|VVegh-t5`7_U5zbh&AsUO+5zO*{X2l@M?`ZcPdHf&q|<;k#|E!fY0RQmc+jX`cva86LlG zCW8$d=bV+TY?ry#YLw*rC<`X;u8>O+(!yNp?tw+t=t7(X!SWTUJNu~>rTi|aVsDnfZ|kLw zmtSlvUi27c?3D@UZ#;tqidq#>C_inA8R)oK1L&JAPx9Y)4lA2ywr2T`$UR$C*14<= zFrTMo&ae2BrJs<EM&%R!AqUy+vfy%lEsGcjR|GT9P9n z^}B|yFPd`*^kqhK2t{`Vl_&~7Y9oF&s7du{d~z5)`3`&e zw>VcEHaF^T03RV}ge2*2fOS>Y*d6t8;+8x)t1(#);XV!RtvJlAr;8oJ zfpg|!4JEn7g5tPv&t301d*?1!6QRAZ>WWO|(Q=WsoSW3ve~|W$;%?l=_ip_2Zs1eO zXyBJGyP3X=R!%!EILYLv+?s>hKLWV0m-7c>pOX!b-qht|`daAhzP2!&vb}Su!{ddS zu=#|@h^i!a1hDW%W!b%J4~_T3&wU+^GxusaKkE)_s}Jwn<%I$a634CpIT)$Sk@ZPo zjP&i`5|^b`)>fXr7!tU@sC^ecj+J!61)?S|s^%9vaM_Yz(SQ=Xqh&0kH>1hN7PkxAdMM|-G$dcLq;#S@j@f%E3#8Rt2;&+*>gbL>mgpx z)KWg?neKj_Dmo|eBx^nC#_@RiNV~Wn4_l9HTO(v!l<&=|&b(fOtjGh5l0&RiTl#() z=YlpPG=(li^7Z&ui$2;pvU^}FMC7uU81+6U`Is33AZ=zT`8LlaC2S_N|BD*m(R`Vu zXs*%{C*P6iRz2(fN^yvVxNI(X^@8h{;0;BcORCO_%8r@lamA>S(08(Hazd^nHT%%L zY@B6ND62C;Lv51+t5&g);?c^?qB8@a0yuO=)!$H~<^O#JUh8C^u5lhOB{YgVb2=#i zbRe!gH5i_=7%1m_f0F)i8_e`9S^&+${Yq{@ItjJmp0hHWbY#!4w|EMntjTvV6wOEt zy{6DD=0;oz&~g}JKUt$zBwLdtT8_Y7EB>1xNnr6KZvW^hWad*F$9EFxAvLAKtefZd zt#l6uFh~KAi0op0dM$U(^lX|#e6=_5Z05#}wpVU=eZRztV0_Plia(K@2`S&wAPe%% zbaxM6mQh@@)c_FHFS*jT6;6*CcHa2?CQ~zG={?j_lYbfb7N8WX_sLiVuQ7GIo7oS;wrjW z+5}`6-pLi2L5H_iMqXE9*E`&5c#SykOz9B0_qCr~@%=-ZH^mKP&bi~h9#8YqMTpJ{ zedlEtWATAkj$*Jz!Hc+)*@-UNoM%u!qmb9Uw;nXfFXAIR?Ti|e7VIi@{{6dR5@7wS zGX{+?Y3IWHsCv*8D=K7yq=3IqLb}aQc$T=Ibl&8?v_qFZwb+~mX4%C^Jpo5*n(@ud zU7X7p`;xoFzV7+DbY-kMK`X?qB>(0#>74WhfW^hswtKsJ6Z*`>jix~n&QXj9W?@s? zrZTvZgz=~Giuq_th2cK_*E>n8^im=&U^2Dk0JX7IiB6W^P`jdhHHif3V$X`tq!TiK zuSs{(*kPY_;iOr~_@*dd|D}*uRXNR7TR+6XU35ws=GNB{^6{5jpAb;MGl9`WH@~+! zvAFO$qGL&-_wJXk1MIx{LVC*X7nVIG0|wEj*pqHbREy%3n!6Xhy-7Kz(tI(yznh5C zyz|`fEaDc=LyRso9>_Kmae1GvDqf(+OZ}{_-|M$4hZl*IBjX#Tf|p`3&P0a384Axk z7@>oL8g!9rfI05;W!~{7C^=+Fbt}004y1D=LBGAp)e+In(L#+);T5C*+$3o9sbh?)KLf}~z0n)f z<84aJXK5a6nza{$B7|d|S!1LA&Ila6a2 z$D$rp;ma;#@nLG7b4g0Q_E$^{c$yi$VdF|cJ5OA&vooAdH>@AjpU~xi zQi3NVLR`_i7)5F-tmIaUqg>OA z53MXEXo?f^8T9F)fyhSx^cnH$x8*4re}ehipJ}w}gLH!ALHN2@_m0m?>gjWxbZ7dk zWn{^F=5_Vaj@W2S6Ix@I>s@3D%kCac3R*L2hjG8=5Ca|kOYGYme9>JwK@tq+`eea^ zVO|ua>fh_p?zkpxn6t_^8K;^(eW_L-Adv-(*sO&#Oz%BPjEl2VneFwKywB$`Bh`0-IGQ? zT!<4wmhsN}J}#EvG)3nUZM{AYR7Vxjg83DCJPiTF3oN?UQ#Z$mbweX=g*VC;ZfShR zETSbGDx7&Z%ap?NQYiPlhRclj`+lh^veTWh(O(L;WM%6=;Rk4i*bi@I3lLm#<+ z7_eU>E4g1gk_ryll9s3|-dD`kaEpD2aLje`^h7>>FAe*e{;S}}C_fJSZjG9~>1x9g z@06aHwZh6VZkw00S1h-fXe?OIA^;{RxxHfG%x|+G-kGN+s*-uyQ)++22zxFTxO4pN z11g|J`QzoRqY`3I<^(yUg!!~du-PmGsEX3U!qT%{=$}uy2z%uBH$M8%!WglhNtK!P z;8|`xUVMiGmzBb^ovX5pgeEBsjIF3_q&YLwNN-XoN@LIFR*IRW;OML-e)9T2`~GS% z3fIuvA4SQ9_iXcd!&as@r8C5wQgslm55`#F$u@Z;3qE8}DXC?Ca_37h*zVjJR}*~A z@^mvJ?v+dHQu^|&IBd#1?)b%8lrQ=S)+3#K`Q$9S9 zXxyLH;9z^aTec-K(d@2&y|TPTwYACT_oS{buhA+S;zs6s(8!0t{5sAnA(KEoB@~-dr%!?%SnHaU@sx9xUf*NS4>2?g#9R$>D_!-5G*U&rT zZa*vfl<4Muamw$%j851Np+6UVU7HLF(QZk}a@*P=o4Z|$y0G+dwE&~aC z|Mb?$OH)#4S;8n2wHZhvAf#ipODN!q3Xs7(7{8!QS>*)PAY^5?f+t9Fho8ji>u;`S zJpk#rzYB=IALmB52z6QBSWeGO#YnM^244lR*xaRwrkPi*vqZp&Yt_DP1v8tT zdJeA_yv+IxwsYQlBkxevA&;-|P;(Z(NsI6pXs*Vrbtch(-d*`U1&BK}=oQs+`$+F( z{zwmT`gJ=9w!c_yYy5j3RqxVjNhUn-qq|f6yOH$bsNi32EH)-tspHTPL8~dcIvd7z z(+AQ1DCS2mv~e(p1__uubz_J|iaR$fNx=F8Mm_JI+$NG>;V$W0REl`y7j`X;Fkq2Q z5rSGTSRfNo^J6#T^SCL(yI5o%6xdfS&ksEtA9Xk&6I}pbDmNmynx8)6BD|Cw-tZSU zdUhn8JtYU$eI@wB+I7Al@C(eNuE>UbEO;ECP4ET0WlPWM)3A+p(qglWiC5uDTZ?nUgu{FYz5Jr2+B`GF{1T9 zfW?o&jM>K&ngiBRfhMI&DT=4My%0t?ZCFEJZ}+U=p{-TlaNKY^GX{g{GG6Y03m|*8 z`x(n=Lf6$g1feDK)IrWCoh*J%e(6@Pp+#aT!zpxSpNG~{C#&Ns`1f2p8GKLZ5$)XZ z+_T6q!mV}g&qTym>RaQb7~)Czh$XEOO`ykT0u&Pi4=y6MX(3w;qhtCjg_Ugs2V#{# z27h~{Jm3fD{HcV!WUWwQ0n?&oW2xfBhcRZD^O`0VrgF`nE-2sf7q4mpq1GBA#-jb3|-J2g7Jiert&j4+=<8jP#Pt)m-S zii)EO{`C7o@D+@b%1R_cF!Wbj12$Q;1g>&w`$I~hn!H+D+C{!hFPXShR;MiL@2yqW z7RQwQZgwR7-dKXsRv_82b%ic~a}< zEHDOu7YK8_@8u&awIPOhvg#i>CX8jZ79q{w<}&IVw*_yHWkDFnDmUlD_gsU%oTWTp z*?7TU+R(Cn`AZb@>mWY^t{NzTfc&ZVO_0Inqocddq0I5Gzk%+u4e+WlgN`M?@8u8j zncpQT*(BK4ehaB!kO6QCr_BuOsNK+*-UW`1wDY$T=Z6i5pub0~zPIQ={-BbgYgPVS zq2A|wxDaWfn{I&Vpr|olX0p==irkP(tz~|hO4_@b8GkZGcZCCaW8D1PyY70R;f}|5 z=87JFkc5NES5$7v0a#~BWiFdx8VU;;eD4L|1J&0L02tl$T*zk7O5B?RbU~b8JxOx_ z_g;u0nu~(^daNCzQKa~AWSfh2pJ1LbSD&UFnWQ{ou51fDlQdw9;R)rNErivrVS6k= zM(^rUXoKdN7Q`_>lukhf?%~g8Ap};}o+g`}{#!9uXhe9)&+-Pjj^%iWSnW;8AZfU= z6*>L%{gqKtt5f0xE0g3&!KOl*4cjaWdF%qupvrDD9t;+w7jByU*wk4(WvunM#evy% z9c1g;?-X8eHq56GtbAH5!>F4_^Xk^zqu$*|jUrHg2juNBzxpAU38M5WF~cm0JW$uyyqr97gwB=<=aE$+R6b zKgB>75{x6-#d=yI>?T{i#@*1y$}JX>If;KtFi0NHSHpOp{?WG)w8JCiq1~GrZrRMu zKXS*RZ-26q$~?0jE|-Dci}E-C<vsN>D9+Ra4cAoop3;`u`sP4ce_m2F~*H5U9Rk`4Fl^tofVeI!xUR|>gwTZ zCkWMQJd;|wWBU%H9%bqIBK^)=Y1W%@84Bw*zk-Pk054suP#}jr7Pqy@D_2Y8Ih~*u zY~ry}8SV*{{a`VPE!6ln|M}t`3lodhL{PgI0aYmGi;1eWqu%%7cFBNyVxP9A+9U-t z7lsf)ce{T5dyxRUqD?-JKZXD)-RyX?74r`z^|yUiSXL?bu>5Z==4ARu9Bq`0fhl^hmwN})M)lIg9(Rtif}B^A)AFXJ0-cCwA`%l{V3 z-9sl-@4AvUFTQB>sl6kHvF`0$PdVagVyU*j`brv6CPVD=_8Dkqs zN+CNvSv@Q7a@`yQKIj%jd25sqKU<*{4u5OxAlpK_|$8PM|6EKSf7>j7H|9gXF0kdk7|2`Pv10uoDIK;a8TI29{F_e6l$aO zVx#|_tqBy}-^sI0cNkr-Hb(hAze5!@_M$UX4wgbQRvI*$rY2=}FFF-!l~v8O|B|3q z6TKKZZzUYsgq;a)!pj}CxGJP9ALpN1u%*4m0hE`%qIc}gm*QC*w%j~PWzrXn29V7sHx?!-midc= zf{U#!LG}!%e4&>W2U4emSqr=8b7MsHmuB}D)n}U>kVHF89BK{m>_6G+0j1B=cFFX3 zd`O<}f$HcVyA*S=AWC+Qr4C=6YrKf-eRt}7f84dMb141W1T*gx$ zc&O>bOXHa+-a|AyB&G)*s*z)PUgF)m5TZe2yL1t25KjG$eb>8(z%dRQUk~E2r+TVT z7p_FAP?p6C$Td3IRxIuR6Q~;b`TBns$?urzbJdvwPP;lki6G&gHTI9C9+6({ULI#D zTO0>yM%T|l!zs$Mt_P-Au1Lx0rck$zt|peXvL}Xrfxkp19@JT9=PDn%9L6F z8^n`2ds5VNNJug0F&p*Ny(A-SyCd$hww8-IpR7ujZ?dV3(F8f!2L>;mU?Y>qcmywK?zmF>k6wn z!&02xceksrsL9v8tB5?>#q*)Y^g)jtP05-)hw3tXFqh@zNWCo(eIWa8L4de`ooZ1o z-XFl%hRo8eO=KiN2({MB7u}-MQbIRP>?Ui!{e5*}y8Sf9?ALn00_xxANeG})(l8GJ z{A;YeHcLu=gFWSNgQH+)gGv6`b^6PQ0-S-88dp5%b!}A%Z6B~W@mA^Me>?Xo; z!T)ePnH1^NkI&qGjcQ}N6*ujEX>Ge)Nhd~)j+`}@5w!~(e<<)c4Htl`z>4rDh77qQ z+Oiq8EHLd$qU3U|C3rEG&Kq5)j4Pa3IjmPe*5v4xnbe*QVho&aERLCBd*p^tR+v2+ zG%L;uzb-6p9REDGXfshNlcec2; zLROFqIpSuN8opx_}=01qCA@5HZ@|oK`ek)>PMX^Iww8T1u z3m^jWp>|)+uu@#{hqju{IxR$KR-u$f08sxZo{0;K#jm3{+r$rFc74FjO-3DpZD*N{ zoiglKf|?FLo8ScSoyg5nsC(Vf8w}R4#z#eQw!akEBn3<9j4_>c4l4%;>L(Z2Gb}VrE(i znm>im`?h$zd$|XT!QF%L)>_kmQ*_(OcDy~?A~Y|0w^+yO^bC17@zK;`EQ_e}BhM=W zpJy}r|IXlp;bi#_)e8{(f2cmsbxCFcUNg_LYYX0MC%%3n+_D(mk^pQr&LOjNV2 z9Y`gvD3Nh;kz#@YNaOYLcyHq8ujNpNi}67+V?bfhm;TT|Nz=i~L?Qn<8~2%~Ct0Tc z|FHFzQEfh7)M$a?5?Wf^DO%h$DN>{@QlPj~iiALM4^SM6I}|9zix+oy3GVJ5ToUBX z?|Vqt0jCYup}nD7SOou?yNqcB5`PO-P> zUrhSDZ;IP1{%z~eB5y*1)tRmQ;+n+4X4xjr;F6xIetI7j|EnN#aks-yXb7pRr>%4z; zi;YSw#*V6f3M}Hhwrn;Red8>eh$WzavW(cjDhE;gPgT;?C5`4y@Khiy66U;8LE*EW z|L~bd!IT6aPqL?_q5)RHPPsbVibx&&eI>Q@YEAVyqY+{aGkv@NtF^w*00=-q-Y_ma)O* zZ_->y&IUtdGgQ5=Nr1BJDB|{arl{9WbP;Q2bcAOcI0o}%&7dJU@W&MK?)eKW_$sF$ zicB75$f$dntJDR5C{$RE+B7($yJ|*RAs*xPauPt}lq*kB@_u)a4mx#;D|L&{Pk*D2 z-(8WxOdPH6jXTlum{hjAs(Ab2FCz+%q^f4*eB1lHG8Y$;4$8fS=aJfK4_8caqmNE# zCBZ^!FoNrfh0*_?T5~5C9l+jeb6}_TPl-X6oiSQ(^A`=-OeL7U z7G#xl*u@U{^n$GcZdi zUZ**RoowU-)7loXTEiVldE}3HpT3=?b`fo)-LQ-GoJ`UFf-Zeq5G)#U6+@~f>j2x% z{wd$O$|ap9?(~Sj4BBuj+DWx)kZD9EgG+6fEOb!qaU0?>Y0pLN+}I{mOx31nViHn` z_gUaCqJVMSqYT~3bl@tPD-jguKWe=37A9Gd+$B>}%2+Sa{dQvR{x`$@qe|O0V6h&-~K+$``?k@yP7fuHs*uWEJ)m!Jo_}zaLNgHclD$ z_)gi`RQ8PfM!iU$5Y=gfBg(18{09pY3w_*KYp}@3^&9^FKk4D^$Zys_S02Sg*x4%^ zw~6l_crJohuZ%tkt}+0P>9^j+jat;#Q2!YfA<3%3)r}-}PY9c=Z|nKb!fg7(bW0Ae zhBXKwgwhEFi(jgH%PRj#${K2|B#WflMJYM;0IzpDpDldyDdeR7mcTw;XzQ}Z*8+wR z!&Zd5gW{=z1;ZIG{1STp?AlxcA6w!*WpuMr^_U!z*0_FtUtzye;j~7*%iuuqOK$-+ z=bd8o0xnc^vnX1aDU5I)b6PyyNr>inui=F2YVI!qHQx93nPLw(8$kj#{h`(r)_yu{ zQC`)6NpdsEE4=?rWHzBpw`??*trFAMrWwcoUxM2!HjhZSN=+v)yo+|uo?B~pq@e$PYO_@ zE%I@{qSU$(df+uiRb!$46$t8~-aZO+p`xji_&GFK&BEs!uOc}n*P)mB<3{3efc`3y zPcQP$h2z19PH$>l+pVrU?rrm|*O7YYuIMXW7K(Z-^#)}OI#fND+sj2M{Ou)%R|n`a zkI^xP){+NsVk)A&%_UU}Q;TOd85#Pss35Q&Wer?)fT~%cgv@L^1|MP6@^c~aNB3Q^ z5r|D${{NT$POEj3aijOyQNodEpBFpSr})3@w|!hsq# z`^gw8_7eu)Cv9BelF$e`pOBwVbA|43$mnRj&pdUSu*8=(0ilG$ohq!m18l_kblW%K z%g2^nhTVD^B}?e$+W9;4${2eu3)iGG((`HK=TyCFZr}bjgYpB)q0I5y2JG6?)d!#R z43tYh4^E>@Gym@ey#D}y*F9?5m0Vtw3u5$#^T7DMk>d76gRU5yx=p$ZzH{{6`*a4& z2JnmHa7)dNO1(jY-zQfkd*LAVoq6`JCiclOucPD$l+Zr-vsdgWY$3OD0t?-gqOsC1-EiU^C zPTpVmt;&KDFGe&9Kh^umoOwOyzs!0Fh1CTD9F>OIjr0C(FQdG1s)Q3!26ZeYt7nbp`qo`h^0bwZuc$$PO8GFO}eytJr^FmwtvOvA0PbopQ#}@x^8^ zC4aeHND=ZMl^R@Pv!f?yKrfn^#6&*^rqtYFq6ssfGso1muB=iKt4) zuW3BuY0bm!3+MS>An(JRX%p(c&vy!(AGsI~CMC*tk5Dc*2i>@@)7aC#1p5^`?Dz!! zGPhsivOe9r(Y}f{MEP$O*>TF|kp0)K}iGHT3Nc%(6DP_%K^|R`~T)Vjlo` zw*qzASv;$F(T|%;VF|01`O8E#_nf39^X-~Rr##5pR}>9rgH zJ}C)r@jN5?Y;12%iQE|PY&fElz?J}Df^3mLYHLE@{VgIvpH7Y?o-$qwN0~@IhP1}w zFSJsOt4ud*HbC?Rh(2-r~{4hE6FM4U2*t?avhOxHeL)OW!X;N zGN0h54VIGK((@AU)`Q$lP*NQR+bbBg1LQID&EemYRgH}Ew(dK0nQKz6kj^6So&R7s zdXQ)3SB!3eGtQTW-TzVN_CBeKPCo8-p(R@bqFzs$m4HkI%1n#}T^S*3_e*3s11a%5 zs;tSot$q+fY-TE0-;0r*7Q(nxC6P6e;B?ZX`zd2FjQHfvNLesi{XkOUv$~K$@`el9 z_!e`n(`;PHG5Zua=$oS2FAn?$+^;CX(fFPa+o~BP8HirkADr5=rO)*yL+D-_HT-0? zLex0`Q8CzTaB3Bgkky%WgRm6F6;ij|ncq`lnYkA#YZ6_&>nMjbsSL&L;4)<<)rQ_o zvsBbMQJ;_3Ist7g45rxiKEdr=uih~|Hd>e9`*EJ{f!BLc_~`-xl~bsm&#AN-sdxzqcV>V048imvsCKMWmAi9y)5k@8vFVbOUxvN!5qe=`i9R-6_6X z+w>oX&frd<*qNb4s+CNLp*y7?yX=3deMB6G(iv%9_z|e#;d;kdT&QEm87QPUhdX7K9^UJHz`@zb$-vn4P= z+@*FoqpmsAi2zP$o*0E$Q~q7N8Mk9ao{DZg$X#sF(~wPDvdhdJy5}uTGHd>=aw^16 z!_j}ll_uSzZ&^fj&sea{b~n^WfBN1;W^ScSD3;&G7;)Cjx+{Ha6Yumik?t)@rUU3N z8HeADpZrcy$$M%Cr1PQh=G^Rq+0ra2(DiaF9|9r2rtCD$cGvcYJ`}W_TMB(Ccnli- z3#g8ubUn**-B(7bsXA|azSi8SwhGrcHqdz*-xsJfjve$K^yP0ORi(GV+XBgds=9r< z^V0aZR@=jAx2dCnG*&xt;PTewvQOW-&V8z|BuylP1S5rUnD%IMK<4WZ+NgbZx z*@tLY3Qw1~8XYPeu6}qhd3}sImtrL6zD)oxQlA+pG%h0aXtr}LiSKA`=$&lNyHzc* z7?c$9#tx_k*4I6=vLya9zBRG}HN?`-GXuFZDa|fg78##xEE8M` z-6{l^=m$L?ctSJ4^P)l}!=thfcx8#qQN z<(%jlLO!!W?H>&OH2B}1LNQriDEk&^NE(ckTQ6i0!j(B>w!2!ciY`x)`#ygl{eA9F zl{BF{@vq9WVrIGC1iJllCmg>|5zTQi#VaJN`{THI_B3d1YtI%qrA9`Q<@T8^oWQ)9|_LtswS@e%ykeE_V zVg|k$$3v_|5-?4wncWgp(#%R12p;Oim< zY7ktFHN-gug*#VqI?{9Gb z)!*LI^?T?4TPC*oD0P?5r~n} zE@NkP+c|0x#>47UpW#Qdcr;dj(YmK(N_`aXYdtPzXTGXB;i0T?D}TyPIeAy<9?KkPAjGA!o5$Xwlhl%m<2o2Ji_!e^EAt7pj1pSv zwjJ~)3DrDz^pgM^&`{p)PWc@a-*JFQ{AQNL!#vJIkgHY2oIdQ-#6w=~kPwsHyZOoS z9Pu`0aG70qR_1Ss#D8qz`gL?H0cKh^7skYlV})8h$1b;Ga$^%dT@Hn^Wlo0+C!xaT z6-6an8WMq-iU`UZDx+*wJkAfknMhA2zU$@;7A2BCdM%*VaLCnD^FH?wF=3 z8w6Kbs7BuUWA4n}1PePPY|1Q;D^@S3ni=J8ua&bQY@wq;-5o!k>BucE-wryTrSPVc%#DvdWZleqShAX42ep27N#ey1s`D zd|acP1gP^M8YmqhoRdz%TT6Cm=jjKT@OIF^4&PNuvrC@Ny?3G@LNh9_5UP<(E+VhK;i2ANlP> zop^+_U3T0R(-(c&W!+JeXv>IcQ}eKD>hjl=rI8ktbZsPZXpNU)e&a8Yd@!8amz0&v zt4^32oHwMVp;hq4_6Jb%gSUZ9?mu_h+#!yP97V(~j+pJce=78&bPR!uZytIM2{xKV z7!&|(MoW*WS(?*n;v%um-pDSZJY6dk|J^_R>L5t&LfwXn?o)O>=0BdZJB%7YIRm8~ z7mqZbR8}rr1?Qq6w&ctbug9qb5Eq=4r?$!(_%VHw{X}1*c`m1xIn6$nGYYwNcJ@^^ zbFneP3_%&*WApXPjE7WQ;&Z%}p*-W4Y-6W*{@ocEBQ0OQaA!5NuANm5Y@a|#qtA%jUp-uSpn=mF<}Lx0`Xhp z>Kke@cDZSxNU}rq!W#`XBXD;gg(*r;khuCnbqHvAk`Wh{sG3n)m!d6RdG+*FLp)gwkS+$QdpuV1>2ygR_9 z`?EB)t^AbQM%GbmJ-zYPI?5$<<55Cp^pE-j;S@(ZnJt$|zi}Wh9m-rAj3C(eruuJP zg%o}f1HH)qM@rmz{M{()R3w~?Ns_YH`{g>riR8Cd51CwXxAB-=Z*68^@ryWT7F2|F zy?yPHH0VqJRcd3{`(+$`#H``>r6#NXmbkmHir5J$hh2y6j8)%ViX?6a%M7s-L&2{= zC)Sg;KT>?6E_0O4dQ7^x)RODK^Y4r4L{WLPF8JfNOcbwS4s0V^$64Pgm3$-cRC23? z8jq$=nKy>tQhBs!`Tn~ty~9vKNMOAxwBg^P`XZq+sC!_9}cA8<6Y9STA|cex{qX;qq!8B}yE41sNmiy^VV@75P+HXbK3r5{de&X7c(y5KwlBfoD;KFqAu36JKa zoG9@bO0nhV-i=4fefE8)b}oj!u}~Gh zW7xTc_B$7;5qQsek&rvfj|&yDEK9(y!C22ZS;ASQxW| z`o@Nkc9vt)7FCXex{l;FC;IP4=QN|PUk#}yZvzS(YG;c%uF7jg+V@p&&vu&d6fR#pC%Qd%K5*AGubJrBHk z)~Bs+0*ZXfn6@N2=7kH?4;lZ=2NUnahvIFoGvr=?lyeZd`X0iFjmA5`J@suj4$r@jdB6{ zo0bC`Vl_6&H-1rht*r2WoevqipPOBosAy%yFK8FMNV3ugf@g`9$*9hoWF+E&!@Dg1 zOi^#IC<}8!3&XVTzjHjCMkiW$~wRS1PK9Q4<~OLWFpcgrK}g8Q=Z)MD<|I>j4p#&@T^x-C4rKoFgSk&@S(-G z(X zS2d30U=Rt$%_HB{1D7Ml38dq;Pmp#DyCTUyi0snZnmiqqA^`b~-zzjlqeJyn<6@nbCU5)K`~V4tQ5=@7v5 zy`a%5z9)p{vh$*k<&5^Oq4#tDwjw+c?%@w76*5*1Fqfq~LStYUjt?5ObvCXzBk9tJ zDK$L&b1!t9@C5NEH9tx^S;Rw|sL+nSvPs#FtCwWi3rvi~!GMZ>{;f!SCf#%Dd!I5G zKxc&~;TGPHrTWw6$!(0RDJr#fu7=V<^7&WkYlc5eUk`1#x9`5-Vo*tI4=2t!Nxr|; zh}#XN%IvZ{y3<)#fR^oFGrutN!0?p$rcVp*k{@ylh0XSGk7=_^gdCsSo7J5SY3Tp_ zWjPJKkRy%5v|> zXB#0}cjn7>`m`Q+Ti}q|-?G5Kvm0aA^wE=^sENg4C0~V!u*#4vWHf1a?AhHu-&c~W zN?5;uq(Z87bp_#IK-o6lo(e9%%dBkT-McZ|`{-o4tp+zG>CkgrL>3!s=COXC?A2Yp z9Pyl8oc$N3-I-Uvxyt=U?`w5yi`(caPa%fMW#o^twTXT8BH~Nw!|%@vly_Ka$|&2? znrqWm`~@Z~*-df8W^qfWF>YrVZdb`S{{9n$@9Uw};M!WlzFXde7LVTi&5$pPke?xJ zUN~S|8`GW*7Cd(Tv6kQ0(UGYa6;wZ{5h&!v_;|Yt2(CBpLEG?5{uL8}Jd~5Z9ra}F zuGrp2%ZxOrD|*V*De!l4!{_Rh>DAD$s6o#^ewXKE+Wv_w&e4~%HkR?CbK_jH!^E<5NJt9E)A(#M@lUu9w+Xvpl)uP|L{AMV zgIm4oVufX}`-WI15yGP?vcx)T_AVyU^M!+4Mp>HZ4e8knFshYi-m0WE>uxf_M7J z{8|OgtO!J0scE`rbQvr_ny%V-;cEOer!G3p+W&SNLTm!Uagcc$vXvATZhW+VX|&b? zE^V8zX=0gXZ_%a_T9h~dx!dDf1SVO8cd)km8j2}fn*5#=0uS0hAc_z%i9EY_rkQkV z`QR;`l?2 z?{t>k>)x@uiALoTpr_g?%}?6UV+Eh8DP*?-VRMWr{UqRZ3(5h!xIt+-8l$6hLemk4300sdviE8JxRJv&% zp6NW(@qKt!1Cy-*2|5g4*f1WrOoE?Un9iV-QV&+frGgGZ1Aq^ezdVug!(!11JtGb@y~S-OWOlgy@T z(VJAVvlUby>wDNa@A1L^OthE3C=cyaBCn2}PvMn)@M*1R9ImZs$x)EIcz=_kO1Im_ zCf488xeMG6H--Iy_p!S)SgIlvXUm2^v0IxytaQ^%+`nb8+w5t_#-1?uHYYgng_ysP zz=EcbjjPM=eOriTRaoB{?2Oy$}$rt;93+vhyTQ-|+t zZFZobJp(MhkzwrHyV)m~CPSP}{9?NPQOD?$1gPzD$ML3c?fymEtH*nTEpC!UN-*o3 z36DV6G5SU0E$F-GKHlAzn6O%8reDq6D^gx$njH5%LPO^^pNmGY zD?bT(87Y}xdmnl$U@I2tVU+N2*l&GbVz+1Ctaz0^aBmYoqm{AXAl84(PaAqUrxhAY zOQzV=K7nEGxprnF=3sgDY}sg|AlT>gV~%&#naF8XjkH34%lJAsz{~7Gv#Y>atZl@y z9$MH&TnFua$gD^S3Zmm=Wu356+sv<^QOVeykt776YxM%tHyd?)lNRt=XN76gqNRV> z#gI?X-BD|Uy%`Tyx#gWWO%bP;uY z;8K}+_9S00&O_tivId9ToW1thwKW>DUjR5k|seYC3*Du=V{_gCjJ1?YVS_b=^n~+C$i58FKT-HHV z?^@Jve@E%oX}zl?8}d!a+SCV#irt^!xR5TGX<=WJ8P^;h)U*tV0^^#*K-v2tVjQ*w!c z7?3(C-6kD%qoSEC9c7`anLKYomJ5H(UP{5=+_r+1u(6)^89M&?Rl-*(-KH~JQ>HOa zY7@^_e;vDE6SJAtAD|z|_ZiHJF*K|1+_MIIh;ngF9G4fF+?D|~V}yFXzL^2~!I*#+ zM`qP-l~eG=84=~&Cm}b)m%OES-1^i*gj12=p)f}?wM`OTCb38d_msWP(+Mki;nLp>jaa3}sV8qcY55s=Q6$$caX13r9Y{5oUNVZoUpE0?) zTbcs|bPy z#y!u6r*VAq5_tTw$5~?PtHQfzOnFr@Zt8>mHM`Vw&KNV`XY5^3bAKLZe~Ei{Nkmi7$vRK5b4TB;Gx@r^Mu>+3);D- z>1Jop>+eA$bwuY5pvp^iD2NzRVfplF3)>4Sr-ICXXT#Hc@pCtQW=7M?kmJc8!bE=Z zy)E#$O_kfzdSZEKG{0QbfVqJS5;}I17uE_YksUBn#x1{WS=gPb9^HqU3}Tz(hms)- zSe#nKqY(EqYkfCw9C?X;@cNc*TRkHG1WH3aKE}<~zEHpvo_Iuj3>1}2Ih}bp{z~Jy zMrcfybcJ|p5ZmV0(H3Le>zQolxG#82`#MNX#Z1L=n|JpygqYQ2aRApVgX*&HVd6aC z5V=*&zZ)w4she2U3uc@34*SSGTXv~0LV6|aT)?v-f4{+25f(etb~YYqcyiz+<{K*~ zzf|!^gjnIWWM+?YFQ?rgTy3vf9k8y|mkLXs)`e_8t!?C4ulnAVLpeVe^^u;fs2(hu zJ@!KT5yybUqN~7_E|m)6BR=u6{b}EpKm7HQl(@6!=0~22$KRo2PjTYFa4y@9ijWt! z7{0t-4t!MrnQdJq4|l11n7HX+-9;sy0qk8cmu< zX4lIltpfUge;s$Pn~2=f*n)wgMo)WxXN?1>y^Qhq+mzZbGis#wh2m%m@MkZnnp*Ai z=@!tFSY~=7tn(!6@UGxk=j{ujAV5l4?5wD{q>ZF?BwVijEtVnOkJWK$&{R1~j&9rE z(VI}B{0r>gQF|CQ!pS68tA}|d6|Pr(-Kl;p8h9FG9a%SVB$ueB>FrT?b4}>MyT-BD z^>&P&sRUgs2FVJ4RS_c*+;`jO?SN|Yx<$d`_XdVLd56lXiKlEAMs&{dtsS_nzx!w& zbM7&|9kY8yRk%kLw7*g0!f+6NT2P}+DQzyn$dIy`wP>%A1^3w3 zb8_0%WZ4nZsr7wm<5mS}WhC|me@}#**2^4vK{fAZuZt6l8doEG@sI8H9`4c_%#gUj z_4)hgS=dRnA+fY#G!uZ7JUS~DndG6Gr;3hc$4;zv+mXN5G(yp(%%Xd}i?x_-un0op zYcw%s`9-~U51M^j<*5+M%z$uf8EM;LyhH=lFOCv)cgi57msV^oyiy=HC19=*T$sg`tA@rzC zJ~n9^9ClT0p>2c!sW&i?JPDJ$x%?F!SGd!_7_>z@y~Dh@JhaKmcRi!&j^Z^;(b62i zI2yiSj3yiEsc-26z9!aBI*+4&-9hwN($wRYf={Unz#K%__E2n}P2x$XiSHPL%WotA zBj3C+AU{0?RAe_8fJ|Y!+fuCWg&YBk5b$K#`DawRV)WmNhw!%yyDn8)OOs5jEE#{* z6gk+NmaE!E+mq##GzfQ`0s(ua-0dwH;nw%9OdoXSBX_l0Yqme{T@-G8-ivf)_I|Ci zQr3M@Fbz|%*JnDymLuLPXry`ItW4~|NV1N=<^?Cif?NeRkTONpO>=qRw*0pl^ByIS zFy~yV^P#8>o__QFOzgQXES*NxF2Zb1kigdaz=u}yR~}$}k8gK}lRr8pDy}Z0kXDP= zTAzs~JR+khU?CEC>aH+@pl*L@qkPdJvrL z9*+8-UyM4T(V@p{Oyr0E8hsr58U-^lKZC_W9ay&OCa5<;oMl%D_LHK5BBgo@pl5Cl zL>E4$3?U1r@!4fw3a6O2uyM!EZbxO*ar z&WiD6oAKD8kBUu|z2qGv&T;R-5}UqM!h3u}!W59XPf7T6e#dLr){AU(mYB=vl{|a- zjggk-Ndc5^4T0U})s_9R3u@nzD|@Y+#1pVC6ZQU;}hqYhpwi((qsNGk}d4n zv#4mMVI@ay71P)!gkkx=+n{NOHInYEl6zS_9|Nc@^{rY_aS1_B6>Lr6gF^RfNW0`O zDJs?aXlBXEEK?46{6N*y=vE|+%3^g$SeZ$HWZ(&L5nTXx}#Te+$_D+c)V$ z=5F|-`Y-xQ->{B**z8x+TsXe4IVRsTwSXB=!27)M?z=WJ5vt*-Pq`^~s^NrjMBb`| zcb&cR{#*$ptr=zk)Na8)BlW&=+IEB+>>~6p@~*^s{nnjQSSy=F8ZPKKZm?;#{u;}^ zr77>DsTkRMK6Bcp1~ufk)f|_`rmk4c4}18HQL&#v_gO!(MeEbPFsaWAx?w=dL)ryK zK!HB>k>!K*Pk6c^FDu$|Uqs$nMxzJeh`r&iMs2)%hg&5P(OJ+aQrCCx|;pLvzl0SW+5zfVY;+Db(SBgGU#2C-ac}kHv){$bJ zE_6;G7$#CdMTlmLhSSmX347bdy2xT;I3~hN`#YVPVfB?{%Kp~&U(|RQ{8|c zb^p6%Onv2orPMNGZ3(x$p-u49dXqKX&`ve%CAIZ)aWA+P%Xp-l9qpL`J!@Ic)$)4$ z-6IZ;(}Wo*>HhVdnlPaam%=Pxz`Ts5Ilf^ZFh6Z{#xkm=cl*8iZC6M^9MN5WtN*=b zL*4nxPG9MXJPqP;tsp1z&Y$jpa15pi{iA2tb{vqd);2Qg!cpa`jCW56wp_E}z5&%m3ucuGy$T6FKT#j=7>pk|X!@3BFRtu7 z$u}E$xyLbkbS|61lhF4tDkgj48=4Wjs>VVN^0y(lDmL{LNrZ=3&tGo-$vT8TG^W~v zZkM{^T2HGQ>hj+qv!j!-=r^LFY&#QUeb(t1HLhL@#)eCK#4v8S#Sgp2om}h(;GXTi zh-+lX-M;1xRo3gl*vhS>M+*a()bhiZ1Q#nWox70QUR&-b;<~cC!Z9T}=B<6Yu;pQq zZ;p3At}lhMTf|%4{?(M$ex&(05BuP*)6{f#a(%nE&|2&!yZ02$DLYG?=QWm4BdjMs zGF&5^W+VVP2FytQvE>1~uz*#SxbXAAkuf9Vc}{ar377I|AYt}4+5|5u`)YoOgF&%l zN;m#-!uX6leWsO$vJlMyO@H9=q?iNMtd!CBst5YnAD=9}8K^8N>!i!1Q%CC)tDVa} z>UF}`FBFTbsngiO)?+KH#)F_-OL04a_!*|TCR__(%bm|z8R}5HB|85nRN6(fwaC>a z`Dk^q_51LAbm;fKS}TDg6gq}**%q1I@V|vhUV==Rz(^d;of;c(w-lxcorI}K)t6K^ zCm&c-_R=xJE^nI78fn6@0TM5}PaM;t?RIB8Qjf+Ta^XIe6`S8S z6dzNbb?5uKNe#bcpZEtcXgG9Vb`CV8o-mIwZ)I+?M%r^+bDqTc#?mskjr?lKkEIoj zVaaK6!w^4;keYUm5usYx?13IXsLkaK)jL)iSJHi8Prwd1awB^J-xQa*a$l#{KYXPy zlOhu!Lj;^AOW*hwZb>pr z?wRB!$1i?!v4Mc!#{T*_bdx*ocSt0pHSID^H6Hu&)i%NP>-TI+PYtcUpL~3O1Y%q- zA&Q)`V%~CM*m~hc9`bZnEpCIf6mntoM7t*#%iW0uTP3w`sU4@KX=n?W6{Yq zhAL2+0S5dp|0Sapus3^5JZfjKeWnYr4f;ZpSw^0$yYnl`?^D=F(nrYY~XW4MG70 z;0HGkn%;)0A0zZ|W`81UJ5Q+U_rPW5jtbRR71}IwHE3Qckwwuha-rX%;6*B{uOCh$ zoo{z8cSBMO;}N?NJt~Tu$pf#MyicQtWGL-fLht&R(Y)UO@L@H<0XrLZWzuxo{R(Vh zG)aFmw~e-#-7A=7K%cUm#k{@pb&(R5_q_5U<;p91G|xF2ho@WjL1y#k!?~a7$YlXM{Bk?4c))awK=Kc>t;})rYQol?pu=k$-wZK7o`o2qG-CyyqPyms4rWy`I z+h-C;9PRNO{UNj!5if0#eiV78f$-V!r?(t zlL`=TE{*yt*H#5+j9z`8K$k+}tfLA4p3t(TU6cHAxGVS3TrKu20Nli}{LTO5{`sN- z@7xzivGX&{MWR(XtiWR zT%s*w4p#M7H|?>r!bDKCqi{b+oJle^CuDPpwRiMGuXmTr$xu>=YgXFlg{`xW)?5t=G&N2T zw;s*Xf)XKuEDEWjI6c~jlV^Bf5ij| zW1O7Q?GtiBoKWPt+AIalLPh(8E7v2~b1<@-_H0%0UBoKcv**;+u5FeOkvw>`{KcA9 zTrV*isOGWP_JmH7?JSVyxapN5$g9s;x1p|DCJW!$opqHrmuG@Q*)rEBJe8+Cf8Q%* zX!hFW&zU^4!mVQfaVQ@cqjx<({)nXt$O;&f3=x?-=R}o0~jZhTbgkAUD@}ihNugQh9hxS zd7KIZoXIhvA4jB$?gZEdLJ21*tivTt%x~Z|Ql5Tej8Q49?{o~JVyb$dVGeu0b|e_u z#U=js`Lt#prn>keMDbT_#0$5Yk-eLRB#Mk)+n>cFixA`F*J;Lxbhq(}T^!XtJm^?` z(u<0-k+l94WrzzvH zsP{(iCFai$UZX9(>EH&WL}8*hIj%_-Fah4Rp|VPKdWrY*Z{~|sLsFT~n)GZj(#X*9 z=3LOcpg*#oaK+Q@yQFTVdtoF{Q`Y}#(T2NIhWi^%$t8K z9BO54{GC~^QN~8%FQuVkV)}?g5${* z&R&0IC>!Lv4&F^V*3rguee#N-m&1G9gycu}qhqih9im&bE^^`9e5W^7s?WM^{l~Kb zI0SdI6YHo}wjYZHmnUld@7T5$C1qkkLe~nk%~})0``Iq_J0I6h0|ruy)#KPaewJE$`rg0o@T(4}lRw?y=#5eqCPZt$H?(cfK=5%h!8EMVw%PCm!X<(rcMrbiJesyZ zVb^BX*v*IG#EZ{z9CK(7-@2y}-$G_#)rLRi`#Sm3R znVetmQvJ@+bdo(x$Fd1@es#)dQ&-H(4VG6!#Aj#3)|N@%jY3pHngu3rI+F6}_vUp;77_ z<{_8=zC^b;sVlVIC~3@)wBmnrfNS)SU9ENN5Z@2NaTd60z)_GWX2=`ZftEgsiDYQq z12eTo^m*c4orUc+^LF2qyy0o)FhS8m|69$4R?#Lra$a|bvQ?UNfd+BG8?;cX2UVXZ z3t~9U>(nBM=_Y2jN(hhnD7KF76MrRN4!`fo5=R_-9qcv`=KZs>yw;7~xeV+$TP!KC z$DeBMF6<_*d@wMz9HlBtF659DTi^_Be_+> z-e&8@)e&n(oH_mXqz9b+URi>ne)C>a?Ldl(01Lmxz0sHo_Ze8z7+9(OrSDZx+~bDa zhSF)?Jp5^4wf@7o;Q8(C43o{A$4esfI4cE4obBy@r{_H&nBbY(}x+!^_I`;K?<LUFw#2(TRr`jJ%7EO%hq%Z3(IA)5&|UgIopoOUAKy~x z@TY-#K4hFk`vzbbVwFw&icMYr! zSdY+Xxu)F@aAS%Q(TX8)YcBy=!ksW=JyCG;n+KssUniyTWEYgHJFf(gnR*zo4i>N` zyn$v%HE3S))2#~L`he!_Ue27yomHJxHdG{&Oxz5b1(*eFV7vH)BVM}r>K2z=5JX(0 z*`+J_@#K=1Kdl!5=Xx0v1>+PpO{BH#ZV!KVthfNZsz+(wS3Vl3P(!CAi7us)q90 zH?MjZB5Sijy1lsRn}Grr_pi3mdh1IFLlC9a>r+?|xcq#ROn{xaiWU`-^`E+Pdkd)E_DB2KYX7P|MRnUxO{1%HP&@oM8&qB2EQ^tW^nqh< ziUh2{yvth1_(RWPtC(qQZL3R$=$?Mf8`Q3nm)Z(XIZQF9lrFjqbXWx6v3A-nm_M_!^>4I%J z+vo~+k;W1vH+Z%DRTdYNw+`YbJVM`QNeFd$hN0gmIVu`QH+z<2KcwwUGU;ga5d)uJSdY1h1{V10m&#P#;Gs3rOM8DnNLBqWyS(~+BkfU<@PG+SmE?$X7wpfaI2UJr z&dBk^rf+(|n%^@TaI+UMhekzaCa%fub~WP`Y-T2mqfhJ`6y*o+rtIJB!wr@to|EL< zRdM`L-Aos@c(LDmKelaOqhsGc5NddbyI_pteOpE%1^p(XDfh0qO;4DAAWR=M+C-nL zGOnMAY4bi`ofG+>FLDImxMjB{8wis{hE{dw%PuwE!DX-W$KKj)Yl34>EoH|J8=`j} zh74V67~b}fBPC?Id&AGo1fKIBi>6;^agZz=-(=Oh>v+k#5Wa(_>@K=q{{AAq^WBBo zBhM->UZ1Ld@}&Fi3~x*7_?7INM=s3hCOuKPam4iq=Uh*xlJ)n}q&HdL(&#FLa+O_L z3srlPt<>AXo`6vhRGjmUS!>?P-J*fA=KsA$C}ZAx$zUSh9|^7-dv9g6l550V`!aJu zF;aKPWrt?2H)=dOjF8XG`&+9H^)mAPO1Kt`OgS=;uApkS5k8fgl}Ug&JADF%mZ&#(w(o11D9#(akjr|3fz$ z@qIF-_FGI$sqwsk7Yhbg3X8mO*zEYqRvOUK*b0Y|-d=I2M{^pjd?|_Nz_t5R@B_P+ z1*DH~4UGF~cBN>i_&BWELTaOPW-0RPQ$KN;APxO5Wdoi!gB%GVUHwhwK2|T#p7zZ; z{2X-*x z@m=+{iR5ZijFpQQ^s(wp#@{m!%mJJ@Mc8wfwdHAO;ma7&Vy5|p8r9Z{NOl+VzN`rJ z>8jXPVk;qdg zUjPkD9opX=e^_ye)7Z3syp=y8ccY$RZ9yF|Pyhsy4kSP#=nNJZh&9rI581Z@vMmO?rdH4gBssd6YC*_3jK@f| zPyu@H9Z+)&{KdT<6LGTvTDQQei<%xw!9eG16$a~ul(L{wpSz;;KKCDEH=MO!L5Rm! z9(%*Q3>XL{r8svXoR<;UZfxD`q+lx*ajP5gz8m}Tb=U>G!r6B1>Qp>wMS4YhREjav z%33oIXgvRNc)@P2#!twMf}qRMR0kef5#8SwS{=A70y$cv>|A5Lj|+lBaGQ>10I#8&{NW`y;=K z=7OsAy^%P6z#;L%-RuH&ax|!pKkp*_96{qKll`vHa=AVF@l49vA^2e=;>>c0Isbki zCiKvTlFi{D^_C}dbjh{`RnHZ&di)tnU+K`MXUkW5Z#7nTuJ|5UZ5ggxA>`J_&b0`6 zd4zmF67-IYG)O{Ru1QV$$hgqvl~U{dg)mA9J&e&p71+|F3Ak(Y%b*3RYoqZ?+&i8kl%rQx`As+X>W5jjk2Zq*8L?#U+&-)uFlL?to6c>%EZ24 zgEodAm97Cv2MQc@D#RtbxY8Pr(%8B1M2;o*1i9e@rvRv)JaLk2Nvx6$I)?eoM>`$( zP<=Mm#$7F=W9*?5Xw<4zCz7<&`~l|H6>_n{&3*Z5^W(ikrh=O-_LFNeAUAw{(x4Ms zye8;xfZoowBrzK)Aj!mi+BLhmWSk%INxT)Y@4Nt4hGm2#_d^|qub{ATD=vqxkZ|=) zq6$-k>L|wLpy&S+il;cMOwDdt4aW`EYCL1#XLldto~mde z;Ob7_n~izB*WL`U^b!8C;nlK@IeQ{Dapu%e`&8zE!$`5{jcnD0;ctf}<27$|J_x4^0w!2pr1>@ix| zsZ^`7v3rDnBx%jDDI7hg<*|}8(HmZ|;O!xJs-*#G>B!{Oy%dGQEUPboAnnz;^x>Xv zlGR3cACCTAvo;x_5~GR}ZzxBGzec>@lBszAF8Jzby!W?d441!$1mbH*BLB;ocop&t zD10uWMt;@YAoR4aMj^YQ%jr(c?V8PGRZTQg+|S4TtwRPQX#X&z5m;_j)53R1aWd72 zp!cP_4WhiSI|Jw6tX;adB+E_6{=E zi}t1)h7=TuTAgDQW@TN!-Ac{l;IbpFfbtx@4ZAJC8Qk;m5y(11$&^;T23P4rc>wt~wA zIvSm(2P1sI%YGLUYeOqG&4%@@)L5>B{AFQO!IkF!)QO1xZ*Hry6el0ZZFLk%kmH5! z#YLUQlowU%2v_e2@1IS)k{8v8K0I^DN9s$CSfqBi2ViN~nK_&UsH>NuWoAtz0ko;6 zB0Gs4aj*2fj%+A{(0biX$YIu1YY7k)jd8gzJ5~H`27)rp)Vx&UA z8|NoG7$9>JJ7^yCB&?w;U>55+W4GGsPy9#p&(5VrOQ0+_Csa{-(-eg`yEM$SxZy`t z-j$@B+n1k~oKj{YzN{u52*-Dyfeihtr^SkZHC56r%F8IsJzR9@Fh6B;>2n)wy5-KD$=Hu}umauS^;f!#&ETe} zJ7}2O^5<4+aP6Pb>GaXhWTxlVH(YzzW`nalUieH)A5Iu42@oYI#|{JZM4MvAO=a6B z3K@TOqtg%o#$B1ny-$Pk=7B`+&k<9T{hQiB1?6@a=icCv&5Gb(xZWw@Lgq=!YR~Cs zx2Z9{dBx(B{snw{A3B|HZ7>6uh^zT+ba0a1s*&{>z!J0uXprm^Jh3QY#@}qg-=by1 zR?+VXZl!}j?n10=!&2XL$i zFgczQ*-=*c5_s&2w+T_?L8sZ$60PaBb2m)0wW^Tw4zVq?$I*Rxp!48#v+5z9_^t>T z_2@1b10~UgzpGxw{xAd<=@lu7`xDMTQ!)oFhjeBcTy#Z7NCC+T_#atrJ z+{^4>a(^w;`0M9I{@uId=z*u9>#i7Yn_jHnMB&Pn+w)cbB&YYF1wL6R?P@b)4!1YE z=w1K#JU=hQofvbKG;9FOgDMsI)A0TyNPyFRTWne%1X9Ubd_3z-=hQ*5Kh5UBS)8~| z*@>0@3=Vgk8wlBF?6dCuE!#>E{l2^peaJdZV`mM zVn-(>Tj^7`hZXV&-PV)Vy22CdHZ=FFWW8IBQ&CV(^k4Qf&wz>6JS>!dqKuw*G4bnx z>?fpSGrDJ;bL74}Uzz9jcRR%<<=NZ-hJ{F*Xx(!5jmEIs*9j#=L2#nR^?M^kF)5g?cSDEd*F zMD(OjDSb^CpI-5s+WmE3%>2pTZzYXpJw+6EjTi<(MF!xWG(Ae=AXL=rw zTQGBI=X~_JoSny2z$OL8=a*G)ig;sX=mmPa(sHmU4ym;{jEL;l_OsMGw3!;&#ck;m z-kYYCe~NOKEF1D>)#H>V>3nj~_E9 zkdJ5Hkes^u@He%PewlIUJ0*Vx!c&0W(8=fL*2QD7ib205V#PLtmB8l&MQGW}KbV^i z-eW|>u6Xz}%`~NmZ$>BX)8sE=rDsyXaU>0#sLlXJV?vB^*gJd{b-mh5vjRW=^^|WP z-$EugSNI?$5>;u|RMoBrbo7rn87B3_0kOr%X*ob)r6Bll@8w|wYpvp0dgQ>x8DHc? zVDie|O*j}oVQ1DqDjNfg|7k$KPe(_!7_z#>^Y_-Xo-hR5M$~X=n0(xCRc}jR?+%OW z&ce=y6ty1*SFC-XY&zs1KibA2?KRQ9`ZNOdO3AZ zv)@LQUBI6HI^JmKRi8!uKGYHWDT`_ykfOq|z5Z);xNXDIC73fxa>1!|?g6Y=!( z)rRY2eI9qAHFP<~SM|`!wlZpm!A|SAH0b+p_@ti=yL)@*=apjLV7G!Da~0mL9MZN6 z(fd=L|} zGB=Yp@Aq}oc3&N#4JXmxotYk6O@A({Q{vMupxiCvs{D_4f-poJBZ<=K>~~!%vUN4+ z@B#oR_o20I_kU) zgx4TY?TIn)`6=&;*Z96A(qSP;E)COnyd}*-bS}%x;rViZ3R1f()ebb%&U;&i!pD8 z|AGIs(3{Mo+F{{WTim4Xfi%9kf2nRX+(FIjt(j{+H!d;oR>NP}+t%qP!QL2`v>-Y* zdCb6AuMLW|cd=-3^^SY2^cV2!O*@M{V|rX675eE~wP75o*xMTa^(I-CqqWJ=;%u$fruEM=aP^IN%^SnIerq?kl!JaHy-&Iz)Oksp zE&h)5rzOf@$6fz^MK6~IP5xD;UaG_TVJ+6&UV0g+y<Y`Xj}mQqL>b~y~ks@3QJe#(|N|!hFy;y$3OPtOkNfGO8)KenY3?I zNHTRP#G<5dMeZSKAoecU!_5Mo!EVr~0>1qjUP&3#4ZOSH&S4oO-ry}G*=gZa!=l3qhD>J|MU9?U6yW|H>JR`*xUzSlIPlM@+t0W zY_Tbpp$PXCSp3t zVF`g2$})T`jz)A=|CQS)vLa!Y3k47h5&bVurRKL~sP+lS%}(ksH~^KX38v~*eB?I} zlZi0Us-9VbdFNXjFW-(V8+p0)yJjr5F6qbKPsr2x0El?RH%kY)IUz#>QVgWJpS;c5 zcU||>gXv>@`OGrOCkUmIX7)99Ns2mTOaIlsigk>*UB#K?Ua6q-7#csb)>yE?*_f24 z9pQT;suIXK%6shDZr>+`YTi$vAvwt77E2;Q(%Oe6btUNqL>Xb0E)N+2IMQzr8csCa zs_`K%O9lminEZhMg!-yfo+eoimY>dxUbNyG~&F)=0xiY$~A+0$4u zeuMW{H8yT?t`G;dMZ>7qO^`*j>#IF@5{1fkK*P9**cJOG>IxIq#+Gj08@n%?Wj(wj zCt>u+*5{=RYd}T=AQ$*~?5Gd;9`&{lc&}wm3M;m^9NO|$dadQ%XmC$mv3a_2+mRs1 z6_VuVTczg@8eeaGvKN)}%f#qugtM?w3V9Btc%2?Yu)IvS<@L}&RP@NAbs}@_c6}h< zSdmLcNL7Ej`a}tefcwszKVnpv8{2V-=>I~@=m&49r{Vg?f?(k5LoK-hy#?r2mbbIA}uN0n3hZYJp`EW)3w5$%xxE21x}f zi#{83;| zM95rK@5i6sUw-OCiamO&ojwJ`GA!TV<-`fFg}g?2Bw-AHK&?Ro^VnqYzUG9%9f$=e z@7>HQH1ih(dS{{G#Kg47VfV4_kSon6Q3R`aQ;HUuliVxK%da4$j9A02vftC|t&J1N zKfV%7GiT+(4=?^J9B#d9F}!dok%G|2Uv2TpNMYlF=wWDZY=<|$dmOnA1qER3MjvX8 zU7rIQ=I8|dMj-)`Ft5T!F-(4Id4);AP+R1?E6RpSH*fmO8TIXz|$7&4JkSX}Rm* zYanEYz@6dBE1vI6*Cc-PLOk?QAKgJ`V(4ssz-4>== z)vM1INfRn0Cgz=6(d*7y)6yV2OW(agDDv2%gKUrx#)&T+3o%YpZx}n^-}p|xx&oE1 z;#pq{)|fc7-pWX}I7Pk%66T}z8CR`Lr2B!N*K#CUylN21p4zz_LO3^UGu_dD#IA&1 zOpMhbCnkHIAYV)Jv=>3v$UMOyo9a_JUO&*D#8Vf!-_ZrX1*Jz$&8MzrqV!ga-&cR{ zc^of}c#D;dIR+mhKVNUh91D|(bXe4A00hOXD9ic&%1Q4Ku2(M-_WpIc^wimRapGy`hC!mBcnc@^ z3J-%riYX~r2OaJPDLtc1VMix?I?C>*DvIOc#kESV!mp?ItU=z>C;b>tybeGoGjsdG zH6^;Yvmy#8MzALa1Sen*Fq6dCs{&+5p8Uz31gLhdz*#MQ_ zl4Yf~4Pq?5$70C#zfSt#Kb(JF`)ljC%U=Ob)y=mPR`Xmy&qww7UeKQ&pEzTo4GqVbx)O}3-Fu~jB~zXg+j_9YFfzMQ}-CHsLWlDmTz@G+ue5$A~P}C(|3iH zHqJXp@0y+8Lc_g7R!P%RH$$P6B?h8X6&Ww~*_r!R_-`G*vgEZz-*X_Xr~l#MM>)m> z_d?6BnvXQ#c67c0DDk^v{?WfcO8$?R)>VViY zgw&LGRP1PN)yw%^H;i-f56Z9u%mP$xeXZqV(GX%Woqi|aF@9`!VOB?l-WP4$J~qP_KSUn zI8zgB;eRZmOtQQ8br`d8T*00Swp9MJowIT;sX3y@uwM*+a)smvI6zCaXpgR5Nl$D3 zuyJxGk@L2TfK~&KqJyv^`faSTbJzCXL;^`4jRuDX;gsW}Qf&DC8;ZdT+oqDQZ5~0n z)VKK*j+hX$kgCsw1$0`Fv=b1Ho|Gsf+H|1A72O6k)^6bL;RTi1sd#V2odc2oBn0>{ zhVk9uZQB;Tv{I6F({k8H!yLWJaw5~f)P9@koP2xqdG!ZtI5EG7k?4r=6h*)#@;)O z_c4D!SjpQWaoO#_Ya?5-u^mC%8EZtfOh4NRhIhO|nh^Kidc}Lao}Zn!R!Lz$1ijmD zd+ACCox@Cd+YZF*kyk{TmUOs;AxgjQhHs|#VI#`YKWA7)vH>5qTTiiyj13ZStHqpA z`w-Gy*WqbIL2Y4uE!jXCR$2r3Qn%=`z}kjf!vCY~H2ICpEch)M^q%+eqAK3#g>nBT zqN1(QU&m{>6fy30@Rd!$fOC_aMlE>ov~yC^rYZ8NAL(e2g#O;7Kk@Psb4Pn0Nb7Ke zU(1h{pE(HBCc43GV<(-rhw!Ngp*fdSJ^GCbq)o`(#LXOsiU8d&W|0k}-(V8jHW|K+ z_rk)R=00}#U$e~2R#SGh?d_tvDgzSsuQ-s({bYIk7zRNPbzHmN?5VbWD=$(Zn2aHTh;~L8X znp_88FwaMW*C^iysseuVucXEv3oE*_ke|IYXyOupC+jXKkp>Uaf1B!?cl-(V!-qEC-S>YuvJ~O=;cy zJd+P_v&CTj;E0mN-$$!@C0SN4lNa!hyqA&y<;Fl7!N{PVIoedX6>MiD4X7Hz_C5dH z71k5x@z4I_pVj=6KS~8BWpO7b0F<$&-m}KZyZ5xxeXWLfg(l_-F8tU!3=rI<=~zX+ zUWY8m>nN*M&kKs9kZqINAhiSviw%ZKXt{YGNjtQLgXQiXx=4QSMCMDp&rtn{k2$QomZ2MVsb zVxKBt5#}0pOyR4zo{Z=>9vK%0%Lw@PK7LUy|NdJu1nHu>$<3lhUMy}}nDm};$)vd~ zXnQxGg2%J?OI4WJz78=cbg${Ji_OH#y(4Rvrj%<8 z83!Go+x)?F&P>R{wbp-IK4SD6>V2Z>vBvRA6lv&dGb(p5zuDW+`_$G#X#K=oodrPg z3G|b?UL9jZB6g#rmHb@xYdEE!mC@Rx^${K|7MPZ~ATzP}ynP)cYl6jtV}xhUt?&Mo zwJ+#o25?=|XrR@Aq&R$S^~ zJm`<#-L%|qReT!|P-pQZy;0a@7MfvB%8HxpsuOkvTyYiNPBkCNs$YDU2n27G?)oV1 zfLWHUHooR>Bn`?)%=%>>xcI3NR27FT7+xFxkUu{SxSzZGJqKl8UHF`uWyRDxy#M>y z`qZ)K==&_n$@R(3>Nmg7OdV?G;K1s)6arj8|+kNhjG|{C4mn(wKff;XsEFku z9vbV;pf>XFn-=k(Pv!;m+US3*ihN|XeldLirb=l5FK^(T6vOe1`mz}MPu>XV4Sh@9 za`6^c=nVXdNstM&?HAZPnMu0nW)qhQ6Jro(7<%)pZ`0;I+0*t{-JQ<+eA{*mm3d@a zgyu>HoEt)FsBd(O(@|iH2!}w@)3)EMZIEKoH<3#7B)aRv?hAHWCB9d#?IyvuqX;<1 zin0K$Vr&gBFpbCR+s3UlUK96AHj1+ANy&5;>-9a=nRtdGT(@s2uFCAvtloyVtty}z zo!&nZKY66UbF3+waiQ-Ue#kvE#LqNSyKf+sxp8(GoZ`?WH{X#vJaywD(cEfxT7-3t z5EE1A)#(kL1N+wTs{)!XyuYBVqpWFQv-90O4*3YVJ{9vf)wk)wYu?0T91tzNOM~ao z`m(hxW*}e?0iQh$uijz3f%OFahwhG*4TfIzdx7UQF`cH?SDs|Hv0dF4IRihR%9xL( zx<3%#_`k()Z_u!i(Q~prK}^HQrsgM6SDJncgkhVOOxfA0>S@*2Dib{AV4K}oXXW4x z{}y1!&WOUdX_1yG;n}I6u7s-X#8y6U6@Zd)+1ho#9NFB2{=jmxzJovXfT20B7zLmI zRqs0(7u5Q|5`<2_(0#h(O1t=~pJq$GUPih^PpNyTj2!gr-L2`?r9dvh(cs6DfV*S3 z++{Cx*nH&20Ojae>Bm9J(W})+X0_tXJ-8LjVn=1&`n(2-fS*#PmtJsxXCvl@omAY> zn-xd&&#BB-W2b|$6J0?3Wv~1s=j7;DNzPA|rNYj>&{vDH8^2Ec{NLT0IT_8T20d%t z@Wuht)u-=)f&pRuA%SD%;Y7b@^aQ#LRF+3*JwVHEGIwMgtv(3&(6}zVume_SGF|j& zt9FAklp{QK*iRaM;L#2|^>5!zw0_5Tp^L=(BqDf&${m}Csa!fsk&>n65=}JZ*efqA zrKtas^9y2Z!s{-Sa%S9{CKdOLiYA@_V-`&`d8cJ28-Iu zlT4Cxr8>ijc9CgRhC(>1AfkyqM~N!>5(!W#TTw3#+dyA8X>8VkNO&a88Ja&rpXIJ)BOt+TMwK>2F?VLdJT z-;e>@93OG=fe*v%6O*az*{%Cz<&F`QY&8Cpr;A~nCo5`Qwl&Io+A7JX@{j&3#>RCO zuicOU)O!))2|5*?pjgrU;gmy`oZH;J> zh3@89=hD;W*)H9j+LZ?*Xg>EMGSA<3pl70juh0(?GE`Usgvpz9S(M@xx+ZqoulyS~uG_0%}tHr~xPO@=Cn4SycpZCjLT%Btar?t!C&%g>Ib#AwC zhzamqeq@0knwxyI-cudX6qPW}1h29SwAc;{cS1gmb3i=gs}nl|C2ek>gDXMzbUFTC z;wWaWUE7TutkYZ}vWPMOS>=IXJEEG9kKH4$0%Xq320z1e`W@L3*<`LQo_HT6Z~B_F z4x_x*Mg|FW5*(*+*|$@)y0RHFIFApQGEb>V|nBw&`Fe% zCHQMLHqoy-D=O`;S%T1n+V9?DrsNAMum2lUvl}BYSD7ZK0%xfkXxK`|9TqO6Yd#uT z-|CCRsk2)bt)q@C_T#*)z!XM_u6T_uT4>@y&S1kvEncSC=0>ApQDW?#GTe)70O2Ki zyF$A%Wk)vfS0?{+tTZ>%JRnAt#XYQ%IAt-(&fXIss}KrR8w!C2H~&6ez6eV| zWAn)bl;>3u(?5%)Qh8^{CB!ghp1ANt_4TN)K-r^acWS(k4mADJOIpmQdVrMCwN~n^ z8z2^mFHdQgV1ATx;LQAUtpZ0cEl0$p^APj{X}CLfe%&eCaDjL!8&Bnf0rV#XFs7JZ zmA4utr#R(5^&hZ9d2^34bpRFIS=%fQyMX!buUlxGOMbJSA-kP%Z5HaDwS1e01VXBT z+zcMU>coU13R^@D;!)UIF4g=ZR!ROJmkMALlmq0Nw~3ul+zsvHZMFS2(9LJ|hWrR+ zQuK-qco`Dk4{ZrPX}%`~eG|w;m(V}Ky&Sx9c$VPvNsmcP_DX;Fg7Fk{13na){HVw^ z)x}|mdu9b;wpb_`!~^AQJ(aruwE41R?OZ!H^1oGXwVt$YRL8jaF{&TlVrAY8uJ~a^ z{mJyGQj%^ad~h0(>t^dpH&@;;wkb4Dju_y}v$vmHx=FN7I8&6kdiues5;wmEY<98s zGi0Jfo-SFEs4GE#PwbPW%Ympuye_qpq`QEuj`nOmT{2XraMdCL>w?rx*Yu&28gMV( z0TfzDweOtEFTdw-uOp;yXD*^(sixq1= zYwV*dxLN;hySk36y#g3*Xi4y_(eJtMu*Q-QnV#?)vq1)k!p80TI}jw!IZ|%uw(8^{ z-4N+zC~x|LWOi?o;|HQh_b^O7jYl%VSpscn{b%Gus9;BWECHedDFXgTnouYI%R}4% zm+Zr9=EK0t*3Dxj^eW==u^wjQq(&|^vh_v{)`fMIz|!FHL7VDQG@m<=WLV6EvKu4g zrXoX_DJkQ~yN_TMeneAy+x8qGE0AKTi!ZZ2?I}jgslpxpJKLwi{o-v|%Vw9x>mOg! zWN!6Z8MdW9;vA_N*%G0L3|M@d6iy-+5Ft`@R>%TGw%P1l1T&eT62X=PXpk*l*G5SK zbR&e57-w>k9W2y@W3wWE3)*EF+e8(8RV!=|34JctZb+rfc2$EWB<0{xS%4zFpeDe{ z4FvxeN576+Fn@Fb!*6Z;!i8L?HAf#=)gN5;{oOP@pZ$4!96J(@F}qwC^%oXdm{D)G zkdzl+)dF=6aVX65wMa|6BOcrx^{nU|4}hCcwJ8|Bbr@AtD~bFqv^aqC{}V)co>9DP z@o7`+a{}OZZqzC@ccec}-f2_4gu2Y&TkyQT1Jjbp2L9JIi z{Ba~khbr4Im-Y0R(?QO^ejG=7$EoOB?R#=(D~mu#_g4rB7x2m<`G+f4yf1X>Zp0TI z$LkjzUu-Gg3ik7eORofRzVbo=z?ry{^#qQY{c?RU?hLa6C#1cG!%i)i`tf zQs=FJiSrV>Elr!1WP^v>H9-2miux>((l15~+4?+Q3$`INovbxuP=!;9MV!_vUlI`3 zHoY;p8=SmBQT-F?_Xa=5%BQGAD)pLDhXCPgjJgjM9bu>E109={3=3EKbEAsz1pM@j zUkfoTp`zpiOly+F3YK;KfoqAZ?8C_(Ul$O;SpZizVTDdp-*T7$V}ozZB+J0il&=AQ zLDI_TdE}<~yI4nNQtSvQuioQ1^-FmiRR}>SOfGmDz3)~LhwU4RNU>->l_@M|?=Xwr zjEU^k`@F)!V!f(5-@BO)gcEjfloFN)QW<_Ix`;x1#2xgzKSZOFb_8gXE=q%_Yx}l^ zqskobFPgI&qjlj{Hex_@0O>Y;&nf&EOnD7PnaLM3-!vy$FpA#%7^N_H;A!ijH>snM zacsi!PTA1Nrs&QXVD8#-74nl8$B6Tq?OEAEQ^Y$j6ui@mt&-gd_!2<*qS^kF;sSf; z-t(#R?sEemY7anG_;L0PDntR($;iJm3vu|^GTxUZOIj0c3X>OVIz1iJ-#9Pi-Chfh z_lWnnWK<8zOKRIu1XX-)*HQ+yvMD2>ZP*WF;mGybIDf0QD_pDu<-*4yi ztsJ#R*Csl3Rmas*LOxI+v_f?Mf$fs)LP+LySHFiUbc8VFMM1UBp7HvvvSZBnPMZk; z?xeSJ8uX#9-DN8MdS3C++BvjA^?gMdZhB{1(uF7dYRC!3pkjF#ztY5meFFERU<33Z z*AQ5D=F}1LB~DGoIhUhWV*&y7hJ6V;DcUso(k57ngY0DBc&hLhY3g5+(erp|ms6 zjJm?@6`N$s6cLzl^zTgMO|kz8J$r5I zTt;iNb&Wm|RmRiS#l8>B^$Z;Kjnu68HkQghnmy&6m))o8-P~;~G^V-^E}f;?ng{QG z%N4<0=GAEYcBqgMpm=g~2A-8MrayO8T3BdO{svrl)K}ZN`R0x{RY=ISyCdS6mx1!j z4N8BYBFE6WnkTdM9!6c+hjcdF7%@D#XR3bj&Ji@qb@86F1EUol);t~a>us*4*JsuD z%{*3bQk*D__1O7Dk`3cjf>r`;pF3ljxV8(hdTKy*f=Ijw0eL*&*@rL3(%$Za1Lv#r zRA+vj7|r<44&g0cTZ_jlq4pHc-JQLCwej~Bv7Q_dMg_$y30d$#f0+w*wke*wyE=7S z{{7+bwBLw_zCS<9bz3K>oMzI)^4f<3tP}2xDm*>-Wi!XE^-y$4c(Eus(^~wMO+wcf zaFB?fO?bPab&x4BB`lH;Bh1G+g<KC>KhCSPjvhwPc|v7etin||-) zYmd29C~iDjwTu=w`8qbO204SGgc<;tF+S}9*N3WXEk>KsW4}Vp zq#rE|>;+_J>E%~nV}NJZo=C6lw#i@p_Ed+uB|$ZmZZ_=;JXbt5;u@=zaZ~v8j7vU# zkN~jabh4Fi#-lVTySu9RD2F+(l>)ppm6Q7f=eA(Dl~+8R&+ewP0R?3;yf#`7;)BTL z8*5KvxkDyK|AJZ-e9%RiQH^Vd$uP$Ehbb7Q1E@t10yBFrxtZ8grisBEK`9Mr>^?BTYm??rzrq4KaU~% z27XE}%LKeIk!fw@>8g8Gc>WbL8lL+$ykC#&A1uZ^(|5a$X_hA2FEAy{3W(DS=LgvF zeoGDiqXKYF3Q8*uBAPr7%{czRx1qB=x~u&jDqYP;f%wOS{jZ|c>}e~dwr#ko^MbCk zoz-a3-otB)*Vq{4o3hO|*U@$1F?Ll`sZWbU?hSlxtgrO?7C~7(PCVcDjg{=Wn&i1-oG~AD z!`kZe4^Ht)`KqV)N#BbhE5G*bBbxrd_ zVDT0kw*oA94KO)h!;Spu^((6-ZAvtF(0a0tWU z16-vm5fY@_Rmev`1kRMj&XlMcfOE@;x25AK##pmM_`Z%_3rgv$+(b` z^#0<$CkUOX+?(|NNRtq+oQCU2I_CZ^B?GT>HuUEe=-O7Dp5;QcTJ7N=Ywvj6k|;uY z?U|~xsJVCYxN%7}h3VHz?_}?BNMO89C(egBTo0uU(HwP6Rqw}R^UfL^l z2}&E@zVo{+>ccUf5;vIbx_o+%th5H0oO;M=EQ?|_|oQY7tY ziCsIb*^@ihTsIHUlge&J703EzTJ`n@gay87R$8(ojJL8vIsTb1N0Q8=-D*UWEqL}B zzwUqb*%0xY&wGLBop&SWrWVzoBn4k;zQ#Y6;bK8cUkPySotTG;fO)>S#)KhHSaQay z&3GIKXbO~jIbG{GiMnXH`aZcpgA`um-uY(J?5|y?o2_b~;&!xkwX0XqqOvZac-XYs zXY1=`>*}YL{C@p}(hs=55Ct1-?_lg&sNqoUdZ23zw}l!S+!nLo?Zfw*JC@xPHh;rA za*Tev7+`N&U)}yY$L(~4`^%67bMVo{Qb8jV-#l!xOXn@y`uQ;t`KA0}l?Ua%ymT

N|3Ik$%1W%O<<9slVn7jtSuqUT9garSqmB?VfeZe+&Ju(f!}F{AoT>75Uey9+cA zA2)O^dcq7p}lER0AF-mmityhu|pWuV!3IFf|Fg+CzFYD{gnz5GRG0%nN&i-D_hPeKTYeBg{ z_Jgj;D?wlTbyOPafLz5fVaWeCj+)r>g~oTgbbJ2HT>*VQGVy>TTG&?$>l<*tF5Bv; z6Fep?4Ox>G<(nP zr>}!`l^XukMz?*0I4kplvuR1^p-17O8CpCgXs>c#rxdQRXkE3gA8hIXcJQiKE7fTy zWaG5g71nYUEoa?^gLZ5ohO8)AmVEjz1!8VjUI~J&?petR2y502OT8Q-NxrUXqqX=3 z>D{6HOew|1bffz!Xwf?1zapgU8)6kowkbeVW2H0Yc|&k(p}611$EoaYDNjm#ubOKN zi3I)}7HCfDuz#f2o;Z;-b)rg(ar4ad?>lew;3M(yCeIqrs9{0sZWC6!;>Yx$N6cW`9^Z;8zhsF6RDNP2;T}m?&GeBx+|ClkFcDm2{jB}8ySv&O^&lK>I*w~B7J zHd!0zMA-3-p~&4R>}#?WAIvVH56|#{t_;CfuH0d%pJ};XQ;=k#LskLd(bV7{RL@fp zi7!Knfi}q&C&^cdvDzePj!q<7qYA6 zf7!Mg4@QVBFdXM5?{FAAC^UD{OfcSc*`0L<8}(+K6Nct-Ah1#`<7Q6Fh>yGtr>|gF zbldgTCx!28KJX(NSNh2=0qM0XdN}EQh)CP*fc+sbQ@*`n7@^H$6y#F|zznx#vpZBU z1X>#VnaN8>pOYPKxf>Vh>c7GQuQqRC!FDFqsg!}{=)5hg)9E=O_uc!SHMz;S1Kdjv zt>E#8Nn>=5oLDoD%5%9V+~^&h4;20^L_xoYe-|ns0nmta?QHhYh!FT+pBXv+huKNd zZEi+)Nb}e{@X2}C-tjI3=UbJn*j>wmK{+mvH~lx&CPa8BU!IO!kf?dr=F!m~ zo4>`@GdL~KYgIap=vwiO8oESIeB~MQhZWm+ zjQ`U?BbWizE?T3igR$Vb~uH^QQY-Pry@|)G4oS!d57kD{eS=UCWQT->kIVu zT)1Bx;(dw)Xs24JH!^U*Tf4odQVgt*riFJJZU#f!>^Eg}&x|UGgzd*^vf8 zj58n8@N#<5j`~oa zf3B5C78G>fK-cMTPlZ`MqZBu^3D4T~HT2i6!hq#HzwXstN~on<`Bfma{T4Jn7SX8r zo?-9%8Ew?cENUfz`Vq&@rw-q6rC8n7ss8JNv*}3rMck-Zzd_u9ax=zVekJYIy5NRM zcZTJbgFE9qiV9WD|1~>vjqMKY(1j}v-I&PPIf!SUA&gJ<$C~uhVWg+OQewHStCNu~ zA!4_;bW(Ts<3>W7xSS;O>gx7xPZzvD$?(%iONq>rVWE0)tx4gfwq!e^V>Nur-bxsyrO)XUacjh6{SE?wdtq99mYIoZ^TRJq}VC-JF%xxwIa<$uYLLc`0r9^9kLM#<98-I&OZEfK8Ps8tARUj{(X{D z>kFkxUw2BOQgpS+I>2|D1YH)F6KU8F{!I4|9povdeA*Ebj(EJH?&TkTQ+zh}Fqmg` z#M3|Y*q_hU!^sj3s(K-2N52|XOjZf#t)?jGwC9@Y`l1LA-Q<(r0I+3m5SW8z9dSkm zMbSgu%=ExYsBadlj6&^7vZp8-RGYQ#HCv-gw%#8kpVuYdMYmqjgjubUSny|5KoZM) zHa%Pb%;Rjs97n*_d5qqGlplu+t1bQ!^kEAj;LIp}aF;bVtoIgb()Xc7yINtU{V6&G zlj*WP0+2K47LsxT5r_HY$dv4FvWZa=3cZ{CQamw$SsiRd`EtqG#Ef3fwJ) z0oR9{O!mesKX=ESWhNo6y=F=uC|`Hbk1Kz2?JV-VCdnDMG!tL6p11$p`h!DV_88uU ze@eRuS z3T^joCAwPpqGL2?1j_?9$qD$yAdWZ;bJkEC;oX_ z84JY=xICbly-6XeoH$bvQj3YG1NvT4ig|&5uI(|uK>`%@OD$?$WIW7O#YNKvG z1iKa3!h!VqUvXg1L#tm}98Bk77tmGSwu2VgT*)Bf()M~!=T^#e<`}g$LLr;!n{!KN_Ph1Gqr<5!uTH>!CF<0S< zG?Mv5klehwKvOaJ%Ruz`3?`s_uv!$U8_CHzsBN9Vn zq|j%02vcbf_^9Mg2x_9b~e8_H+U<`)G#8;Bqz8ZJ28=4hoIWFVcrM^G4 zd&|5klgs{M6AM)^Gr80GYRyfqD`ymAhP&rRfYz_ zlM#MW-%&->fWwyb6v((&1`L|x|4qMM280a@|Us>&|$fjD?%mU4YkaAFKNa#EjWT&$-TT=XM>2p6toH5%MV_r<^fJ1Bw2v~7qm%Zi z!M>L@DPz#$Apo?&hQS6>W^s#;ZFppyPQOi&4XPkRbYtpfXenLeRH3G{u!IT7sn@?M zmi<1n-P?d;tO}LKgOT9o3dNBd59EWDHuIC+C+fv>RC@L6K?xJROO#g?zqj9wf($0{ z5-h;LtD;R^!b`#{Z^_$e+Cgrcge35AqNM(;aH(ZtCEHuQi49o;0Ve}l)#r7{ON`I6 zQ4h~to2_&f;+O4h$_cwxe!)Pi*GJ5>z!`<*X6-u{$>MzIFE`xnv0l!IJMMZ4+d>dF zEyY*+3c*Ub8|A})ROjt>wXV?0nND_EJ8WS`|DRM5%iCgJD;lqJ8B6Wj5b~Bo#zdWw z3QBY8Ot{ADt?trz8YT3Xu&xjxX_n691|*7_ddH3dfKgB7*%1~4{rTI{%L?TyyX}iZ zBE(V+y+6Bz!z?=Y$L%7WpL!$a9b*BpMK7XX_BxO{l%0a}bdHhWLC&TeL5eD4fRz9i zUS{`!wBBXNIs1=G=gmCylG*olxd7TZ5qWHwivhbG_ROrAeq($<)BYdWA*>-hmDTBK z$8rJxYzy%29pV)cG*F9tCX~~ru>Cxt?ao;lYz)-BFiC(QdFQNKK@E#pDX#O&W@%ik zA9GpFWI%i7ZRe{7pjcCQ^XB^~4kfwibjPr$u|F&;G`5llG-_{NoOF!eF zoCY~&TY!;j4EAG5hWBWH8FzYP{$)J>`oHnenkplf&_rcJGt14u{F_;2vV7v<3p;AH zuoD-3kpcDh0yG_njw>^iB8*rH6Mpld1wlcrP?Kr7 zbXO_ZU}x$>`F+>DDj9prU*xrYJK}rm;eHWb zVbOQrS5#&3iImxSYJQE>epA)Bav4xxP0~!Y{6=@@{|_z9@AKcshM22BOtQuVdM%f) z;-cSY@U8!iT)k%yJC$Lf17#CidHZDMehp{utq&fqJvlzo0ZkCZB+EOl6C}E$Sf`1I z{5etx;KR59w7!}<94f=opH5K4MQ=9;CC)n}1fHcQ?Lv~ds#C>z=t+B9=ww#SMPD(c5=WRTscXIAPY6nQfj75|(wdYrEQ!pV1d$%2X!#UuO3KGGTQ~UQI$V@M; zS=PS5f4xR2pmGc`MQT$Y9w~*@?}2Diz?ySa*5V*3DB#e(!+vDOlOQBEqFJI8SgcPH zXjhXIWQ7R#!HrplraJxTH-sgoL+1~4@D(Mv%C{&mtbNbOH8O)C<8MspslUQ!-SMJp z+oH;xC_$wCQM4YH1Cf!Xtq$pf_vuVJbc{Usq=y3(Jd$0tMKiQff^7wMgTObgb{Qpi z)~`1X7Yi1(Nvv(BvleoLrSEs0X9SUDEvk(5+J3mw6x=MR5>L>KCl^Tv0@7`CP>q9D zeYW$}_<0E*;kq0Pmf1mpN_K;U}KaT{M>u}_PovGua+8PgUL-+RSWNX8?wZbJLEvk)xcS$3G*+uk8 zx-7zH?Gik#mW&EgS*%mDLx^04^l&OS7pDwV1 z9~0rXYu7!~Jdv>581hJ!5|#Z>V?YGmsvU(J1cJN%QW@X z8<9DucXVYvH)!WP_cEmnC+()SjG$h47c{^W+7?;@Cu1@qItdK6YfQuc&1HRN%u%#u zLps}3f-BDMJSFW2z>MFUjj!+8n;FtD(NMR#nW3UJ> zY>k%l09 zcSK%F6CjlCwypDTyHj|DDfY6Sjz2Vb8fn|cu?H~+?bPrtB_O{qe(rbV)d)1Trg;Qu zlLmgcQN^-H`bYXqXKs&O=t9jJ>zY*(e`z7buSyStksOB+4aHOAW(+^=#_xlfNiWZ@ z$cEjk%}!{$f-Oimw5;8YX8}H!T}C-stfnmc%qv#5kU4vz2i=x_cv0?3o=UNPE9pY!D^K#o*@Z3%EX5G_# z@BP9*$3*l>JJAxZnC`lKFMmlDcpQPVNXeB)V%8^W-OM}R=}r83`n{($%NGtPCJ1zM z;zTzp{OLB-$8AS(T2ufwN%R9Z{W5Xbn5KR=Zh~yyX$>ya;l?}mWx-NMzvyNAn>-#` zO!_?uNX7EP1aFA{151Z}&j1hoIeYUGqU8xI7WM-dOQGp+h#*$m#b(MO#b&2E>tAsB z&yabAMSRH@G&{g2XSn$WqeD*-UL6uw0%T-5Rc3CGg}q~(MADVi9j)yQU_ygRIfS+X z8y%=k5NO&m1z01C@rDbeF>9Rc_`JHOKMpTa1P@U5j%V=mlkZ^~oj*LG-eOC-OjZDH z{(LVb-lO$ZN#yqHz6rOiN>^4O;tEKh=XCF_k;WFtmf#@8K8VS3C&GFUg;|^ft_jn2qQzH!igVtW^|# za+{+*;=q=87Bp>gf^i)QbiKZ7w+Db>1e&FuspZBUg_UY024Hwe9xjAaRCX6jc8C_i zwEbj8%`;GU*-ws%243u29-hiSx~N}m3A}6VPC6q{x<5Fa{&S*L|UR*#=e4v zlN}Q7u#Q{k_1|s%=~|RIh`abxd6f`MXS_-7x63pOEGqGH@QUz~MTgX2Jk?4GJcIZX zL({qwA)3Y8nf35hq{^&eqMGriDxW8nZ7XWI#gPY`z10lju%;tbK8fn?ju zy6WV9#6{Eqe+m{21=}mQ@<>l!ZTYd5`6EK8AC|TZo6rq}ZYXLY^9ytOgNFJItC#2s z%kWJ=xo7x$!@WyEmPT_Eb*cT%>e-l-4&OR}N+4?gMu)GlJn2JfTY*Y3Z6jpPyG4Go z)nYp^y3lbD-zpb9ulC=qO%VbWrQCq~r@*gusQTu_(%@!bgAK*_Z{tK&MAmA;;7jqC zo|DVeW~ga^4nyx`$5)&uU4*FJ9lGqU@!@jp=+bVeRxA&BH*X*W?59>qLBB<2A~${k zR?MbUFD7(yF<;DCrv?&Q%_~j4t{#@BcoyC4ZiX1UCO%6JBxHG}1hcBtOG=fU9$z>$ zyZY!@huE#w>7yUTJ&`^8;l{f|715*e_(e&BVlD5P__B|q;*&t{q5mWUup)dBIKMfn z)b&n}IMupf`IX};Jh$f+-hkipVH~j!tC0m!qwD>I$l6J3ALRp?hpCTwia!WwKYSsH zS7;=g(I%(z>m@=iYRCAX)bXEjy5H>&Om4C`0qy&QdIQ^b%QTf_?8FH4!7m|!uv6&E zPd?*pM7CYr8~w?(xXbOi3tZk@;)DN+mkZE4HD>_3D^>=rOL|8MrdhLu>EpBDA(W4* z=$t#Edbuy@>(pEljJ<>*iM|lJ4n=`UcHRhh5+BdGt;tyR=q?jk+y+8May>n>^WgoD;m8ECc4;Rbmt!;&Jm!WS%zfEa_q+{$&(S)`ky0H|(*Ek;`z5jTpjA&Fu>t}slqVi!M?Npqe+5xh5Z6Mw2 z+QIAJvfO$Sy&r9qVb{nD^QG^;HY(&MUEeXJ?;7rbI@1Kg-|IKR4uE@d9Y&F*)kXf< zJGpdll~-4Yz|!MnquF6a{i&GIRx4eK8v_L52_Qwrl6u65=y`+)okx=l+R`!G9g6Up zt;C!^tUxNOQp;UZi<08kg=C= zj3|Ug6)O0xqiwLFb|ML>69j9DSO5EGNnp7+8?11Kp}{#xEaQF!87mzPot_IL=Y)|j zg(+czZ-B;FNe@z8O6P_~H*96FS`Qj+YI%5BVcGvFiVTO(X`ss@;4@5)W5<}xkmCW_ z4?Xzr2=p5HG)(S4w$rn%PQmT-DTZIR@=P$R=db@d?Vu*&rKtH@ZSuY;PG)z3BjiW) z!X2yx%$_e|JQvR~ceuW5Ld(t%SBqli9iP#EC<&MPRM zj+TA>B?kQV0VZ}LlKy)M>6m9Dc+%}RWGX9SD^%@FR24@ zF2OpsV}-3MLHshdwT9O|2BG7-+fksG-YeGEKymrEx%R+&r(PHkH3z)3^bSvmWmc45 z2YGbv-2EBc+Ff<>;c9gMZ>Bf;2@rWtAm|jgtwQSC$H>?A5d|Zd-g_F>a11FnS3g}UB+w#Ko+yv@}3k{2NNLCV4R zQL@z&brER)#Ih&e=#3jt!I0<~BxE{;Q-gow*FOON94B5>2)rDnGZ#Jor63{9IZWeb zv2YGS#=o4@wBrGO$4mTC-pIRQyq}XyVdaomsO5OD3(0%E5iYn-c>ZZqd8W!&Ammn& zDB_#GK}?vR*|+;w>QJkK3p#HLfL!ZUJE~Kq_dJgO^G2qv>SOKI&(fJy*-cJGr^%rl z{0}B;JhXw+;C(-WnA@e8Ew;lL2!E&?jM}4AUCo>8@`Cw(kLl>eP zbO$d43GUp3Uz}LI{5-;Wd+Eh>kVX+e!uF>bO2ib5Fq^TNjQ@@2(avT!h_H)V-dvQ7 zpSpDCrSyW8L4wbjkCvWXwqrKQuY8Wbvl`oa#4dM|Wccxbs=y0mvsF6Auqc)v@PA!t z6DIM(Iuf^)su1F!s@)3}YM_2X@U$pLrhg2KNq_QoEGpPS#2bF7owaB4i6^I;MEkmS Ln#^+Hu(C3L z{n%K(l4`Of^46~ABob!Uu3vR)Nzne+gm=OJHPs07zwG}`nkD~N<_Ugg0N-V)c5eha zQbE0$V9Dq&8F;(E5KYdE#0?QhiV!gAipGiWI!dxsQL9Ypr#aYU^iI-Z7EDo1eCv*u zR;L@<{Ja-@t$AJdsr9=Me0+S}^Z~^+3O#Pz^4r!u8ooL`tXk#|M;tHtGEBqFE1F8{padg4%0lJ@*=(*i!vonGh(KQEU#W;esnOZyAv^tTH_81(uTO--5_ z=Ykpdeg~2su7TxtphT0LWSh9IvokSg=7_j&AT>n$&$S8H=JLq9L z_s>D92MgorRIFBB$U|bpgN{V!{I90d>2dG8^Ym)#%NMiljl-8i*;8`o%V;aN$!2qL zdOqx`1(O?cAzP#2ycp%@ft6YFaXDYZRUeHIrW^^-HF04cf8O@X`HBOykdV%->_s@y zcR3>*nTr5JJetRvdnh3R_;a^O3zUkagDA^=yK%wZ$#zP_`U7WSill>&ODK>bLuu}G zZYCwxTCp9L7{y~@$$XN}&Yrj&j`#Sxt-2bdf-^H{LS?I}Ch5%N)tdTy>lH(LxB!1b zx2<;Jl(-f0R^l=5KI3?p`B;+Bb;(apb#c1BXc4i=F(b{M;>K8d2g{ z*BhSX95w&^`?uZU-0hhrJMMU*n-k{tbsRt3I-}}KXZV;?S6xT>?G1&rwxU@&yMO)f zfv35zmidsdt#1U|fJnP)_M61hN^vgy&XjUHo3t{hWjFy7eX3-7995OkfUbf-PvD z{*@k~CO^>@{37|}&13hD{td^quS;C8^oJ8?#C-TWo+ZSGhvL4cH0Upuj#})z5~l)j)tjMc3qd$BkJkd`ulCJ$A!NWBQx@*rZd>E z_ecD*@x?w!$${hWNUt@c;Z`QOT%eI;*?cCGy|Zr3Jp5uEtE=(#ZDpwA?R^5VJg0s% zhBka^?Z-rufr&w0r*G>8?)F;e>s7k@G2r^afPCG<`+Y?1!pLTlmbl0BCZ+TfZ&GhB zys_i8fw0rz>HcVwIY2P&aflLMvE9BISFNX;_tpDQdkYBM=zf)xJ6jMu_2738uy20^ z-BkVc->~J%yBXiMV?C^AR?KTS<2Er+d|scrXeL@Md<|bc+Jx~NIStAP+`i~OKe&Kr z;_L9)vpjMozKs@h@uDFvq1DTu?|xn-KE2pHXuIffK?dF~TkvgXU&qtj%lo8Q3%{;< zPy7N`U{o_O28* z$ICI6y{J!FuCLs3BfMlb)xA8woA>rcb%uR=??+MnZLAg-R_}RuEaT^OYR<)3XJg(Y ztAYEt6h4eRZ`F18C&6Fs`@g}rU8XCS**=U5KObr*@weNKK1SB+3}!w5ZFSxXLV_0-po4m`&R>WxSDjh=|5S##$=TQfPBHXFHj551aSp3%obeZH&gU$HY;>9^h>lLoXkkB z7;16a^X!erk^|pu0*Ka{$m80(u18)kY&QZx!?7Bp?=3>eH}2i1CnL_Cx$95B#&oXV zm-i1pI~h^8O{gcYmhtrgE)D0GOxAY%*Q2rAm;D`fM5k=VZw`1M10RL`yzEP>v+MoI zmqU?s#JcX9>vX>hTm8%|%7S`nJiPEKw@4MUzhyB|XVJz-;%%Pj#zlM>Ym)&*s)9~1wnta=n z{JW?p{kfB6$*n(Yc%G+Y>$>fuN19>kQYZQS+_)d{;vy^M^fxoEW?b+-b9}8$hvmAM zT%Phk-SQqzmpX_Y4-+HF*}h#)FU5cvcm5WK3y%yYn#Dcp$6JAwzzm*~fd%SM+kt<{ z+pLY2H^$ggxiM`YhdkSOIlg?4SGgaf&;%Ve0=>6@ z-g!@zjnu%8snulSfKBV5fJm2yq2e=>PB{Of>#|m7&U<+=7JFFJf&j_v93-pZg<8@xNde69g z!*f+k7vgGk>aSk5w|m*+$G;Tv^3CJEzyP{b76Z0DkK&5)R|p^fjnDxJvq0Uu@=98e zc8+^3_r-?~#>-x-V4cs6mTOURuQ>YV16?EcdITF8Js@hlNufp$rb`2ep$mK+%)49# z!<%Z$HA;tqyiFbe>%+p&gYY~@mtIY@6?%d5e+`&Sc5i#^3ze$z1Q`R`O7>9zXkD(v=0w9dbnd7F^C3S1>p6|K0b&j-lt4)E+qJc2tUK{8AJO}%Q zwokWHuDC8U$vh7VJ{ZMIA=CE}_64nU#^;H)XP z+ts0H_75?gAV#BJ>kF7i(Czyr&yzq7^~P=Uy3^K=@oD>hFJrm)1+ONo>LWSA!u$NO)ffbCh^PY%nXO8R;m+qSuuB$Ol?Y-3AyBfn zuz(|s-ut_JePV9uo}JPeYC2te4VuA)3C5Q72ZPIOPUB_w^|QAAnsfKRP6H3&mqM>B zGsj9Swc*Id@ES_=zaMuSnUOz8tw|}gBi+#7Cujb^ds%Aqof{K8f$iF!)rH0iau=bX zf;B1^_+0fex^J6w5^k}(WE)s2{&jxUL66JyJ5Yfk{z#mrs%gAEGeu(ZSNvi~<9{6a&Zk+%_1O#bSOF=5yKo z2zf+QI{j276mbNllO2W&!o;-_EBN>9I|VAvPYM7^&n zh(m^Hi>bau6{4NxlEG@A5^w2XI!$Bj3CDeCM1g4z;*)R?X?Kiacp$n|UK)4m7vqneQs07yJ`U~q!SDzXmA=XGlQBoz?`_LzeVo(k=`!$hm|WPF^~{#H zsKd-}(P5G%oNkiUC<8uE-hCO%s?;ojiB*YInj&jLp^(cg_ABZZ+OabVq_FqkmGWW3 zvEXZhf0@)hFngadsHe)nDuqPEz;>pqqqlu+sEph3J|k!X$ug^vQX+9}#VX(5%7gUO zSU%wrl^5H>*>gm-TDDrUEIPx@Ni$n8`=ES7e%e-85zZ3A9Sb>*7d7q9o`A;!P}SXp zt2@Z#UQd1<9gihLu1Gh}a^F75m@R`dmiB@Ee9xa)aZHja3;hkD`zjq&jb!x@@||o` zhS^mtj<4YKmnKmHo*>ugtQee?HqoX65Z+fMi#0j%#kNDapv?4*x;1=!{adX1+BJnl zh)V#<(jbh5THH?YT1*tpB;=Ak+pmnf;1)B;DNHp`qhjfiCZ2VgZfFdyFNGYoJyDaZ zSjqgng12k^FTu9ED-|0H4wgh!iOi52xk(CfysV_?M%ZicwgUO1Ta_xS!yD<2T;6if zQzC0FvYPUkGyqe0#1()51sybc?LIFKuBhKvB(; z!_mXj$ERZ(axXn;a3{-h##}m{4YZdkZiXO!R{;Nb z*R`VAH>^zEE?F2w;sk5aF_D7miM)R`!F&2gON-<}5mnX_TlUip4prhCClfx+?TtEB z)=$@%7NpwsK zVN|wc6vSXCqq)STu^b{276IZk%_RS7hqX_yhrt5|FJ|IB#N$7_^fJKZW$>@#{o%m(x}0=IsQC6b?ZmWsWY9%JO2|prqlCZ=qXTl} zZBuo#XL+^U7 z{J4nA=2oQ9t4I`Tf|>&0zTXs1vIts4NlGzL5t~_~CnG!XsUel6p9P}j6aknBfSCZK zzwl3ODe?4AeJ`CeH0cOQ_2XH7qB1TNJyfhgk#6H}>U51Mlx(um%#|U=m{^`{;)qaS z71Yv8W?<+TgW3hr>^|1YEFZ&{&%XL2;ZE+j&No!O2+y{(Gk^YsBUdP|nZXUHOR{w| z0|VPfqV)&Kh%O4ZSun3}^x-;cb8?;YC9{;FtW7XW-qoa4e@ayWGlpfY(4`uH+R=yP zsa$-;tCn4fnD}K+dS0G7gQaD(20?*nM#)jz!e>fJI^96+vI2z((JgsEUyG2)_Y&2p zLURPuYe#sMM=&p)!_N^bv0gf%oLNNu&Dqyowar=VT?6jBY45yGwphon91-0qCKKqb zq8P#-_eNB3u@2vxiFeanULLbfvP$aitkhflGCa1_nCQ=R_;^8{hj$t2Fj`EoG2pGkb~L`|?f4cV19gu!#BFZLBX**;947 z{ohszk8w5b*y{e`Z-ecLWKNV=L(N@76ls+FgrC57!srRb`y zOeP@{?eBXz{Z!d>!+$*6F~O3GUKmnQGUxExSjc%DAtczwX3dI;9>`D3@^Rp>tr)Tq$VAxkq zw8RZ(!2xN^hrgHqggOb{{UTmlS622o#&C;BX|hVipA2nONP)|#5jGYi)II!8t7lqE z?~oKN`9(?<-%Z<|3j7;%i$D?{Nv@e@NShimI`E-r=Tdr=xaW<_tXT}0u^e~vxc9D2Cbj86$7i# z$7_S51tL(^=ua$?)~R1QMTbZiVJ%o8oQpsgmi{S)VLR{6c?=)gDrrp#2hz zhNaZEWtVJN8!#-ZWA+s4q1}3;h zsQ-E(D1z_4A3G+X&d{qRgeWWF8~KZ_Vvq!jdrsBhk~qh!7?H&{f|YCE_P-1{s%gbl z#&@}v^`y+RaY~otlt1*m<@j5Il&fD4p%lRu?1z!GPGguBk3=XKm;mJ=U*!{`XF$@_ z33GBV<-9yVIG4ITo$yHYpRsW%gwYsQh#A9|7?ZM-z@(udix1nIA$VMpN~EeAuqB7d z9nI1jYhkFHIG!@-l@s7kq}W)qfhDYA@V0Y^bvbB<;*Ll0l1NYpn59lxb%W`ZGida( zBgWyP6esHRjh6cA_rtVw>Bhug4+p+|arZDx4VyKzSP{aHm5G-lAMn?r#ys;dr$Gc^ zDzG6B^pc1!=g^<&x2)9%HD^n+JF<+j<%SEH& zUq<&M6Fq4h_H;~oZ6#CI>?NPOAr}4#*5}Xglee!^fr;^uil6VdxWN*JtaT{guAo%& z)AQqRtdVB2*#9{XQdm zea@zE!(tUeBU&~UGAjGi>qz3_#r@*sI2Kp>blf~`2(+xJ^5M{<+?_(0NB z;kG`)0!a~3eg%^%^kkWeWRBeR(k-<_7df5JldxPaf@j#uEw!U)KoSm~H#!Smit@U8 zsJE|byb?v;pnqi(RK+Oumca-z81qhXqYF>*oN|a$g58>E$hmb-cMiTQc-5Y=Us zcZBSGq-7wVXj=j=<2Tej#Ou#fSYx3Ojk0&1I`R;MH6a0nV8(yAB(5yQ?>BlJJQN0a z?&`H3+=F9$C*uRNmCK5u82Gmm_cTKaBrhI!%{Y~m-NlKr{0AlB9B!v%ecxr{nB!Cx zNxx*td$)W%n;kX5b^dB3K5zo%}v|SIE6Be0q-cM1?lK+zc5x}zj z57ocNXu2GCh%U{PjrN=$u*IEOd(rBHYNA|d9 z(o0>xC!*$)K;9gRi6~x}Q)yDcqh_L{BY=++@R`5PnC9+DG0YEy?8bAaSl)t56qh7Y zIbIYU(pL2yfLPnHbvs-ywSRl^u4VjW7 z5*a-tDbp@EgJjydFDA2)K*dBjs${M7%XJAD(?q)a7RWm<&6 zNhd==eXTc|u*leT;0H})do1|A)yVZ?g4M#P+t?`=-AjnUxy*{^1Er9O$LHz96w?fG zonLcwx}#19K;X^Nb3V4lBO@`tq$iTiLh92OdBmmHkljZOk+N}dJhfWV8j+@UmD839^E;}zU=>sq%uAV>wRMSE<^QWaTc5%s zv)=^I5|Ua%VP%j-EJ}CuVo*o1!!Z<{86e}v;ZROg>DMC(cS;6gXn|=XFl=<8dqw@= zp+DGT2*4+Cy<=*ZP>bMT# zUxufUinoyYy;{_tZi`I#3v@gs;=e_q~#2Oj4qf zgs=K}T33O&>4`C7Cx0K~tUrh&+X%knmQ|d(2mD=oKd$5u{f<1B$d?jW$@fQoi(AIx zCQpVfT+wd4JJ!Vjd{2L#!`NTy5|MXn7}(FP4zRNZ|rN_wpt`$p_p^w}_g&tA^=N7Y0ebp*> zk#o|li4Nhk5Egwbu5061!feUSMr^jS?%SgKq|3vSeGJ09nLk9WVkCJ*Gb=bDewe@Q zo9QZl+0MewONroMT8!)9ahyM7gEE{@T?ysOzDpkwWqwG?LMJ+1A|CS0 z9uWz4>jiO@6;_s5SB3N^2w{bp|CC^_9|V^GFf{6~x|os;UA9Jz>2$-*k(G{Pe+ysq zCL^-Y+aM}ky#mj1yfEQu?4S#B!qQmrOe=J$u#y zi={8|QKsl{jP#xB8K2k+MsYD&|CHCqyD7yzkH=gCfgPSC67rL}nm5$KqbAjAMI;<3 zzv2i!W-& zW8M){qG}R?w$BfyWwee`7?F;pTvZY4!ZuQ;StF)z0)qF%cw>Q4xLGm5>wa-_*(MIH(dzFeB8ipaV{eSumz57#cjKKO zHlF{5fLr+*{xI5i?!qDn50-SI(&QNgY_41V?rrh|dhjaYk5D16^|so%%P-Nn;|3$K zk9yeQ=K4k=i9yO@zNomp-%Rl;%<)zmm}%je8G%(_(`8z}X;*fv&|-QEju34a0J<-) zm`0>6N}n2tv2klN0{g5m57`8*Ycbtbk<$I6EY40IyNKkxc_T+@rk``trIUJ+!s3fbp-0WUH|UzU zK0m+vFXp<6=Z(Y4z({|2F4j>-x$vY#Qc+d+2o`tVzF=)n-bm!PxTH4j=edf9bC}oE zXK8OGw&b4lDdU2n{ZZA-F@{tfwFVE#HL-K4U6Y#TCdkGoZn7IX0E(%KE{8<_RN~fA zsh?8OkD^qF`Sit_=nTy86mMC$o~A=7bqc)?)wIE5U#s;&DeKedsd@KKw~55xc&c=z z?xKaN{G)L#b`l-m(k)`bEcwu9Q|)U8?2kFz2YdlZn(pF&RQZ)8qn95pV<;HFb_*PPwt*q|Tepkg9 z4dS!0-JM}&P4XshP$h?HTM#Z+tnmVgBK0*Y09?vm?5pKhZ?#<+VPCG?&@AiMZ|B&h= zL#Wj*H?T~Pw;qaA?WgftB}63I%qRm$54IUh1>e%H4#XG-E11D?N)I{Lpy=W-&48=A z%bb-)5VF~&S+dGs@(pAjx}n~gkLhFM7YZwCfhM}0_Wznu$=B|Wmq$}z%Z z8~^B2ioAp6tq9d1Bk^o=a6EJQ$YC9nz`*z)1x|Kmi3u~(I!AyxDe^($E;;-=tqAGO zs*IZ`W4<;xvccM_x70QtBFDm;>#K=+<>u76A7FS7 zjG>=4DPUlRTB2+iZX-A@GU^b6FN^&af6{hs<*tNQbxF6IFe+5Ou*)dHvA6s75&stB zhjiZB{)Kv};x;H@RhZK-T1N4aZ-A2c&jme+_+kaP>-aNQ+mRje>CNQ_ba*EW4uf1goxVT#J$Jsq_YSed+$k&ce+#L+Qp96!}il&uuQjPU0-d>jaV<8Ter{~p<6npP^t*{)#8A7 zr7kg1lK3g_0F3+G?K?|xTH-6St4wweoDQOv*CLy;joX=m`2rn|j%Y2e1*YxCvRp&6ML(9hv%# z8sngPb_G&=NZkM*zy4u8%|wO1j$HOkJ&?3Z$L5@<*AJ23@xa?;r291ysf%xr_>;LN@w+Hv-{*rU&voYft($@1n&O9u zze>0!`4MuZB{SyA5L7ThUvQ6|?JV72-_4^9p=w4@BPu>gnyA%KPjtqQ3Q#deWG^ze zGM2OkYY|ecYsQdbw&@XwMbzsX{RI^K@#gzwL&$vOohz_rjcL4v76qhgj@8^2S`YtJ;Ww^0_QX=@9T`84XJ@mLP+OsSK2Y`l-N>jS|5ax{ zEqB&pgDre5-BHx~Y3V1}MzZInt|UA89JG4!F6RAbu{+fi4dbz{|-#3gR`e^!s0Z^pye@8`Se zk9_P@Csr7hb=T~(F@^InnFhpY>My^0>LdMk4X3uPW__}a;G`|)U1jtzV#_CXD5EmX zShVZKPiZrLbf$WJ@-MNQk4|ekqp!7xigohotJ5}t_#y7^s>roT7IN<}R10xGwG5TX zF+ai%ihuN7hrjH7%mL{=k1ddv-$>i(A$VX#PYE2W?`2m44V3Fn!_oJ;!6e-bKBZx~ z-@gg``4tbIZyT!NbLL|8`Lp_gx9?n~7yN6~U#X0PZ~rpaKGa(EHhnoT`jZH1wI33T1I2_7#Y>DXv@H7?d&MH9N@h6erbRYULzQ{Pjr5iSm~ESH zs;%-{wfR9DtQZGf{H)f!=a3J1ROYP6;F97Z+fE=2)8Mb#{dpK^jP%0bwU|kkHyhk` zZqZ9gC)}5+xJhySFeDpxra{q&y@oV!Wbyx%$`O+cVzL`QEwMQ}gzRDun;%zCIO$AR zlAa#-D`%)w$-my>H>d3#vtCTvK;edYV%UF8%C;r8n;(@2)g8G%jLcQr2VAT@W3Tae zxMaJ24=$ZvNk7@xi7V6|(${iUW4#)862(#v#LPqYrlEGwej2<^w3Wos?tlWwFN?VD&T#VdF%h3P7gsvVMU?OslZpx|=DXhk@osAAi()x*ERd}R4 zYHp}8D9c)PUmYj6?YiuwGfF9dqB#{>X#W*C9d@kOKn&OG-vkZDL2jh}&kBKWo?Xlq z$3r%UsH%%>&ZA*WkuPH`x|xzCrVj~W#s*@viDKh326b9tIVe8<%ZOOC1k z%Pj{i_Eh<$>f5hbbn1<25Nf&UOSFcn80oFVYq`JowKb*3$2{o791DDeLZB2~{&sp% zGTab(Spp>kqJRW)~|*bhMg^;D4He!ViGUQ@Vsx?t=oHy8^)MurRb>o#D> zwMumuQr`lS5`*H{Uvg^hoG#RZU7Gsa=Z+f>DQbZVkHar08vVv9iCRvzq)IiXg-_er zp91$4I-qyUNXwfs^a>Y;H?bNK$=>n$cOj*A>VZJ?H%Mto=3W9dRKed9#XvzgG)2)R zi8@sxrwiROI48EX#sV;mhL;UCIMKU=>km3%X0(ck2S{A%#Jstr&so0wDR)vM$DC6W z#6-w}`Y|Ww%Jcx9M+7dhgT*1j!daH5JQblT4-r-%!EJ|OH9gZrp;1emp6w znXgxRCEyw~%7#}?7FD5_itX4f)cWRR?==Xzq(gGy;tf?UB>_30Pm-;jQrBy- z^T{?xio;Y@*p76LO&p7xhq{#G#%ov`6}VaXOhn-?FZ1lTBi>}7Ri^=W^6JPYc^N0u zv{F?S#~b*Ni4V3kx{*l>(~&`W0Tgi|zr}0(^@a;w7LuJ{e@pL7o&OEN{rr2GuB0@0 zX))w0aZR=+xr7MP7t9u0C=1V8Aa(vF}?iS+t)kinc1o-5z{*_?o`iD zoHL1XksR%klmbDQx#^_}UC@pDd@9u?*h(~5pb~WyGBmsFlpz5OtNLcIGVdY?N zZCYhii3i%KEo+^BK@rs1XeWhnzaDumOSi5dVk}lrXC&DxOl#P4UMjwC52(XB&6)E< zwyrvLCVUgBizm{tSk#SoXJJPaOq&a?TS%zGqvtcnzKEvq>WovbWd){Zq?tJ#dVxMF zY&;}=*?*tBhECdc&UhBmHwbcaZ6)1*f8XCg<~3ycES& z-0W3@vd8lnP^Ccd^*yb~KA>2xjYSkO5=qM~=2(Z81@INzhOr!{$S)LQS0)x@-bY?U zk&muDFhxmr%po94D33u?M-|ZT0$gHA!WK723UOw~m#w4oPi`c<+_hZNiWf}#0XvDf z0C?s)Qn%nt77oA>P5QR#JMZArv%>@&KOeQX>@LsamZ)NKqcjo1UNahfoK{XG5jrH` z@u;_zH7!qhhr|5(+%Vu?=31=sbzZ{Nh@$=QPjsEccPk+r^&?m24u?sy%L-+y%# z@bYHt>ZjDGZeo_*dAc`jT1Gi45r|29)Mm*N zWs~#RAi-t50f{s}hKVKZh9i7{S3=~;SvkT*&T*T;bL-(SrBvVoWIc!j!(LYyHX}Hh zHo_x^3!ugUl(Xk2L>-e8CAfqGrXjtEZa_s{SqW5WLqG;3wm|PHrEzm1Vu4GPA2H-P z>@SRZffOhKm}1KCCJ{e4D~~V=sJ`Ai<`02~D&MzPPvyWXnN(Hmv#6sO(0efnujWza zfe8Q^v#nMqh1hfr?_*}(CwGoB$w42sj)qKjXq}##8j#nklYHXM)idugrE)hs4%|Gg zcsc)|K~BM@phj*Jky%BKrrW(4!lJjFIc$tn7Z@A@!Cu1=>ZZl^R$74g0#qRI3VN;< z8sx{j@p;Rmh5vOk^)&Sz^jw`$-MgB(|9h+jMe84x&Hh*iJK7C)*D)&YA-7Tf)f-gM z<3xN2!?+C$s9vU48+^QQMk5c6;~bjP^5;YkM~(U6>)#%x81qnVki795R&R+g@J3&349nbb+Odqdt5VFaq41n7@)P(#;5a` zj+1T&mvxDZ_mR%O0nQ_4%P$UPhw zk3`ZCW|&Z(){HC|Qod2;Y1VnhnZXm8sjKJkV`fQWPcc=853y}p>EA*=Ik^x8;jm!- zkQBv}(f&Fl{?Oo_3S$aKaR`kFtTQl(Gl9?`IT?a6R2{#II=Z}0E2Be15R(?FeerO6 zK!X7(NFo?{8@?|y*!}AEP!RMukkttqhP9hL?Q2FNYNt{5Z zq#^k5!`Cj`F}KQS>FEqKck?+r3pkt(3|`}G1K|D~t@(kCGPZO?g zaEBGljsaj`OP|TFQvZoDXBS6v5FKW4Cqfx*l@rACsFvV}Ru!{+|LaYN!R-PdG3=5D z1u5P4&xO8{}_FEIJ)@fiU#XEejK6(JO}O-_tq9f|lQtGX85S8@=g zV&U$@Lck`9^n-7xk}W$bwN~_dZlrFuLi4G0h^-nZF-O5qH+DAS%#O~(NF|fXwl$;Q z@+o{JmJRm{%Q>$tbp0_~KOKLw^YG*6Y~$wKVDFVvtX%uR+fXDR0=_M!fpUSmed@uS#5K=ca=lV*if7VbEfUBbR==cOCzIJR0~ z|Cm`!>0azo$WPYDR?vCH2R!^+j|&5i#sY%tNJz8no_@H(8DzbjX^G^UF4<}4pQ=8V z8!VQurR@%mAG;Ud?uIIuV9!~~|I^N~oYu{xM9k;4Pj*v-Wm*Kclh~s9@;s3BRn3squ>m!z%~oTHmz~D^Ih0J!9;DohqQSCojxFDNVY; zBSSz=V=;X9M39xwQu$R^$X?D|%h#+seX2Ln58^7~LwCb97^K%Q(fQ-ZGB$w2IEz1? zKC?%__`zovYYJ;2VG^O=?|(IZ?5#onx{({@V#9RNa2T2_9dMo5CU{W(8K&eNn&ub; z5KjY=h9O^^nb6IZTdzC_kPM~@zig~+goUeXXvXli5^aBk`g#r*MuM`{jS{jZ{eIa_ z6pd0B=VyDB+`ZJ#y63Ia%1X+HAFJ*U;v>eD;xXw=Yt%+$7y`2D$x-~#afG5FbJQo1 zX==pj_LB`&py>z-ZoX?t|BCqyz%>}*6DB5@9WAdy`4Jpt$)oT#bto;|<9JbIsu4?` z^@Bu|RK1NzUJNwzX!YK}wm16c{W~6CE5|2{)^5(+%ug8@AIA%q6}gWgd zhBJl=WmwGLrn!tm?Y07cj5HKuhIA{RR^X4}loG>_xfcjY}0h>X3|E_&92GGi4$WT3z zYf*lJy>*uinfn|o`$2q?oM?#r?^-O!CLvr<_hWm~TlkrU+?IbfoawYsKk5@??x!(M z_QH?MY%)`Krybh6gX$S`V@X*U@IqIIIX?cJ4`Mf(R_1-gbuqHOR3hHvq)wW6%CUd? z`>IxBAQD8mGkCf;_5QDAV+{;ue81!#9GPN=$0NESdY#ptJrP-8$MwE<6`qE~@4~?1 zpuM}0uOniKYRM+-gh8yiv(P)ca9|D7@u!XFpGxd~1aX=WxRpF9UW#TwAR*TCDsLXy zgq!t^h!O3E&$ENfBTypqeCLba8I?wQ;k*x&+nlE4@=4fZuG9ohsT&X1kezRId8fh&eCw*?#sv%P4b|*)%sTNHiqrqDhyg;LtRsd zwaV4?$;^b~``r=hNTMUme9|I5Gn#xEnk}Qc3W4$2b6r+gaU(-MBzC^9Wq}{1;G|X+ zY!=8RxqlA$k}Fpyh|__YK%llsHF33i+W7lx?XX=_Sl~tAr<9Uda}J(2nrZR0vzkT` ze@kxq`T)0g4Z8jgQ{jt;&=5n*yj4Kgu`+4pXFOu*=zakn9IPRhBrx+14U;B&!^4Gi z&Q79?U=cdpk8hmRRkIKSz=r*nKk2w-?#RUD+hgho9UyxsQ?&+VXZ82Xrg>MR%R9JP z6&feo2#PhQ7baO2D^yV;E@2}q0VJ0_#oTfV=NmcvL(wP($^USW^T*Azmx^aRMpvFK z$Pk8$dz-7I)iFU6Q%JWqHgw$vCEh;}Y=7RWlfknf>nwZjYwpJ)#v-q%Al53 z5Ha(%$Rh7zW{JEro>nIs)C1Vnbu}e3s#73|`C8FFk!!~yh?yU$<4s5L-(lYd_q##^ zhJBZj5(H-MC)~GQ7kAz!cH}vD;&E~~5oaKvVNTI0y!>hysvGZjod!aHc|hbChAF!* zZ_16I)A(gKiALin{m0dVj+}O4y@tWRwjA(sI%65~UQ~TqY@(hE-V+bZH!Yrgl~JC~ zob2pK&?vleU=Z`2g8D4uO($)u`r8+-`diME1o9gZ;&O@k*LUR6seB)N@ATEu2BD_+ zeM6o@P>P5#f5I2^?OHZ}cDhWD_r9)kbajReimiA*3rPuae5P36;sgzfJxk?5Ce4}J zG%M!6w&+4gJm(fE2O--|D5)f5`EsdX-71zb|64wdheD$15BK<~@^HO=0;RPp?^+x9 z3mM`T5+F{0^!YA?+>Z~jGk4JF;j|P2CN%Qgb^`8uinn*yUhV~;4RM`AV4+iG&d+_V zrJgeWg>pV~h^3n7Wn*K`Sqn+|q|RKYtf`h6_@r+>@h*Khh>>4cxm5?;ta}r4O`>D& zg~03zg6xd2w>&DG5x?YSZQ07=wfR6+pE1s74NSd$UPdE=*a%FX%WZ>VniuvJB`BI! zm=2yv>bm1VqS(LUL1*=vks;~o?1TLIy1Jnqs_t%7lq2ye1bj{js&=I6P>{&Y2f^~* z+WSP&75ltAN6k!TNPET?owyLIsN?Qli2pJi+&oMI5)IJ6QD@9EI`&vO-eqfdjmAfb z2~ou|Hg{h`l|ce&i;$wc5s|t00_KlhnD#>&Jj>nl%;HGrU%*dz*IN|h`8^+92cK2a zkcgyr_BSwPiT%HgJMQdU@nl!N3>W_iir+yYEUbvAnIXuSU=l1BHQW9Lj>HZ`zuUF6 ze-8KriYZUbLWPHu6q&$YnU3Lx8jOKsva9&My=lrrojs5OJVgP17vGm&+~%NBG%4zK z)u>Kp`9pZbjo5iEIeAv_avm#Q6kV&Xp$~*DDUKJ?<&bK}tU~t`T>E!7mzG=%e%p)O zxEpW(1nY-KIn6IiRUlXoev^1kury589BN?5U-lt$lnlbGZFxJ>#st1%qpK;bdmxm_ zlTKa?CeqJ-0#AbtXehm;m-1-J8oE=Lykte@7oYc&UEfMPaN^Ao>t^a1{7aVe`7Vi# z&v}vS7(5LGlw8ZaPw9(C>jhmC3*udf_by07p1L=|Q31FL8j1flG)nK`Q}S+|N2+&% zeJOUXHY2SQsqk}bQyI3}(|N@@%fh_5Rf2KS|)jO?=G91m3qG$OxAfLk3fUFh44az$h!H zQslZ&6+ja|3M@>(gYOJe%TvavurQmh?DK3p`J$hQ^?ole`o6vy116v^7*pKi;6HGDZ9;;)Mjgy)=hiWY9*q2uZovyNao@k# zX>e>HLt(ZHK!BIX&9-Lfbm3BtjiT&bRY#XY!t&w-Ff%*1A<+t?q}Kj6gS%Pen3D|- zsi@)j3&a`1@Fis~4o6Zaq#^m`l@ZSNLg>Iwm@==KfJ2d=W23xN8{r8t0M!^hFsM`) zP=ymuuR^sX+uNL?e+9;^$Xf`C;iz$qr)V?S%;q$D3F}hr1b*f!?$}6%3wo?8wD?O& zh3?=pWMh<@KT1s0;v^`BN!&%BLO5dL@>c>p0f@^SxDPjeoA^o?$WxwwWKO{tfKGkv zuDiotmvFIdH==<)kPM^ZrDF0RbJ6nu(Dc<|O}O9NiXbH^NSA;p`JsDABi$V$F}fQD z2uODd3`Po!l5UXhO}aZK9i#Kz_jg_I|NCQmp6A@>ocq4d8Ok#4k4uZTQN3H*DqT;o zrLaF91h%!L>2WzF1caQxbE8ejZxa=+r^`s=8Cc-Jg%{fljFkixeH+CVUIO6{!#4dF zfHhDhG7tN&##@HF4dR&QCUjUl`c6y=xT2#ieI{)r>cKPg+w?c_)K3Ny=~jD~zMS)I&Q{%aDqULpSBO z&gEH87b)6zzh{NXl(Q+wooK=*$mP$@?*B%Bd2wiENOHR_Fph3j;*KQn-t1X@k~#PU zinK00W365g^lrfXq#!rQi%^$F;Oi9{uU6++@DCVB#UXr|Gbqd7I_j9mg3t)GzQHoc z_wLU*JYwC;_cM5reTiLp`7Ux^v5y>EGe#|AQfxeakTWPpb!ArFE({D!pfNOPj$^ zgcMS#-Yir7SdL{)@3KGs9JFwgNq15v;-enF_v{N*!h_|$#zbv5#xwT3F(w?c6BE#1(c-`sB%v zvz5upua!HYVx3x(QZVw*l-Fx%DRzaE=gt8hb1#MGo1nz+RW2aWS~a=QQklwbDr~g~ zl#41tBJD7S6{^ix{7g53mBv{3qnm^drDhs!s1fm+9nx}am}#(#^MjeOdUs&?DtL{C zM_a#!*e|!M!@9JhqByQXje?c!K$%x_syy(kFRyQ#Wrxr@?PMR}(9SPYeQ*ie`WW`m zh-D?D4;wVJg{H;T`Acy@xZRyx^Gvy=d!ZZ+7XMoQ*f#HD#Xt9{w4?E~9~zyXrrhcQ?-JKfvzwPeHlS&QYWg+&2(b-s`^xlmGs`HQZkwOeHnB zu-4`3+jIBR@z#4%Ss_O>Hs1VUJbiuQns?(7IIf}fF7Su$bnQA3Q!2*E4-?lF-9zcW z5rk&WQjyN~QVOC%i4oJI@^$ez=m={xRj>#F_R(hA^>Bj;L%e&(!wX!)mpCAvp1W!k zeuxSop{BH!D3l!S;Tn=cE6U_bwD!d=GNB#{WiJ3BpYxAu;uuu|%BIKtP(9Y~@rKk}DXRX0WJ;K4x;nI8KJfwJ0ze-so9+r(7 z24IiFvA6vpA0Q2^r@nb;5Pr7PmJT$!Lw63ttKF`z84+K`B;cV%gi`fU6vE3JIq8MI z0G~!eEpf};q?=z%=K+xHe(h7;DGNUuimnpJTqcbj9(OTi7^R+SaAQ#)g=cVuiZ7)J}Z$*97ms-fk6D8J_E*=84|f+CAFKP*+wI3PA{Ef%E6ZFIw6#w z=d{ow%NXc#LUGsP=a4s+=yyAG?s;)*_0pW&J)Yq)qc`NOVYk{w^u4#u3l!9bl36I- z9`x9~9*`4SBgi86PbC`(61ZGq<+iO+K<=6rGMfB||c+2^TN*ddFQ zHh@(cNJ4t!0M#DPdFV;%A+Sf-*mi)KEE;@{6wVbk(iIcM#zcqh&Q?Lc3L7h4&fAZ7 z*BTd6)Fq5zM{KiEM!x2v5o#t@Xe&>P_+alaPkIkbX2sQ~p5rQbW>t_=%Id^co0WnI zXP4&2lLAcL%gE-@MeuUmaB&rJMggCorZXl zNu_dq;dz4l=%=*PZ9j7BxkO^dGV)eC}1$$s9ZaNfMc_-*0p(XJQWFBN$4 zZwvn17AEKRd_qiZ2UJJj?q*Yjpm1>Im>u6i%Fb}VTD-4|jzap-9sY=mJ|SG_{5wdB z72%br1dx6=p^6isaB^sT)y~6$2+DuE63a?zubLZWk`g*1NXlyUp`gw1VQy{|?fkcUbc0_3=&Z_YAa;UyR0tJ*# zH<5oy{jyZ|{iVPpaC|HZWKo6#`yLJ#qKz1|s;Q5DcfXtBu15LHuCpx2zx7*boU!=k zVeZuSh@(7+oX*tl+hIBMm*wqJV9k-v&BOn`H3f4FVj-0s;bE3ZWEiY}r+&j{@pP>S znSQ!iQIuA{cN;|A3F6)9vpR(Rc~u%K|H0@tcUY7gD_ETF5KOvh>G8X^-oX>yhyFXk z$zjh?0uwI<1X!@(r5(RZd2yPj;Ep&l3+pK#gN^4|)Fvp*$@PL8E+v6Mu@7{MRFjy*Thl=GeEvDg$a9%f%hMjb2f8q^kUE0iK4xOTebg{z9 z1bcP)tnAkGp&`iMEo;6DVl9Cw6bXAxf17Kw?^A;^HEDqb{pSXD>R>zP_Q$Ezek-Ws z24eSDTx;*T_6Aard9>x86cYQ3&EUa!VEt=T%YXP00}%^59kfA?CTANRbR=PG_S%z@ zNA@pa9Ra7JQy4`C*#bNPvpPZn2A^H70_qXGi<74YEqTNSdGYqla3It-TekSoSE?b? z6C_W<$Cy7tRi(3UdvGaEAoqmW^394Kn-)zK{77AJ6#WyE>y)AON5cFh#cRv}R5LS2 zTe`}?Y~p@gKpHbkj>@WY+*#>YvyjNms+_Ejxx!Yz4d z#dE%bbbnsbwSQq9-qKE*xicz9Ik!Kx0mh%Oi%W7F;Tp6^H4_V{3aXbrC7*~2$8k4H z7Q^TA*PBF8VZ9|z(5K|g;bN$SDAE+q@~@=! z{w2~W;4m)HZu`W0D*kvRsw~FKH$@M&B!=fbu{5k2Hl6Xp? z{ott&ka9j1>Ck9mn`Y4wDbJqF)cN-&=4*z#U*lj(FmLkULS#^XZ6ev$gOsm@O~9Yg zQIRYJ^*4hnm8Gp2V=Xx#6vf$l@d;I)waCX+XgXgy*&eqYWp~jD2#vBT<+SxKdm91# zKPmCvb+nY2_Qqyi7!ANW${2+n#7zp3m9h?eqtjL2T8GyEU5oSD#ld;)4h2Qv6#ZVb z*leJA7gh7H1ax#BzuR@t``aLtD1Tj=bui}>CWLa>%b}rBm?AP2{p_mNU4FdUpO{{_ z^L4(en;Th@y|Cg9+>QUZe|En-@geY3cImgrqHj6#bc99kScf|!(J+d~P@h~F#OZM! zFK?n6YL}Ew4IKS2I|#`BeaDyTQJ~s+`SyT!^=2@AZdDF4O3G9iMER`zRnk0@c;Q4_ zMO#Q`RcZu_4K599|J76faMP(CTev1y)-wnoQtCLX&)CB}GSYwu2tl}!@N6pTQH0Qx z-l`KjsQfHE@KwxQh!=XqnrX#fy-v%5oo`ylSB}R$L$Xzp{wB(I^0Z|qpM)BisM;4;^sh_i!->HOUYC>EAYk>f3Z ze5kC~crvof4?4{D_B0~98|+LnEEYE=UlhTIirxC6+(t*wHD_hw+``yCc7&I%B#UjEgeR;{4GSM{PXF_e zH}3($f&9IQZ-oS%cg$hAcJannNZ;&@y0(qF#{3>531svuaC!UVM%M(nCjVOS3z7hl zAHCkpVtYg*n}RZKPfv7~!rmT494dPciIN&7cBQM%rN6gS*bii+i@QGBbp4k;q4s8` zQ&D%J?Z5mB)!W~(2_LcPtS_*6L%lnrAe*zSEa;>`*B_owo_&YHKj0(u)-Bff4|oCs z9d713GOq>hDx7Y>mN)u)cdB(G_cB(2wDCOSLxFe% zp%!cB*_AHz4Cw%3!lBbA-=<{P7M=y!sz~f(T|{@Ly$it61=f72vXIX zaVh@qO^@P+B4#74gy2#%DN}~KLNwM zx4S8ZNAD<~qpjiupSthU81PHw-)%B0`sO$cNYstr0Ig7V8g^z8zW+OPzi_yDI2>L2 zM^hk^FF=VB0O1XI>Nkxmi$_&A{(vn!2n`;@AY3shDA?Omi}~k{|2__b>S?!UdEkW*2R-0b&Q#)|f!S-z)k#Wdg8u-?%uCMJw9 zo7V3Y<~Q@_wbyA>Q~C!o=+(zlI5fP4D2bRSh;Twxb%TjaD>F;ruDH zN=z+G^ejfxlUhS#4@0Xw>UOmiZVtE00-(kVbJ!l z%*1b)3Jolbp4M1IP2s^Z!{KfJvn9pTP_5azH1Y>HNZe?Ix@T_G<#xC9tbg@<*ZzHa zG{Suj`8+Q%smSLVFDQhd0O&j*je3F54WwBpk}?$eDzq-H+xO497;r!%NI>YEJ)0Nj z`C(#RKd0-f%c0McNN8Q_#4T;8#pP{Ica23CoQgV=e>1kH3gb19_y9%u^}U|JW;1P? z=^ca!aCEH)(e790s;k>%^&tOKyPZ#Vtsnv|#(vTB<96~SETnWRNSe_%wv0)?KMO1R z(|%Fbzas{Cl{{gF13=q2L8ls;>?z8#bCV56JT#)o-&kH0Z4?5rz$v#cHnx_x9^Q&& z1snqb3}H4oQt9eM!_m_pm_A31uy4NsC-R;!jDTX)uXZJLQ&CqvWQ~EI8f@v&F_{Q? z*~zjyM(I?|@bwj~3P3M_H~n~-^`VvSG?AW7m3Gn)HAv_2;qIG;Y2t>k``1SLN0PVj zEoHH-VxjrC-qG5#F7RwIha9u91ka~!yc*K0B}LG&+vNwk3Fp|O zL?So**EEllbOKM^w8VKb=aeH3t)IbL%#=h3&Q?ffMD0ym9jhTY)L#l5%c)oELok6> z^63DGBK-5!$Y?0Z(IVaN{QW6tEH~xhO}=avphNFwI)2@NH0E&ahab-P|Hyfw^eh!Z z!rKEg^^E!w^XupH(CJJu%EaU@;zKz2Uf?HuL6NT|e6l;sj5tzZnQlcNAcKmxSolFL zDXuQegpHcAA?^eyGr=*c74#4vw?P_HJy#1#=p03b5o*Is4D?@?A{f=MWq_+JZGVWc zh`8jUMq=){FgBb$$wI;0)B| z%~Jb2p_ju!_d&rfOgAwPT@mQPJrcNV=zw!CNoiy3ur6MUyX(1EWeRx(4{UFRa7iWB zv;udX%aPVr48VdduW7=KiEa1UISaVxHHo0nA88b~!-Y%^7aMxi%biI;hS)6xaBkCo zS4)wiZ;zn!_HULtuSFSGy54;A*MHnjv@x3M{dR~6a`Az+?n(`IU)D^PU!0Iv4@|0Y z*W$|~v{8J0NlSGsgWe(A+_(NUpM{@)#AYm|FEc>s(xuRg6BWw*tX>r_8;ok5b}j$Q zY-|4IjuB+LVva#XKt$b!u zua})CDU%JL4iatUn$VRxAPhDN)^9~@KlkDk#KaW?=AN&G0t(A2d{h^#yoZn6uYXQX zbo|aBvKw*;-7coH9JvstT?*4)jV7tBt(v?DCmkL&9GLE=`JW(G(iAcn zo?vId_{jOnAj&g`Y`*^>n;esnx+B120trRG#noWt$yut)BZS5_PedDXAevoL+1sBZ zm{1mQ=Ng-&T_Rf?(YbwCDM<55I{usAz^ZoiJrZ@jdCiB`qg<-t$l&~Uc$$il*LdynS2073R+e=e{BF@AiR$f zkY6BJh)ELGRcJ(xrl{ZT==`*XJSP7e{VXw*}6rZv>$p+s;qh{Q3BL8WDta+v**6__NZ~nYh=(v5B z_<7z#=9komETi~pbgV}Om=xu^9TIQ&>;rk~DM#D5^poufe%%oubj>_-VtH{bC7S=< z&v@hXk%ycFJ0NnFqbiKGkd9)n)AKAc*RCZ5hlL<1Gx`JM0FO?WWxq_`lum<61W9$Z z9Q5T}cZl?Vsk+I<$6##-<285De!HK-i~}MIJjhCy`il5rYgt@y@5W$U<_1U1ZRiUQ zYi^EGI!{38Hi~I~lAxy;<}3+**~8e8zB}fO_(1>09YKRpfzqWEJVN35_DQ#%Mhj~SMDDX`?v6iP`kH6xAcw21m2q@6rWMK z8oo_oTjk0?SMWudSn6)f*jrDP)IBf*wICR9L+`s+j;u~}pC^by+!7T)&4fcY>23() zxT^l(62e)wmk}57`4mTaVgt?{<^GsYQomXm4+`eWMad8XmQnfa@9)De#CPKl`IvLA zl?1$vPV~GjWv;|?ncqNmVgW&VLaWj>uyQt(u&JY6mbS&tT8k?A%S3t1{A$=cekFKI zYBh>_vq(4*lpWGg*vZ9i5qn#TD@s4>zPyk_p^wB+!0D^=7d2;F%QEyXtb-H_qMxc& z?(e8a2YNo##3<-4U@;Z8DQD;Dm0q^cWnwuO2cE@dvT7Byn(`@?xL;Q?cLg+iLI=H{EWw*d;gtx;yRiQEQZdD z(M-Je)WTkcK8X;}Elf9r{1}(`C|QKEONpwC1CWaTyzjdY18ijNX3he1*ueL7EkGl% zFrol=Pi9S5J5bNb$#y$G2?cv*Nt!vRk(FgGgQ1P2hnx*sp*9RAo5kXkAH3WL}sOEh#tkp4lXUTSuJ zw~jO;p2>MnV<5s=y}0+}8LHt-mg6Kxg;~Zj_>jgo6K%~m<%8d;KELm7X`5bIw~>Ifjrbhe>Ui@QD*5&Xc9g@# zD_sJ--z^?ndcL$@&;CQx zXp`H$Q%@THLu;ipo*)^=e}~JY-LHVo{R&ktIy=*8`{1X3v>Kn1h9B=6UD-517`{U# z3&euLdL~hwzt5r$`qS1Dj2r^hlKuAyBZjKrPYcDAK=VSoqs;R8ICtkjSK4q4+4DqJ zwh1GnEP0S#tXk;xrjd{$5YWADr|nYcSdMb1Q0zQP}C+ACU#N3T zT~|c8u@tQIPXMfyZVU7yJ$H4-tX^cd`<06>o8qH(A4_F z!m04&`sphXoe&BB#I`q(P(1uXnZVr~N))v=s7_c=sYAnLI5oD-u70+>C~DXakIfQx zbt+81xGkMPXobVqPh!tToUQ!rkJPLe=?YpOZ5xp}h7w7O@)>|I;8G@gBx@jXZ?1KC;)w1P;_tj%@n77s7Mp=k6#lPE9dx^+61XvcldUjH)2Ylm&7!puzYj*9*6Zip^6Uk&j~JN>|C4DctOYM z;~yS2FF;@;Dhc7J3icp2V$=-NabY-8G!Ed^FuxGKkB4Gsu1MVSUS2TV>E-7uoV?GK zi!R9U7XJ@iQzbH;*#-Lg;111ST)9E5wi4m{4uIu*B+5WyFUBg}o%z9zz9!BW-MIz1 zP4k^f`2=~1s(nkHpTO|{AYw7k|1((4c)ywX9=>la%^y%}Ns4oEWoq*4Kgo7OM@*ar zNPxyxo%IG@4O{azq%&3eXT(3(57(deJIi989B1b$D+Ux`;*XjEzzjdKsmzSCauOKLzRC4&0~?jch{;a?AO+~q$Vi?QJpIJOQg)ZNXeQS+ z{?iYroIsv4l{hV;pDCTXPVUt`bpvblF?HN6VR&tMa{{hlZ8vVPP&LUBNdgugP?3b?-7)9$+HKhB2bry zvSehZmzX}CfDgM~B}UA5SWtq=mZ~5XL<_(#SGKnQQM36y%#oTpDt7(#x%8I1dp!V8 zprXE~#mu#o#3yFo@tl^stCYL@5KFf7WWxC96pC6)iaGfW(2k=gsmW$|HmmkgyrJhx zwO3w(Y}bIXS3ZO1q`~~=D;ig7?q;tMvkaxkwU%sJ?LiF6sipQQa_tq(B+8raf-mZd z$iP7HmS@%K((frqU%<6!fTg*7+C7C|JfZouwU$g%MLpgFu0PQC+JWj%OV6*ufLaq5 zWW&slPPQ`=%r)kRJF~ zzcsz)LQd<~Jy)0&Wd>|mY#ocC@_2~RU#lkpRhKu_qRK_m)IlGxfzpZdOSxI(XqgY} z-wV=?_N%1|DscHe#zY!a*=Pwe)Y&DrcxPN;{WV~eZk9yAc)ZAAa$JF9oE2qfs zX58S{oq>*}LV8PGd$gV`PLQCpRucZLZtsOX#k&xyWkZmBDP8se01&NtJ4zgvN~m^f!73ZFhm(q zBu>Dl^B9Q{|0pvq*De`Nzgu|jivOjEe)s@;8j%RpeK)O;3zw6-BZ`g&W>bjnK8Wma z^OWb@J__{@27)5IuX!iCib|UwxhEy8i=JoKZRi%?4YypE0v!v*-GpQfxEJr(jTtjz zy|i~`7FB&8c66r>7J!1GCo&7dfYy=^Q2%{v(ex}c6V(}#f^PqZ))M?B7bl-Mf|}J2 zm`I5=(SS^&E%I~B8Nl0>k64e|bb{LR1l*~rsNITTzmc`yU}~h7H0r3?L0^9RK3?bD zr;7mOuRo(=bu>mvs|?(IuE*S{+;fvXZH-?014TUSeg0qSF{d%)c;*>RMu$C^e6+Y{ zvhbf-VUSkRYAc-Qs+c#`ukT%03iOF^s2*Lp_nP3m7A$AM>TQYHVm|!)->z?$5O3>E zt1WL(CH>QipqT(Ml3@K^tHMXF_iP91jOAKwT80KW^=w!33j;W9TM(0Mzlw8)iL%4O zpU8r41hZ9!doAwAf}X+GoxV|Q^8^Zdo0W*ExIx3Ey|YxQ_@VcPd^Zk|8uK5Hrwl>g z<>DfJeteFfxNw)dDIgYOSamtB8-Q0Hg)NlP@RJDug1Bk7B7|?->A<+~w=2$@zC6+v z5*BYLq%=kIetF}>l|k#NERx`MA9Cl|+i?hb;q4I8K(`S27sKb(W-mgvU7LwZYwk2& z*FwJ=Yb%fe9%eK{k-wp4GK1v*bN;7&vn{#e@l-v@%`mJ3b@`d@E!RTlED$a@{0@%J zGpc(j6WYZDCrTE_#?ZrwJ5dW;`+(nB%ohpn9dZzhRQA>4L`K%4P1n>O+nFk@*r4=J zn@Zo<8+6m@$YgZYzahSK^o$=HXC;}m-BU7)H-zG3(|&=UI~NQ-?Y*HxKo85x=XCD& z=?)4JVNrpl6)sLxR{qiO0}|4S?&#=iTfIaQi0Yoj+A!r_Lv22UEWDwu1~8>#P~;U} zRy%m&tnKCTiXgbc~n<>IgmPptd*x1b=N}lVj{n z!-fmt5##WbYiNbn>mh$nGb?|&YJSiNi}sq#h5fGtkPYO^-fXkhy#m*p0Jn^HfbFK= zxXv&3JD_@aXHBa~1}NVp*{05O?u6|C{8_tr3K3XFZ&EbJ!S$xChBW-$>b&}R_jyKI zTyc<=*JX=5X;Dm-IrJw|%dT?{@t!9sz(9H1EO`Az-?iTZqgpT_D8_0>;sz3dq6KKt z01C*P7k%fhPSG{m*M2hkaoDsyCDqRy4&Ob9yxgo)#Uy8Y^nPu{7SCnz^i#Ff6gPcR zAlqM5ZR%gg!52$04<_7Glyvh9pm@F~1J$&zX)Ntm zF;Qir^aA2gS1*F$Y1*gIwIn<`h@HQoZi{<2a{2e}uj8Ud&D`rFIpv0m4fn(Xs29u3 zCy>X({G~>3$1k=Ec+c+v_F4I^;@s90XzieNnrK-~cSJA0TE}e=s;GMr*5z9MUaSyE zLF<>M_q$w|?=rnKPC4`7HP_JoGOTz_TO^?!&?5W*U%J$L!eC2!u|evs*c*G80Xl5H z=KO_0N4?&Q6o^*C*A~iI7)-Odxb->cyCSnA3yt2-)@qAr44yTM)yi{3VqsB%t(~_|l*D89a5Mmy<%FC&$6wv36Pm?<3Nx0eJsk&v@uqdYYgA z6MljRflvVGc(hO0O8X{tue+ycjkIspkHnbH)a2$X`+EagM4RMX7y2ed(}ggf>G@q7 z1p_|+nC`17elSlUUOzOyamgU=celfPv%2`%Zp05YRgof(?J_`0BGtc^Z^4QpdP^HX zxRxKB>Br!-31pp46bX8qjDO%(% zR}&l!W~WZvS%$v;w$zIm2RNXDil>FkR37nu^3RV9kHMisi3K%mjE6OQ=9=$#qenl) z2NF!c>-qzJFaEb%7rMbn(vx|8&m-g|p*Chsk4;*w{Rzcuq_PtR=6<`Vah!Gwhp#IC zpAd6Wbd7<+xC=9jPy$g#)N6SL-b%2`qV)-jhm4=E7`la_McBN1jxGZfK7ff^ zJw=t#l8cX@%-Mo>Ys-^`%uZe8gg4TQ<<>;^|~N;5p` z^ZkAzcS$2k78g?6OlZspnOf%sUig#+0Zw51(H)_Dhh=pl%2_MG;ETKu!}X4_PNuzIM@D~R{JXg4`uOHUt?yp4duSKt0;3D>m_SGHmT)u9)Q?prj#;@D&0rr4^N6pE75 zop;(2c*Jd@Gc1w&Y%)K%e_*Ndt7vBv&WJ0r=Y7|zl->)h?C8D62R`7x22mdiKB?_Y zHSJ4(T1lWUKYok)HRW!B&mgD;Z^)R{&T*|w)N~Dw)DF3?=t62XWMHIMgtyul#uCSmAA0Gxx4)i?E+PzEpvFMGTgSLjAsv9D zSd8rb0-1`0^GI4>Cz*iTbegyU4X{Qw)dJ#$KlFbjT>#lcIu{O@@nh)%FEz%^9^__w zM~VL!STeTV)#l39cK-c#m!M^JqOCDG2Dd8+*# zY|r?~A&j+x!+s3IJ?+<6oc2Kli)p0*D%5SUIuYy|NXG?al_qxm!kymo6dLwp(crL6 z1dz(QK%)&XKghm z)-lTH7XTj7pjXc?HC7@^IR{6H!O;ih;EX~NjC`#X8OqKWOAtT$xaMPasV*}VLVo4Z zVm!-lyBa@)^SmFF0kU?8bY~Tt2~Z{+_HzzV@K@AR4^d;ZUwaFueW4-#vuLoC@V9ZR z%HLc(d;_a1VV9r##8WJP!K|I5!6KG|_kt#z5iVtEQ`4#os@id2x!S*&_BM_#u!NS{;L9PlePUzY` zIFXkFK@pZ3I8SMt_`)E7Z}AsKOiG=&Ezv`aouQ|BVf$SP{&+i8+@y$PKv4E)h(8M+ zRpvD0{%%>S#z%NB^da7df!>t%F2D`ckR%=HPGX4CDGwOB~|gk1$qMXyAitL80MJ1kf=c|%v)DG+@*@Su&E(q7nwgSJws3Az~swtrcn=7p>||; zwbcILH{h0;G^MbJf$8NXw*2--#VI9C|G~+Rw(~=8-_p(GU<0+-{@H^LmA@!WQGQb< zhPWoef9c;SIu3d~YnXKEzxkVF0##ExgAy04M~Wq182s{~sYi#%RW*I9pIz9!U&z49 z?`>J14eb2A*j8BIwLX=D5%rAU^1=3BN#>S#$kt8JvuC8$NGc|Q+7&mRmZ5S3uu_$Z z%6Oeu`e;h7iU}a0LTC!&N^N_LRhpZ(GQg9djk$20jqD`J^HX*f*pvL1xX&o22ENA_ zW~Y1d3+!9%CT<4vF8cXMy(nUXyn27mbB{}H{O8Q%%aIz@3nJk@4pLPQuZj>9Yunq2nf6l5)Z3OrY7_9ZZ zVz%T@F4GS3vrfO%(IO&|WIiXp8_DC;2+Q#K0nXEWbdSE@Hp?_@g+v-k%j{mw#MCtY z{hcJ99KL0T@myPNYIY}5=1|l6@l2R=;kQym;_>W(y8xL|y1d5R;ILOCZ!B?qgIpB+ zEphziaM5{5($t>OZ@#n+_8bO#mc6*VbX>8pBol#GiJce+AHb|B?^u)MQvd`7&fRMN zjDG7Xa*38V1>m;U&vUx!c5v=l0IA7~An$zT@%v8Vrw-gUduyFoMMCM=twA@k(huHL zPP*SH36atC=>_V-qsIfPUvZ}pR0Pb zrz>vHS=Myvhqmli+}&Enx%!7cfEAx!MIq->oFmyaHc8GXn{a0jG;yHE>6QlY7iR`Z zmX*rd5-i{uT)MV2z7^~sj<9g8quW?~T<~%gi9x6k!j;edlYUyMGa_+n*v~PEns6p_ zTJ3#pQNx-&;61!K2vRphP?@|kxbX4ToKd0;kv5A-484or~%Co z$0L#fu*t{(Y{s_oP7c~5d<6+1%LN=EE-+5syDck#|X2Y|JLTqouDk^GRZ8>~bm8 zOBR37FSp&7($}%W&Zam?!_LIYOrwS<(`*Oe^7*r+WkE);!jPd;yvyjmTH>6ceWP`-5?fqY9`T# zj3@o%&P?tW#yukn9kbbcRJ^7r1yO#HzkZ7y&jPMKlI*SLKj|eb9zBD2(YQ^W)LIRfNF5$Zn?mAjBQ!DJ{wH^!sDEa zkSA=u-h)w}b?a-I^-4lGJG99>TH9x3SI1AQYTiS8 z(#=58>u)ViASj#(5GOWxu15zP)kfBL_`Z#=++hOTQnA$9^=N<$qub`P?Dpaw7F~?p z;c3uyV`-Ty$-1I24ga-%Ka%^z@i!&#+jH%;b@2$Qh3G|Hx}wgJ9IXRqMZixx(Z*-! zr>Tt2PyP12lTu-;kw5m?w3J(PKbq$c0QjTAKiXnX8LXU>^Hn8~9)Qx^6&8gpeR8LQCBkcxv zx|fpsWj@$K0$ILslccMZb&-RPKlF@`t&n2iXr=xFi^RFyU%dL|j;`NHM_sFk0Vac`SwlW&gK(TcQ zg9c(n*A{2T+KZ9P)$`;&zs(uDl#A16-V(!_O&{sVRnbq07WhG24~~^;jQ*Mn$=5Yz zf7enC>9TKa6=w+#L{(baC`L}dF{eqG!Q z9<{&$W8n)YqCWO@M<0D~VRc^tG=#JTyIN5K#li+CT1Zx=zPSe14D8hA#=0a(&xW=K zFD|DF7`ut&Vg1p0{M%+)-FQ~mYb z_`2Iqvq7!v@(ULMEoCDVm0+DAarhya_8HgP6j4)T?&KTuz%lbQ5SIeUx>0Du$8Ov^ zOj*i;`c}ZN8&8Y?@DK_IeIrj(feKfLU(#P96mZvcnw$~EF%qvt?95awuzID``D=~# zJ=ItGG+4oyOp%c)eJ3CZ9rzbQ34$WRcYC9W07zB};LIRKKXz%xe~ow)zXbY=1e8t> z0!ZJrCme<*5r(ZV*Ka-Z%k)Jig9c8ZOFXp@jeEpX5gbqiKQ2;>b@IHc(3^kVr{_%e zl}+gqv#ey?qcmD{4w!-w{pv`nSMF)fx_pLkMom-vSh%dnaa*#?%C?1m0Vvlc%O9aq zcHH7N!F-W9kzE=l#vv)Qnq2CjB4&HTv5ye_WGmzp|GZU(IFZ>5K*ffno*q1W>+UvP z>7CZ&w|&IHY*aXZ#dVHlN9Nrvt?XowR_USaS!?#`oRmwh9k5W8-9`7)$t!tu@lKz8 z`dDPvG<Gvw0c(KN9xNBHNbBV8FnnIFQ6vZr5y0! zXr^nLGP1`XkMlc=U~6ow5jz+Y#J>5?jx{{8BF9C0V!pgAVC@IxWF#rmFfTGXlVaRL zJx+|@)oJnM$IGP`Ic<;FFVOltxDAfz?p=r0wp`zxb50@x5*(=BsxOHBnuhLb9XKLd zq%dA`+LI}1i7^OmGZw)Yo?3e{WgrqMfS~{Ir*_;7D&TbrbfyM9b+#WSfULgN`#;|P zLxxNJ7?MT|>wd_QY1#7#rKf*dpBgIPGdk6wELmJqv`}YgzBrkeIQ{H`;CRmKl;bP6sxlY3jP|;^yvk7q`}BB z)asp-Qh*M(_r39#08>?My7$yRO6Z6>IkCkRhSKiWe;Ekk1`WUsSm*Wyt;zI1*~q$u zx7tSl+dKt#kqpWiqh*9#p9x=RG=wx_QZ$*KqHj`*W?@2Hc?k{fw2~`Ys&r*UnL`Hc zlbfrRCMS-oD!Dn2olBa#IAxYVZhMgTdqFCRu9I;3JuvQ*7I5PS#Y#A&D*T*=_{6nK ze5k%-a7Soq?WdALu6Wbex#Zcq(r;;YctjKzdz1DWbqPa?YsV#X%_7)hwUA%BJ8EUI ztd4XMWo|h|Nx-6qD!+P;>(X1k^Y7XBwa3PIg3Nf=W_^5pie~ea=9U@bzm59%mUH-) zzw<3$(Fvy!RWH6hWHOC0!LvnQ>!#e~FQEL!@*_Q+CXcbLy}@*AzMXaQbP=7%_x<=_ zd*ZIeD%&_&oz@L|`E;#=H)7hl{+2&#mqbyw&_u!7(Zy-6%i@T+4GtIW=kTs~!I(p-4+6Id`y)G`_4$X2q67titju;p znb~yt_4>qFi~V>|#a2~x+5&%=|HQbS7UypoHB_*b7~8rlj|~vX9YHFI>4J=unmV@M z0f9_UL|DLPlQO`6bGEDGO+Wl%hXDnt1uc>qsLyYGE)>zDT1_ zZX+dI6UR<750AC(QP`*!zN_Uj=GcK7&$Wu+>ft~d7K17tU`%($^32cta%Ls{&4G(0 zDFE_JJPhMI+q0XX$&!4&4jVL3Bd0bY_8#=$18Di+l(w6{&*9P5AJt6Yu6zeF%*Lpi zMYxxlU4+gWW9p%wYUCJo{d-vDFPcK!Uy;?wxZs`aUw!9y>88Art>f&ctaUk%XwNd2 zyA}wl;-~gr98iBQoAM}q_Lyx(&Y>2ZvYwZ3cbJ;a3K_F~r4DE3yo{4K*ObLBybA2( z2tJaA{ZV(tjz^lI{$NCU7x5(Rxj)}hyC-VHcwYvvKFB04N{aBQ)n#_%9MnF6EaEpJ zs~kf#l$_CphzPxBQdA(6@6Z3U&%S2xzKU0AfW0^S5R*SJKRE2qCP3Vl_KJYJfiykU zn^xxC{ab@KLPUQCXPuJ!U(+2Z4s{RO!L@U;6F@n-ykDK6SjTYq|IV)njbzAt7x^-W z3|}`{_9q!E`Ukw80j)&y*Jo`rotKDyMC5G|oJlmXQusT-o8zAT@;!#^x7Vte2F;@L zM`rc7Aj;dEhB7?;Juw@7qfqac5Afd%lO*QTPk}Lhs!vO9obB{t-A{%s8qxzt9U!-! z4T`R~?Ui-yCQdgI12MRHst}i-KZU6bCBDGn1F-gyelGu{A6Mzb_(qNqu8L|PxSyl` zvwJ?IOz`p^O8Vp}1IYA4$W*cZx@4c#MORM(Yf}*HBiXud9 zYOB4fR;)y+y;oCv#Aw9$o_>D6?{$6uk?WFkGEQFSdG60bBfTHFuW4huEN+$e>=vdQ+CahBAzNNuBUUc%WV^bb*-^Sx z&p2!Msgspmnu|Hv@eg@hzCh;JJtr*lIOC{k+cbpzyimqZ&>gxogn*{OWCH8@D>pY} z`>eBn^Un@xuVIm#!#b2&kl%8osz@40r&HKyI7>}@x z##|EApFf5Q1<(xuEmryHMi zouNL*!jq11Xn+&DaQHxWoB8-@N-&=Sl=y@ezRih6KqIC*bC^f~j#>Tn5mgLPb@*>H zW-Y0Ds4fT0rN}HO_U{XMqtxCtC~rtvewRz@3m1ys{K%QIl#dP?OUg!FPblz4dNq+G zql^!mE>6imCQ7S}+NoesEQZHYDc&Y)qAsU9ytc+-KOM~3Q~zKSl*GBJb*ZHvemD^> zIOG3~-?;yZNoBA43nzS?))o$XDlsR;U}$sptoDO~ENb1P%jy-RN_*p;+E+;nw9nf5 z#V@1s``OVeM||3=41ba)?sV@{DvXMTQg);6(lC4I{ksHc&hj*psNwhwn#oE+9NUq< zNEQKC^NHV&t25S#GZscS&@B68kFoyLB&1xLJ|Cm(gKD;!JB#N{dnZE_A z2r6z4`7u?abSoi;RrRY)qZsqMY5TubMsy~97w15Ujd^&mQ{~={=!%?iAdfLU8&Pp7 z_Onzt%9%dCeuo)AFgp;AJHp-DhO6a|M8<6=cX+J;+3(R@#@gw7AW#0# zxd@V2jg}EwErq79^=+LJ$4t{>d9AWbf^VIl2S2x!j=lV}b{cU{XzavL)%J3WFkve< z?}Fkv_Xe!_KOIxn>bX+ysbmzUsC`?A7&_pfaBWD`*z<0*E`pIbs&SYW z85lLN9l#v7>$6g*%zesnrBFftw;Yg;Fa0##n6Htgx$+nMGZUN+TQ8WHcPEJ}*oz2ua@-@q3@R=cFRuANb>hK?>eBKfUmZ?JDA!MQ<8YT3? zc5X}fG2=gk&#-U*G&T#`GPQ_IK8c?fo*mPv)>s}=F)2tcm@am$s$A1uej&(KNu&+$ zvd49t3DaoZY$;yny7lLr(eb?Z&8<<*2Zj`utEca=WtJ+{EbCZ9I>4cS4k~f{3lm93vrxFzyT4OXiA-DB)+M5gJPlpVn~rw8jMCZ9i|#JB z2mQ+1L{<%|B}_@J0skA$XCC$f>by3zPg^U$whC}<4dn@Vt#@5NnqvlhW?P*eG1hF zU!e#&x(Hv_zmNKEgHCZysuv5Bdv}=F{IY^a!FpHKvb6$s4M#O&c3xz+aWa%0Qg# zWmQrmywziWM6uRW@6YqGFXb&_?znu#P*Ld^sn0?6%|tz3^xGdt$GfsD4dVT$dK9uO zxgjd)(?MN1bFbOE8Z;~@%$w~t#g8Q)JO2mEPmf(|X^ceNeWCc)3vDYrU(KseAuu)f zS~0evvHyp&!n{_^m%h2*hctDqcLk>Q9l1n0m6t(7!3(b~EEb!oGB@BH(9Ppyqd)`` z0zdcJl5qNPy2+N}VAqp-=hDS9!a%MNxmac#SWRfZK~OaLpB0PH(!Wvi#4`Vo#BoSVbctF@O5~i_h$c!O@ke3a)7c!7*l>JuqADK?&>a{EIQNKk(t5v)|Meb)@9K(TWOPp`H16=Fm5B^8Ao zVQi>3A%=Mb_=8l;ePKWxl--v7l~t-ohO#6;X+`*k)LH2TdYBdUt zO(@Z35qwwLdWg1WIXU|F&Q?~FCPFR89bVvzLIPg%ysjHhZ|6gEMy0rW)Hq*KhTlFLS5s7;!t-k zG>_fn=D!_u-00L38q1~F3OM26YG*hG*yEr6vx(E%q$SDi+3DLn1`9lrO&!t-w8ttw zv%H$>Q?`MyL&;;iBxw8ez`w}B|7mTB~i;>M2fs+^^gNx|)%>FKx zG_iX)?b^>Bj~a4j%7hI3HID5U%iHJ>JgJ*EYDwpGlUxyWAfF5V@Gb@^*tLTZC3%j2 zKlhZ#iR`QF9~^(a$gb+GwEkiA{y-koA0Hz77gz4_(pMzd58l3Qgp$?pcDW=@OoUciSxkpK&l1 zG34_FHT3I`;`hXk*CTA&#CTBU{sML!K|i<;UU>*?$uyn|}Tp|uX-C4CEPr`|EsH0pLsO=IUH)e}axZzc*O`FB%` zL*$PF==vNB3OzZNbs_9K+{}|`!+=`w()3>dJS0h#cWF~6^SmmvCCv?E9Ai;1wD%>S zFN*5?i_nm*^8HOZw|>?Oi8%Rrk9<;7pcv+g)5`LG%5{*JVyj(mqCJUx$}7-cN&R4V z0#;^sg0=1W5V+>QF94v=?Rwc+% zB1A{nju8XSVr_wBrzemkZ_gZSesW4P`vjJu%%VQkT+ush_34brA&n$@4@f)#q2Q!l zQJ2wcmJg0@3@s6*`BYPSu~5zR>JNEYw7a)gYrd}j9y}crPD@Rh*OW zsrc4m4k{#49N!?$uDS+w0PFQol`2BDsdM8c)vJ*U0in~ibA5Tbg_P$Y0f)1eq;c|w zPr+nM_kp4Z9C&;6$)vxM_{V8W)xB=VII5vLFO&mt0nb7eYZS$EshLIo7+Toq*P|7Y zA;Bt1LV<*H*18h~kJ5yjB*&OB-++Lk$bHn>57A{J64WcRGRnOzQWb^W3WwG+;B{-z z;e!?^R<+!oF(mQCE_Ct>aq%#(A{D(x^dqkR)0G@YsLA@spC!_9WOnJdmZX4}It47B z3(AOp|B>E(U+Z6@e(__9xGm#+uO1+-Jus(w;$Hua{%AigvK(mjc~v?LAAUoQ|3)4U~g(d^2;4lCBC#)pVE`ZM|fEXkxJQ zTF`xkGcI!~RyboyMG@ZzYI3=dCnT~~7C=+up-1OnRwK^~N?f7)zT&?!QmyA|e^afy zB)O?*E@AsIIf9Z$rRa``3o}@no$^9yyTa*dMdhoKRDLh~CtK$$Rd3uz6nnK`Ct15b zD|+dnhnRjPG?vCl_j4$Ewii>f@l{&uJBE4<`)u5 zqte4~LK8`JmZMjan)G#W(h5sjbyM8tZU0%cNn=cDHt2e1(@l#5en_h^gtS>J!R2(R zN7~8qcCcgre*FBnEWsp|;zw3dwDcDQ><|Pxn;%%A>`{inT~cbh`$oelk;D_{UOYnw z`l@8+shj6Au489knNKpcg>p^(G4nhrcRIhsU_CjSo7#&v$mIe4OqygGQ)!;$L16Nj zc(eU4v+B4I5A*;Yik^-}PyB23T=ej)c!nKiJ|%mhlZH{83wX75sW+Z^o|?%Sa@Bgc zRXDH^7h0P83gSnG%+qy_2fq3$3kTljq8I2q(agSYe{;aF4>W=~!(^gLDLLUXnyMRD zN~bRQKY~t41g~n9`U+hCzcMTRrK2yVJ$qGao=!085PIV&%@&|Iv=|O`32wsXzeO}9 zH65DIEEqrl*#b9`Saxo&dLYNN6!yMUkhPqH6yr&_YE>{iNF`~7NvTjj?pr`H4_w4j zW2Wx^3-IC$;&>-zs1Kh43mvJ0Z(^S(>2W~*T!LFODsm}TKAREq$L>z<`mT}@6Je@3 zGnd>YgT6ZpoYPt>AO=>tA=TwKR&qgxZIO)4f~B*&t_IvgsGLaV&n2;*7dH0nM_>uU z4j-tj`ku?li3#nowO_l z)t1g;#5ZhYI4Y7Ih@RMePJk{?j(THco9%0AJO zcu?&-+by!e^**?qVjVE5$s)lmAKjkn?f;`T?UZz_KJhjQOsSdDpF=%_W3zeXeH&Kt zQJ)c^>>v#@Mw0Fj_w;Br6PLQ5xR`N$2`u6rY%l4FWaLSAm2dYD&aD*_tTvhW-(baw z5Y0wTZ|1;bUkg#TeDCsX) zwCv&0&O>LYfg5KMD%b{}$Fd5s^A)}!oK70Ht6J^i_;({!vm*`Frf4FtB4I&srOnU~ z$f_HzUfTVdTOXFmD#y;ZTM3d+++rmCVcl^+gW4kW_o``V^Rz;+x^kCK(`Yc!-}1F*bMZ>INegDX=dZ!U%APkv_6 zjcuBkqkTX2N6Gqz!7htYf`eY(6M zIhwVlFFO66*-aeA9~iMVVXHo6>(ng}I~Cnq`X{UpWyVqSP!O;jq^REy?frs{gI zoE;%}@n6FBuzF7yEe1?S+2nHUa>@R)mDD^wh!0SAw7psE6i=vYo=#E;&MKA35>MD} zJo7JQi)X&*fJ292O>(KWu5+!&gdvZ6H4bxY?| zvoV_Brq3{ZRi#g%KWZ<`)x^o1{FT;LMcBm(YyZx!+$H2%N9wMg;m6DG5MnRe5Rd}f z^Yzl_b?06z8T(PxI&XfJpL~^6bYWz*+}L`UrzZ;^>WMPg3maf>N$3r7DK+xi+F6Dh z;^2(FWRfU3T{Hw68{^10O4tw^&;2(a)>tUAwpQz>^Kr`Sy_kpMAR_^dBzIb7x$#ul z__rE9>g)UtUnnf2#izSAdMQni;nK3IZcE1I=qlQ%HgWIV8;oZ3BVa18`W&DPGM`;Z zT2Nocg0I>OE}Q}rsUe18XZG4fF-wa;BP8*21(Z3A8a)W;9ztiPu5*`3~dk*iUOr$M=HIW~v^SgFq_ zrQ&rNU3bv{u%cTMhSE!n)v)>HdcQM?dAeKRg`)J1NXYQ>{e%@Mxgifh)(Ibiab(t8 ze;FbrZ>n+{XTnFIzzORY(WLt4dKcJLvOU~J{ix1jD9)?YpUfD$I*8L51H>j&e zmT25%r3x~-X`^ZY$U;qb#RaRmZCk0J|mf9%$`2}$IK5Uf7^8E0h!wKkl!x88cp zQTJvQ6r^;lBhC)~X7KGdM;-ANHk5Pt`C1X>EoD`o*#PV(25sr*bC~wKTb{xV+*4|G zpq9`f1R!591_J_8dIePeL0_U4h9vp}67{LgUx3~)N2As+t&cs-6IEgyv-@diNyS8X ztLFag(zo}nzJ;#bE=(S-7-)!$ZvV6!zH|UZiGPyfv7l&73}-=s@>|)Cx)Y+sbvO{( zwR#<_?k=`OYa<1BqLQa8vt;U%v6@=im9N1@J@|`5Ukt8y_Ev{k^g_-qmbnf~YLZd< zrc5xcFgEXQwL1vs8&+DC1hH^_GHGB0R&97_Bg&*wf3udT822dcsWl}b&>3Qg=;7es zx$pCkT)MtNkAMss-ws_ZeO>H=*cKQ>#a}iVmpQ^8Tvd<%M~X7^Xrzc=A$?^#t;JN5 zrD2;xj}MY6Uztcbw{3BD0M2CFe3-uZK}B1b4ri(I3g1{G)z zWeR3KSo(S)k1xI_&s&>Oue!2wI{~)56_l^~?U2$=Lr{||d%NS$j+^5}@W&VSK^Ju< zJV+3sLc)$=9}kyTW4h*#S1_jp_SFpKMwj?32{j_bpJz>s-m~B1ziEdi=}ihGK_}UZ6cp>Hbtc<%9Wx zI5?TfFhKk@&7S@&)KcAqBatHnnzCC@S+rtphz{*rERFMLQaQ3ag9=60svBA77P-fo zyRxnJs!8ePh@RiwwJLqdu_AU%gYPqxH#$&w8su2_`P6&)6*@G>@I}CXVc1`vAHME_ zLlPZ-VobW`i>tAs`^$;S93_Rvs*njf7VXxez|}ovfpH%jMC$tuhIl5C2%5Z z2_@gNex|4E7GKD65SiECIdCSNO=rc7@qcPLn!Ubwp*xe{KpBg+YN&0XGfisvT~KXQ^Z zvuVBmuBLy5X`jrhpE+xLd@9ONhDc&yHM1Y1pL1Dea!vTfFh}8y_=Q$61!=~*lb+h` zapcwqKGxW!xl94}tomBBNEfm6ql0leN`Wt5awJnA z^CQM<^@XpdYN=aPw_U$^hXMCP*JEd5y=UpjQ{Lh;Z8rFuGRyiqTj8gP4L~$6K74-< zne~%u#ubcN7jp1U%d-4ZmZlNpo6N6Z6^*r&OpPvc8~!AsZ9 zk__*pra>_>tO}r(CkjRxYUxcK$hi714FpF9FroO~wCQ@tsi)s>)yJ%VqZhQ25-rF8 zvF6|QTnW%KIV*kW9kOzjGablN!6a7p1I={T4Le`R{?urtxDQv_QFS%?3CFZR*tf%< zX`QfJdx{>JPt>~>@}F=tfyv=}28y8W=W#%9wce;IVgAl)u2I4(xtJ_8r2L)x_g0QJ zBRR+MqljD4B*!liRL_(B?mTkSyYM2Qp6md!erWWr_EFvbH!H0is^&EhK0QG*5>9y& z#*!px*GXmsm1a|>oQl~%NAi^+pc^8muoq7wK^wHmm|GEgdf|=ags9u-@e8v0vl0>t zL2@xr1cF-UdPuAeq;AcnV5BPs9U}s+B(&&X_q10%D^${!yxjjfr*zF?O#diI^!KI9 zT07%p;5j?Io+s&=B8Jy@A`dK&H$=P8km!lcO&>wFQ|MR2bqi|N956F5j~gGU3HQmZ>Vs0j_MhV)JkKE zziij@zJT8;q@@;;?#f;3tm<}zfw}`~K|j1{C)4QCW+k^4zB#iiI^HP^{NovEbH?Tw ziwE=WCzi)iZI$B<7N#}FnSeP!*SQfk*0MivFOpqK`$7(dezdQ-RAudsa54Fv?4`2B z&wOjJavsnry8F}Tym`QvT8R1B0B`E)vLRilo4Z-ss+FHI%W}N3@VN--}PwwiZ>A>-C_1eG`3`SVo={YFJ0hqUnYzJ)43Xhp5BB$!>53Z7#8_b$6ls=UY(0 zi70+!F~WNn(J!X2FA^1R6CdBQ-)Jpdjk}&|eRkZ^x^0iC@1?jI zkh){#G&Pf}hEaJFC(NjJSrg&F@s(nVy5211jnhPPT;(Hmqw;L_ZjJj5=j~J9t_rVlX@~ zqiJy~*cP5sIyMM$RI7Z~c5<2LYZgxuI9K;<5c`aWX>Z8Jwo#7CT_t7eh!)4CV4`dE z^g4~TQOG49)FeHIvqkXekyW`s`pYSD!`k$!_1gy)-R~bKlWjmGXl=p6B?MmZJwQQ4 zx%#u}+9s~yjS<->K~0ftFC+{>sQ9? zxUpJxV%Wi%X2b57YFCxiX%Y|r1c}Z2Z#*MG=y^o)Rjoc>s+D59T)pmxt8ee`JSqjk zMmG-bB&B+*cS^vZ{aU_-)Ndtbxa>DouXu%|uP}-E-B*0?CZ^qJ;i&w)TrsQibDgav z64Xe!4O^JyKAzeu_?)f92qWvdw-BLJ)GDJ;6VUYA3D3sEJYOB>c3h>$KF`TFxgIwZ z>U_VUOM(Zw`KCn@1)?8giyHTvczaevDK>z!`yVAX=S{fP1Lt|*I+t3Aka+L4pSF2a zY#EJ(-aEengk%riz!^sT4KK0|SzG&e8DG|KlxU36A}$1A&cT$4o%{Dv5f9SfGTwNef5AP@YR zf^mN20xr<~*SN9!ZA*$Hjb{`JpmXnBrU=PE%YyVi>f+a1sld)=ps_^w$E&IFhh~bA z>Cc?w%?lbr79vCPq{a)|_Rl3<<-d~ZRP_Q@LfVKxgojWm$@GYv(O>yHZY~?zAL~c8 zi?T4+pEbRG?-IavOA72Iu8>-q0u&dsWlyUCu*LFNK7^Y|}QH1BMne7PsL zic2^~Ndow2-}|#EwR{v@1Gh6BdCT${c)n%Y!v%14#(C@ui}9V@0nx|E8h;UGU!e(G1ZomnVaZQcgC*Vwi* z0t${7>kVp@uG^+BT>V-6O1tQythXh(XW~&f(gGm(Wt-}z+(*qL&~wtl@pQ9f$svEw zZ*$8p7%%5^N{=qvl|JpyAf? zQDcMN7GYgv#;=1}o}ALVVxF}-1fPG_-vPe;uc;Mr{7#V-^u})z`u6R&nwM-zD_d!A zMulM9tLw{EQpG)UX8>^Bqu%23NM~XQJwDx7a)qjUx?o&&GOHWI-oELW=+uTGO~i^1 z#_s=JQ;d-m~|1 zQzp(ED+V|35%Ieq-|OKucadGrvzEsQcw5t?2fip?z*y@PGf*fM!r^WpkCD4?zJs4p z|0c+aCSYl*<3NCI?cVDG}cvzGj;Djf}t`Dw|rS< zF(?^xNE0!WdCu--AU^EG=KawpkjTF6swS{>>gnv}cj6!+y`}7Nb8-ka{4Fa@SKFMh zJGa{(N|eN+O=A{Uzmpq5&)a`H1*+UtjX9W-Zp=({Ah833i|E3+mwt5n`}%iRjguBcaV&he z<>$g4C}~h(V>^it2LUMWyZt-M!tFZ-EZ=gAo)Kwaf^I1s3Wlxs0!)`=M5!CYKR-m6 zTTPD^I12qR(6T%8snVhS2Fd@5JSw0=drpnv4ZHu-=@ur~4Vh%+Lf_OMrY%&+Bbd>U zS!7C4E8B0V`(fMDVD!}s^oFlNVt(bmRztCS;Dqz1cHafbMGFR)bPg4lwf7EAXszf% z$)Vs#a&cK3_br(#RoqB8qqz~?Y)NNY)H>BfhjBMb-EAPjpA=!6c?MwNw#G}2`Ww8w z-jQC_d+m^-z`w-D9}cc&qL|eRB(ulfp#&6O`(k=*B#zz?majj-s82cX>5QXu^|HVH9v1)!FEHR4};bao_>eL>TV?FX#>Qv=N%$DNu*Q)m5o z>4F|kyrSWr#!B~*r`>JPGTnXBM8Fr{UV2#ddjdK5flRODf+0!cmeMKBS?oCp zrGNU>9YRLd_(PVtcZexS`R9-Nib|9^QfTk$_Le@`03i+rANCsi`vgbFhE#x>n2p(Y zWSoFfaAiiSjBxcK3#5Zv)7J)Wd(^7-Zfu%I5mY&v>D$|e>tdJ!BxWuCzagP~!r!ZNLk)oR} zDO0%3j7j6Tb(o}%{k|CqDm6zs(MnH`Fb&BuY)D+Yp>c*Y&Uc8|3)g?i;nY)l)se=k znFPDmIm5w{i5ONy3m8*w?rX&KxsujB`>Mz|F}RCmTBB>JtJgh~`tq;{G?#2_D_7S) zO4yybLbDJ#oeY}^sp7$h5})jOLF3on#07GVf7+$a-=o|rpQSU>Wrs4|lgz2m;!5cn zUcbaR)v5G8bWi|Gd%oYLYY{2*tdyq@G+77SK1)`|W8aZP2To&pK}r4afnHtQf7=hzu?msO1rkZ>OI1 zidft%Lamy2ZZiJ#K}J_P2mUaaHFXA4R)wm7Hp<=Pyai7RjgNfO<=nDjs<^US$o23) z(cajezp%2i6XlAHpEulpIgV)zIm1OSq<8WH7Lxenk3l^8WiAhhGG_yS%?0Vk@P7B! zGRC6d@o;4$CLX?IM9JspXoGR{jjy(d8*QWVr)_F*o(E1|jJV0AyDNw+VE`~4G4RRXC$N89}$M*NPn z@Tx0<0OYY7UihKOXg%zQhfB|Y^cS>1F%bqoApP}P5~U-CiMQJqo^-9~-5-87-%jPv z5dZEnwh$ZFWf>S6;nUlS}Hi+^)I%bG|VlW zl$AL#Xgm-PUs@4$QJK}Y?~E8hacmc2U>GNag=Y2h^i%No`IY@vTSZK(JCKTG4HLg1 zH9jWtIr=4>KA#S9Dlyl1*oZzzLB$7k#!*q8y(iiqx~^~8Fc>D9*ToX`fV3J`^z8M?N#{43zoml!d@RGzID{ z$f=(Ba{$cR-n`MkvyF!gu_U?0QQTYX{`(WQqWdCgNbE;ZXf6zv&UMqm1{30*cMNc@ zLnqrJ0DneP63mBUeP(eFOBB-wB!EAQdltJYb!ec_X(j~-yIDKhn^$<|RD+hxQQjwl z6;cJ*#~}hicvc;feF5G4xxB7Z>w#BDYrdp)=4VNZWgF;%_de+eeE=Wff_}6!R@@>g zq}dfVUY~L4*syUGFp7M>=FR#qEeyx-EIdpZNZ3mvxJSSRL8bR*Iv&WZy8!A|h5iO` zbq}r5h9&3DJ|XFz8^W|b^2FnD3yi@jVA7uN*g>-zG>#M&Tc>QBn}YbXMwHD*!4ca} z<0~>9h}gT->y&ac+NX6IiWweb6e@BfJMy}bRWa)COFi%>b@zml=?tOHwjT?>Y@aIY zAVE#bcq!vp)7ASC{(-Mc(Tlj12W`?Q{OCLU*_?8)P(|L|k9 zUs zX(qzC50UJF)ST5F4TfC+>)~KKf4ke+He)YO(*)SNa3+qiZU&7k&!+kRUnUC8^Odr5 zn{>xs>aT+xMKW&l>p>ed4@h`)`VV+AZ20z)l@@lgcdgfloMp5w0CSR*=d@X5Zo3lP=$Zhjz z)$b;DmqcxDmW9{YJxZ+KPU)w^lrG|9`y zwycrs58cdBP!!#&FupN#Hp545|2G)oG-VE|O!6U)%hK2=73u$pn;{?H6;Dp3wM$-n z7kSoov$}jjQ($iq~qIJ`<{7I4TTTPNHn2wuh#*+edy=s_F7Uxh@o^9E5p}h zL3#q6XWb}CngwXJPCBE%dR-8Q5dSi{UMuW)*7)pUp%-AaMAxavSqf%c8y+LzLsRow zWtTx~_4+Z&90zK(dW(olJL0vV!xg!D@mHFLb!htZ?2*6DhxaZ?H>-;tg;;9ihT%mR z(#8zaFrZ@yVam%`c1HIry>Yx_*cw}r)cL#ovTvX~Vor3=DU49RBjor{WnTw={-m75 z1)->hDWLcwR_#9iBWjJuA#Q_b` zr3NULVTroCvvza^F)L@QvT6sq*WsdcuY@CTjtIwi4#@g#%-|)lqM-OB1YdK*pUl6E z9JfWxgbx#byFh-HeSV;IWB&K-Jr{M7lu1bLgu~ER3(D&|P^^1Y2@Wr2zk2Uyvek4X~h1y)%HPTh0g zCXO*?7&=?K0fnp#7xUyDx?YFeUR)=kaQ^H{-COWpq9PEVvjIJlQvllscc8?#2$)QI z1;)>TVke+y-tCcCatBBLC5(yRAT16y>IWAfI4j6?hQDTbS8ztP9ZbXg!$w|Je-I7# zMD+zHRq6#{bhoe(&C+}7&=Gpp0(vUs7_+S^vzx`aHAp=6Aumr8dR?uc;htk)8* zcvT|4>u(y~&vp6?v>!UxmBGjBoQvl#h4N#dwYt)I1!weU(CzgFx*S#@J82W~6iU2K zePkH&<7XNA6&!Z`3DEbZDX{WEbk&!(+!yxGO{o!43@qJta9D6gDX67UE$Tm(iBu%$ zoQL__9}dXm9WouYrrJt&a=-7HKi82^Za)9v1JdK+UVn{>cUwgnjjCpf9%`l?aepgb z0M;N`+Kr}F`I-7S@chb7&=cwM}5skD`Cnr35E}akb@v zL|Xfu+j^sa@Ow>-K^*uL^uY_2#{iBR=?=tcZp3{eb_Ty3DnaYwV$mFqwg|w?w5Psi zV@=K15RC9Bf_>CdHf*A(5}mip1fW#*MDxg+132!!iW}ZZqi9M>?7BfXKD%q-^LHV) z_vq$^Jz*v`H>D>I)`lN9{2AF93%y)zH$Pc5-cebbKt9-zW8#7OfI$9#uO{LsrIY;+ z5wl|S4^q2IS^6p~@x><&8+=37=`Un;-);OQq?t|9V7gOyjImQ{Q2FtoiQJt8Mm;D)tty{jLb1lKgk?{ zX6P@>hUCgaJnMCHU)8Z**{#l(c+=wDU1#6utp7G8N;QWPQ`>_1a7{eSEE3Dp?L_Ym z+1|~J>GYMKf01eS{BpZ!V23(v#gcH~BY@L9Ao@qmxE<1{fmc7DBLp)%mudmgf*J=N z2nJ}*=+P5y^K*`9n$iC<#PRP!m_-UR=6fq^UrspADXn^#-@hqm>v8$kAkI*-_-@CK zqK$fb%BJz48-Yy_U1;O&%(+>`faKgNLEU(JbMY@%kpsIEf?jc)v)?Y zPL9uk2HRS7Z_ms$d|ANEh0|pt|H8oXhjB0P&;gzf#rfDEvB1j?6@DVMI19y29G^03 z)7RTMBA@K*S=iifDz99HK36n~a{tRi5|f}A6Y2hVqY7y)Qza2+F?n`$(?s>4 zU%>&-KjWUHMs*`~7x)O9j92dcJo9%`gUR~o_H&C<)=?3#+ z6>&>JOncU(4hLjIB&JXJm-IQ^b`F!6*JDAQr81H@|(qtnI8= zFMF|>^PZSu@olaYfOyb_9;ZwjQH)VvXBO8{!GFb%6)T#y1G(|5^czvC{}9Yla7(M- z%;D%p6mbfV(=$ku)=K6;lAI_;p_OUeQ)1q(+dMdh=5UdB5uL-jh>IX%u?9l<7V3Y$ z;m2{WtYhf|Zeeu_ghj}Rf09*+^#9JV&^YhmU95dAA<~?o)&vM0F~)arv}xG?=a3ZU zrpHBi99<#)a_AuZWKQCD#wJhZ>|aWabM@!UL1Co8Moa%UXIRIMe;XRQi3(1^DW9&z zB!?*EswWN1NR0xijCC0i zzEKQ_FQvNi@6EJRs(uHk;HWb!P7etE>D{5dCabv{@M;*%2l*ZDDvq?ob@Kqb5& zZ}gQGl^cPT{jq97il)03KAH_Ppaz`@_!y~A0P{;8sGi#dWONF|!=Oh+Afb1lp(Cjc5HdwCm1zZ`TNsIci=_%XiD5;qP*s`)yQK`ksqfx$u z$IN=`V#htUxCd8i?`JAEn%j?yXe!hb0h{t=w?WHqeh*TG6%Y*WOHX1Jnz;R8&;n$k zJ4=+|?fHYI3`C}kS*A{ScrR5W6z>&Bp7y2C3~pAMCsBw8;eblUx!adXirKTr#6gyT;+yGzIJUzQYs19|1|#sgU`t7sLuLgRv^RwlfVb5KWuj+F|0H%Ehg9D@E3v@ z`#oIZ2u*ZYBKk_BMyzv~Je%`J3i77XMjj#`}iKUvEHdWia+Sq!&&hHO-5Dxs{I$4Us`T5LqTL(rM3G$MfOL2c1vK3oQqxB9G7__@r>(T-Vxj9#Elt9P@0Q^8memm|4R|f)XMe^H-I3&YSJ(z59Jp$`3=c4=)Z!ynHf2s)3k6}Exaa!I?p9*w36X{5sV8I_M*r9Qjk1n|V<*@eQo|>=-s#`wNBQKCA+i5` z96GLu5?FW|rzy4w6&E(-&3*1tDbzWj%ueK}#y=k_VW;Ai*)=Jw{`^<#Bkv5>&iI=l z_s<6}XsCsfdB&TLMT;tWEC&2#uhUnOa{kxq?D$e6A`G}*?gzpJGZd|YZ=k)r4$RoJ z6o$|+jnnsK-&Q9~DJsbXAK&UOdHuQ?jvXuuV(?eMnfMsFse z13D8N(Qh`D(j;TeevbFp6BaRSur@=}^;4iVj+KdfRd8Uy<<@(uW)*(WbD@RV>Ymzu zZQa&#=fm0Xyy~yj=w`nbA^FY$O?rkNL!QUExATXj?))1o`1fPHc<)WP>S66wEBQ0| zET83HSsJ{H^8y_fpAyJ;N%Z8Eu62?E856v+vjfRTZJOcQTKBf2&(`8^JxehQ8fj&j%&lx7V=5=qE-nzgw_?7tv&pjcO zbk`#Yo95{v5E}|5j!jeOt%${@pNxMCzf3M|7StE7dUl%R>O?PueiDF;v_-`X zJ9GhQSQaya+VxBy<%h5#Me~;EZCofZ zB@Sp4-7i9uHpn}#*}pgu07?t-4|9~@jgIKl$sP<-_f|evExsQOIshyHG_vrqPFL@U z65VzG=%@|NZnXn>2LWis+3ycf^&}KYc{pOy+Eq5Grq`;iO@WhV0=J6GA=ir93-a zCH|rpVuBUTGq>DLFfMZa>afL1eqe!{P+OAZ^SHaqhRi@m@qZ^?*j_d<9k-j0c&ys*Ck~iD3nP4h zQeQVan!Oub)o@oriarPU6el2dQsk(FydkPxz;Zw<*?aNL9=4YbjEYX-AG_G)_($4p z9dMwSDv}0-7P6eOmBHdCp!mq>a%HG5IT}dLAqa7$m-bgp;3u_m;8h~wh;B;;2gJB7 zr8)teFPvFIsfSNyrl)U z{Mt19s8Pxl(Pl5l2SAsaAv-_(6Om7sf5bQ;#^=Wl1j)ZY`W;@2T6$&yVCC5BsS0?W zrXc>L1&R_ru7B|uV;|UwD{-8J#=?{3q2AUCj6`3X@E{?W{jX&i+a#jgmRkBQnBr$z z?AdsOWg${WqI3P=K zutx9Uy;i1~H94~mC6o$>b57CIHF^Fs0`dLJ z(%~zb^Nxv-?(LqYpfX^X^2$15#6-MKvk$l(07)tzC3)PwcrK&MN}>#f6hAy5>r^{X``Mq%Q}h0Ofq|0k`2D2pUKCwMu~^=Jc9ptQZoEB7ymR!5=$Sf@J-+kdaO z7R7XY6f1!SIMmu9!lrd!9*eEDy5!YTv22v3>&)8~b`LnbzSg10;hrd|ir=A=6Ed}N zdM(u|=fJW}t;4u+?41e#A;efX!SO{6-0n$~@k{j2ds6hce~Df?w(;{FJ-S0;CDwN-hLnJt;VJnT}&mRm#jNl-#bzn4shQ;Of-!()+)dj1zyY4 zVSm2zLlrvtKEcahw(s7&$^B@*A4nKnD;zxH<0L-EK$>VAPwuUH-QMu7PEy^yLPGY% zv+z-tQJLrb`*BVlMa|R2sy>H1;EOA94$`;Qm_G)FNcr`GK4PGfzYAf#a(zIF3BNYK zlkHc*qpa=sW2Z|yNQ^A5jl$!L@XILdrt$_yxJ>Fi@fSwbqm$nV=wY(}G zT7pso8&4aE^(EDhp~q8z91Y|3mq|~HnzfRa6dlA$wg8XB{P44o3L@A~*M|o9K!_{< zf9rW{E2p#^qnUjKbtl;>Z3Wj=@w{i3_Y+?%9BROmh;X{g2A}*jU5*|aoX3ESS4zM8 zKe-9|Z14T0Gy<2BUDU-=yK)~yNQTF%w` zM5;N7jky);J)%#Y#Sa-=@Vjosp5|6Ew{GX)r~xN_TM|H5fxoW7c&ohLg6GkH&fXjqt1wZ$?zM+iyFygmZF31x2o3jd8sN*A`3mCkF4jx^E8}d@ zLFEvNTY?}#hXzqiX_xTik!I(XDO@Tp1&>mH+yE5}s|5#lbAbQRe|^YIn=adp#H4L7 zGEqEm>fepIU_iMh^Gz8;=9Pu>BLIeMoLJJ|x2x9|29%kcrvrKO-}Im17Ec^Dv#Fek z^pgSauQ$R`O4KPh{sQ9y6wiuS>>QWTj6ER&=%>H_KfLxH;RrC#68{IlS5JYTgb5u^ zJJ$-?n~{y<>ArsC6g0fkA0lXq{$#hH9u~j@kFgzTN=!a%z!^;XwrO-iOU?gBkhZHd zP6IKZWqv_}+!NQ8O#2;7kas)~G6JE-Y zh?_=7<*yF|2_fslM2dLvE3Codzry)65`GzQmGq}R`SPN_4$&5mPYFyr;8dClj2u{Z zB=|y?uG3BDwF~~MMo=b$y%KX%6mm+;sr?+>f&wP>q3~v2N^q>PKF=%z)%7V$%pVP# zicYOqZC>VA<2D(9%w;-|DpK!oHwz43?m0|{_PH(BaiI3?4tVTEjpT~udNHn>FZj(w zdfyqkRkx)2sqi5As_Qinn>GI0KSSQ}^^&@P!~iAo%yvU=2fOP}I|Rj@CJv-QhK3@O z+uibNVeU$4gT9J6c*Ti7KHX&IJfUuyai(OIj(XCJvJ;?7SJb_Yk$82`~TG3?&Z{972`8}suXivPgUhx>Ac_M zY2QBe?yjRyXI&s{)aX9)Uc{uY53t|;M}(EIm8E z7j=nO^CmVnuT!*d9WU0}Op6Xq1e%FTip6}sZBy9hRujpGo@-G?P<+PPoh<$JbY+EFo_=p)&C4$c2JDD&EgII!#?%pQ59Vh`-G9=t)OxJ(xrD6-@*c`x%C`K8Q>l7N zRJB#^!!{(t(9&O{>(Ony@t1|c1!Us>{Mpb;~T?$l%Hty;+YSKW&hjq8$0e_w4__wUh#m-!#xwq@$0Vb^j4-rPDQ)K-t5*3 z5i0(PS(abUgyT^g=|1ye^S&FwUr+pWf8cLu$#3Z^KOSP**tUi4w)HRua!omdglqg7 z9Y)1SiG4AqU!6{1UX$*QtJLd^q_okk2a7GQRxUp5@>_g+nZ0?m5bg$v7fwb;kK&E_ zpSB1#FGFO*=3)a zSiR%-d__MuvdX&u^qZSly|D-Wzbwao9B)=>2M+x8Rtqdd89usYijr`~hUl|BMe+5+} z@X<#hCp5u-V@td=iX{ka_KPvXu_{mWB2QK<9Y76ZfDV+9I-6tUEV9!j`ZmSsm!2mp zf&#x*L$xb&^RM{sr0WNn$0w^(s(7Q3Bm)nDExf}F^*oXTXirOftHeKP5XqVArQ$t; zblD|&OAk1?uzcrxr2L)Kt$*1>#^SL&RhM;Jug@7P*eXDoL4ETBV2#v;YMbC>^ugM0 zb5f1;$B*Z#xDR4Q_SyDXRU#LUn$p0M&tui}nG|V1|1L?Zd-*SWF2F)IL#X%d3(k@G z2=m}yU`6FBc?WgN4@k*s+Q4f0LAwnxfMdoNIwpV5u6M{cWLgfuhLZw*&(Gvc^KDU= zfAq#B0D3QR4g|bkCp5H%w1)c50oq7@Boo!JDSup5ugbA>NolJkDV zz?C~oVJYjmV)Pzy9I{A8%->0-6|K-AJ`}Ngk912mv{kL*{T$NoE@>mNj{b~2O*5R< z-mo&c1w<9e&aRz1InoZNHJ=*A8!A=9_Ou*Y=+<5fFymM6SgosdR$i?%JzSX}IbnKX zD*7b>1`&8oAl1yzxQ^5N^T1B6AQZ!xx@xKk>wgfZJwcZbAEtCWm+g9KYgu6Dh#uRqKh!6lWg|iavnFB zILR>=SQ5vKf5Awv6uG%i;6{OeHf=D1^B8kETeS^pU@PH{VBe5ODi@v<76ZZ>94 zPEvLJgPDO*oY4uH_g+VH?W0<83_MIyqwgtz-%!ZY2M9%I_?je&JQ4*;TZ|Ycl{YJ_ ziF?UNKJ7Q60yn;y>Y})QY}9DoO$_BIM_g0Lg}vxnn8s?IDK|g=Pj*Z<|HW!u;ewPh zc5&jzj|EatVLqrACjFG__U;$9_wHZPSH=&d_c;DY%nyhZ{l+fQ@ylT*NA(+^%E2KfA$yZY%ccO#7_KN->Rz>R#sNV zP2>lV;~zja94ngpL_y0Vc?-_nA_Ex#mw&!aU}dqQw!h4 zNlF+0{g6RwyHvl8=$wF<{2xx8LgWlBxl>7b)~N=T^c5BpBI;unZQx3R`k?1V#1Upb z7GsIU0%?N>#yG(b&d`ufe{eYKAgoYQ6)OH|csEUtQ{yt#_ID}1xn*Kd0Q*LteT<>_ zgnUx&wpQZ!+_Q%iIlHJy>Y(@#y6{+#{W{h*{Bl7NKoF*uo%2)+O5LLJf^Mz2x9rTJ( zqK+qBo063Y3xH}M3j)MwaiKqD@y-QyJTHl^=go}Yz6)G$d!pex+}Bpw;&*lw%SexE zI)l}R8`T5Hfs~^uJJY}4|59rHrm$>h^5;B^7)X<9kktut7lRFc)O~;nLtRw{W*t&_ zPuo~Ut^fR03T*TnH)z~^XIsp8Og%-~_B5QQ_kLBBe6TQOoc1Xe7_GkIu6v--TXq^U zczR@-bW*x|cECe&6GMHOQi?;Gpq_waj?T0f$f58=29j(N(IZ!X&yt>(7Ohoc&Sn$t zTk~hG4x;vOx=OTC1${mQuKY=_qwuH;aN%Zlf7NTm#tp-_?|F}dC+-yJdZvkA#im;G zAm1+dgr)HGIOGw+np$W);Z{?#7P_E;aD1+q;{-$L-$n$0F}S_Ka_87XC0Kg}pcbP9 zw`bIn9Q1>S*yCjiu7g@zr-}q6qJ8ZwD53BovA%7bfWc@nVgS!Ulur=Q@$|k2AP!uqmkzZNEKvy1+T5FmRQXzO!D7 z0gI622fa^%F>#hH$+Qpa;toJ_XztSt@+qwH8iVs0VTEfojU2jX*FmBj+MFY?G2SK}5F z{kFaN6FFP$BTeH@&i+`sm5oyTRpw)XbX*T)uD~;3MspdY`ki%#sFr4rm4kfANQvhp ziJ?5pI>efx&!-mUr)85LwyD?~X;v0EL{u}|PtdQ7s^m~fs6|$L!-;r-};lG68ZVi*q9jp3( zYb{<)UOg!I7=BWE)89q6@x%;QMFfFF2}{BHnx-!?=J;*09KosUPD~vr;RQPNLNl4t zqLB*2okwLw;N$PLVWP!QcV}6S&bmft7HD05N8_ub*d~NrrSjS57}qQzxG9ZcFp|Qm zMm|m3QDNvKRxwJLnL!+(@ukXtL^WsAHqsTWKfIbCx{Lv%LPOTE-~H=5&^Lv2jw<8Ji;v`NwJF>b65%Bn^@O2G)mh9<9t zZ!|;+GJKCk-2{lBuU_z6wO3DWap#b>f7+(+o~A{s|1!q1faSCaLaawHy>4BUmv!ho zU_2~=o8Wv$%R-pXEebIe{v@fBtrdW2|+U2pQ3F_LX# z0Oq2mhx1N^t>3lou@>h74?;Rr_6or>e%9g3aaRbpq83s};4P84lqoXD58xELAGgPc zYpO-v3_1=Qw5KY$p1x1ql+Mu}VjN5{dl##oql}TpdSBkf+%Z-unp7#>JO3Y9+V-71%iiHkiX?r35fv)%;7Pv;f#CRt; zesyN8*LWhV{azK(=$yxQS=LYVx2*q=J`eN|3?}^`e@wjiqn3~?KTji*@LeGEcNajJ z!0NV=1Lmlx9z=MU{?r?vvyu9yawt0Y5`zSBR?gwnd3NZ|XO64nz_a(!#n* zN2*HQ`904_HMWcErsK+c#th{^<%rp03U~}z>zf*y20+nOIRaZ{Zej@JJTvWA?Id*$G=Ny99+o zMW~EJiYS8n+}eqL93q+9zRedqH7v@|{O@CY4j6<;b+EJnWk=wk{TD6Ff&`G~ce z+8lNLXgj=CrgS^5IupvC+m_DC_(?GXD9NeY3P#1xt(*J)z!*iKjctd&#{xP z-D3ERplfDDq+s8KCT9~zOkb#1|1>+GPW>OxYE+^ZVJagUz6LOYVJ?5X# zY=58TZGvC-ID;L=scJK>0?~U&>{=3oFSOik!!B}nE~Zv{i3Tleyd_dvVCEy;saP=FKjtizqjZDu+cJ(vq~^{ojBi|M{+BtUV~4vft<)=$1$bjznu7bo?lRYTLb ztu-!5yk(Lz0v}b(RY!6%+Yzh=ZthyhREe(&9OJ|hSQ?0Z&DPv%8GWXvrJ*nV_W5c! z_&R#ouf*h{9;;F^$`wO8jt#$q>4Ig|sca>l?N&c;jrNL(40XJ5@|U<}K;jmAcOejvRMUs^e zr=Uw7uM{|8^(_~Q4@&(Uh3vQFf|RjKD~sGGDieY~Dh!3sm~60`tRJ*A)M-CsHSvEp z*Mz$^<_x1Z7qs7ZyI7oe|4y-hs;Fu{n>wzjLQ3?1tYVz2WK~`>Z;9ioU|>A9;MF^u z@?g-i%K;8_19R?jrH)^BBea*_t;_E`p%8KSccLLhE6tBtJY5~QQX->`s9oL$&n><| zP-?rl^MtW6=zIU-w{bOC*+PV`VT(IWV^gY6`LEa7#dCg) z_^^Z{s@Zp`L`$VqF-L$NFTvM+9E24YAz z@^*-Co%;*W8*43T3n*w|EdY;MoPVK`mB+Q(L}7y%yXyT6S?;4X=zHT2_saOXTrg*# z>lSPxlNLbBlL7z6K?pb$3R&3Ckfly1G*q((L)UlYOtq$gMv#;m8-jOwm4ve~%rQNp2Y`eQ z2!H+WM<-&AtPVBN1`pjjTmQ3RR7XPLVK&3?)$XKa-_1uDLUw8AelY+dbKt2#53xz~ z^PfxgD}q7LNq)o!!;lFcCV$rQD&8Aa2KY6b+sfp-i~=>>l?=F%e!> zYQhSad8&Ld@ibR{S6Tzbr`hD;SNQkJh@9Ee5?PtfnfAk~kT>s-d2m|Pfz`>z)?fj# z*4wyL27}Zx2_1Q}d>pLoFz|Qp_HMT~!~qPzvSBJULVNq~_i37oT-vm&+OshMhiBO< zc;N6u$&_=k$g$b9gHF7ug2slwMYabaK;rM+=ho2W@oP5k5wbM1XS^W?6ury&{LcH# zjf7B!XEt55UW8XViD835#`Ecow~SIob&I8f-hNS~p#@kmr87 zX1!h^Ut@K6u9RzAQ$nF@zxn;Obg=R8m<6`PY$yFPFJ2|Xw5I?-28K@CTlGv+a1?R= zk;!&qPEGkvgttU+|I<;t>$6iy)xJ5DeZz>7;xWkJ*TD$M*{CcxhrKkkm~_JP1uTQN*%?o z3fo&hi3!9i-uI1Y<^QhGHA-CA9Hm?-IY0%zrV3k=_oocz$JGNZjHOoEg!SA69WEW$ zPAL?=RO(3jYr{TIfz4!r+fm7gMMtA2R zcJQS;I}qRCc?#2JtMTI=S#a!leeRo!S)h{UVE?JiC60HunIOddX1rAqfgUN@5Hr{y zxCd#FzB0&O`^->^a$o*1;7{Dy739{ZU*#I~1`K?3A!CS6O(UZ(mG%dE4`&-i0p?hc z-|mK(l)^S|h$W6g6e0x&LRtnX_Bk~=Biw{Ej5IXyg2G4MZ&kN4!<>9yFC&x^(`2yBFo(@4ls6F8Y^ z6LG(SbzDg$xY?FL?jIxxv{FBD;p996NObbCZO44JVg9XySb30aTji~TuU)L(CW&%V zI)~p@hn>IyH^7(t8%#bFJ^mb&mu5t%*O!Qy%U3I)u4Cq|ycyG^NS|%yzRZ1&j$>U{ z%!Ir+cMzv9rpO*~vgo}t^e;L6EJ(i{Ku{2M5^&zI@n_5#H-|<~;D;tys>^jC@#t|9 zdygeH9$1eg^sl_U3w{J!#e;>dvy?BBr5hOoU?jBT#O zsX$-Qyn}tY*IxS=1*Cq+4#K9a^5J$LR)Z-FT-L+VlPO-o_ij<&baURU4-+1KHQcxeb0v`bjaH!jCW^(yXe%vz?k6ksH zNNqoT!)hcurWn6C$az45#{8JWT8RN*8pW(5nYpPDs%O zJ$x%jmKEf9MIbg|6AwVwLq${>ZCp81{@44?X^8Yk|7wz|U*+Ml!*C}>PQGVem*@nV znNfQ#)&{oS9e`grJ*;T;33r>x4Rx(NS<2}N;sIY}IK^~)^CvvKKGLBtMS&Lf-Zb%` z^aP0tjjdj#xcj7yFh7_oxP)D)iFkHvZ9KUw@`%FT;Ypn&)?O(COLF)fdtzHdo_#L- zMA@M#dJkG>tq6XkFasB*3Vk;%km9`^B2stF8zNEa zfQ9DCkt9c7*uX7%c^o96*DXU~6`asxi*7Hh_B-PtCP3u(|#gQ=EH}f9$<< z&hN%v>GgWI<(?I|*nLEg=OlBfdQ>J!c!k>+QXjE{6MQIIX2Q)eDs64UG|{9%sktqt zt$G=q%JCZ?p>%{zN#UP-RBB}7o+HP0*og^w;lk1;sn}1Th+j#lf3*EnvSyUCRs`Jrl1S}uo>Xregf(KPl z-s|3d{z4j`o_%CLH)?DI0j^5;T{e&0bozwb}DKxGt5HscKB-R$*< zvRXzK)O#zq#l}x)HhTxRiXQ%$K96yZdWOd75w_^SWr7walGN1Z>|&J8eYgDb#w?yZ z6}p(J_#`T|q!a=wPwYD+RewPwK}CKWf^)AtX!PQVNB8jtfu}WmXWHO48a$S`O}~C> zphnq!3sg>Y>;7awyi=kDvvI1FdCP`!wT4?*l{ugvq`VJsmQ2g;+%5O&W7u8jrQpyq zU66e|g03j&)lvQRjaQ>Fxj;CQK)a24Kr{PCS;nFMR%WeWvC=@{SxDp`0g?5p&d!4Ngi{wJCLzF&Nk+R^+7gKS`&A@3IH_KnrugS+{tt zn?qC6^?M`tvat=@LzkbZ-NG|MSin&h(;Ta4)l~^T=B3MpHGKwX*BAi!mjJ3eh z#rH~7U?W(4_s?4k4`T-IXgr{36gX0Rnj~JAFEl~HP9yY1WeIz|ip8!g-B25P%AtRs`I>Qr2uF?u_u~0(U*^IgvZ9=EZ)%^hW{oi$BoO;K z^Vp|P0!<tnCY~AyY8|2Wq3{dCLD1YQ_+xevMEvK zH!sw{W>U5KJ>H7b*iiNK!rH%J=Y7*0$WM&oE$-7&nT`7ON8Qsa&{0)7<=Pij>vZR1 zDdXQGkV=ztp_j~Wm1Ux2b>_bN6~)3=7I~0$r0ia*Bl(m+}u6?;wAZG4+93s?OpkN8s4VeAkZVT;_xm*k!-fc zlqVm_f=Gr{a$$q&0L_XzS?8m27b8VI6}=$9RWDpS8+)(Bg9HmFp?o=rs5f1=c$>l^ zgE>Y(&)O&$N9}3W`)BELNvod}=pf5022rkJ!Nybr9cf0xpF}1M+Rani=AX4ld?r#s z-Ux0BKt9LXaOB|?oKO>7eJDzPfdc*cR6P!*XE}(y&LwSiG!6Y5%beqa)5J3syLytZHo*&;QVNIi-q6Z6E>U%Mm*5fgv{KELS49^Ac?5xviO$5X;Uf(O*@F`*&Ah>f0~{y z^J4Eee`NqC%FAe$q)+-(wt3|1@|nCtjdzw@<(H_Voc`HTt5m%Q1fT=4-pl48XC!Ib zD8Rn3`nbN0DJ1pE=8!T9XE|3T+;Ht_tmHC8)rA10RwldVa>54Ktw_*3${{swUJr3H z;%GCvX;m%kq;fM*di{njtsUD~t#7a-LxbQ7$JVR4RdeqcIj+JJU@CK7ow1TY6Oh>& zLIBBnela3qQyH4&YRiQFlR(qqSn)8tgy?7tB+#Dk5z^Ikar3P1*dzAcDI1^`4QJCM!s4hSUR}@hahp_&%(axMHXY0c@$1cRDeGYC zIfgvSOFfx{C`$76N^*z5Z7@5N)XioLS<}Y=+0~UMrY}jCb?;!h_zlYSdg4h;eOEE? zs8Yf%M#_Zs+Kn<^gM}Y{4mwC0vRSDhY=mWRC6+(Nc}XS(HC{-is{u zN$Uh`ldr}gi4a-*@cbIetQZm(?CtJSI6R&>+%5Pe4=+@p8NwbnU}|o8eiSDY$+}v+ zvQ8Sald90MkT#}5kH%JA3BkBo@s8~A*h+bkv*9kWZd~2Kl-ftCft#Ij4bGO)Pm^Tg zruv=eK%W5Hiji%Em-ZdfE4UI-tJf-&o>z-DY$PAxQ+9ug#8L+8Y)NE#Lrv~2IJ?b9 zZcG;nA@}_i3_O0$YjyO6yE%^oq)MRknmqpUXDdA%#bZDk)YY#yF}$?I&xXA}1h>l3 z`N;8<(%8K6#vcNAiu9L-kT3)4+6T77#G`DxUcqci!daoSotUTuU7u{3f;n0+Ww&dh z2E`OcgQF^Mr`N$8Mptc7L3SfNf-xbc6ikg>>A~$E2 zj-(1XxgM<2^&bDtc8z~OXGn2!NGU`^bI*z8s40^9M5p8ULDcFE1y#S1 zF?*_i``^7f9grjy3O2|i=brzvq7%>S%g&EVWdT!LC_Bpgtw4Clp;W&}KW-lc!1cyi zt0C2y`sGnQ=LVhExZT6Y(Vq4<)iJHBB60q0Y#kq^z0yt!*iLz2Yi|8lZpwYcDF@=q zZX#OWGtN9^ej(gU)5qOkZ9q;ys8#~5H zS~MS3rw+osFYE_(u}pLFo$PUrE2x)v^RIR7s(Dfrv>EQq!8?*g7hQ94{4O_Qc$j%z zd}n6}CZrl%BK?>JVe}$P_RSNN64Z0~H)69n1*H9-1 z|I8^6*hB;e>G)7&p9Uj`In{vaui$EsK8H``#Xa>l=`zKY^D=_;5?i6YS*Bj~!ZHSC zkdP=N?;9hK3e!~$JeN&f>y)GqRHZxQ7*HDNnCE2j<{iZIPdO2()$ErhoDD9&rW8$c zX)M>4m6E1fzbMdO6Cn=WxPoa5Fokoq-SaTrqrsyJ0*4FE7WPRVOyM{ZRxpy#jna~y zreM1hN|mdx`Ehm>_416fnq^QG1Ux5#*|ZoS*NM!cn&cSVHgI}Q`GbHnT9^pWF6n+i zsxk0-uOmLkiw1}5q+WvyDD3Pc*lE5f-wl5VI7%P*P*9qhYCSEoSpfI4Zz7<&WHte( zk}&%}da$kj*hk8r3vE(b+`0PdtaWM7)pzwS>P+b)%!SKtX& zuMMv9%*RQ~#Z0iI{LiAjj-P!U`g{N9XRc!`0qt?joI}is*i(>uWh7(hOK;x4ifboKYl7Bivn;eu zKi$mzn>5JdbwD=SN<-*t%Y})OH$s|2s7eE6F>{agb#QGCr^BA60iKq>UqXpG2Y!cG zwH*1k6v6g@op71+`b;4pek`5!k<_PF=^h|o$`8VfTdvW#1~q8yzcWjreljiwi45)^ z0HK;F{L^Qj_j@YMm5mE9p;M4!&n6|afZ~%#aC=^Pin|}+DUAR5?XxRwfkcqb&Pt2|fzS zI+W|@?03v8Cr9&V_Q^C#OmOz|t31=QHc0~P1-JKNQ;1-|xcNT6+vofG1z}xQq+aPu z0rb>p{;=C3;9MjRR^bQT&iX_;?Deddy-I}^Xz2`}G>I?!$)}lt%9Rt)gAnn#zt0Wq zu~$F3rQ7DDZ`qx|xCE}}Q~<{U{QR3k`we$es#QzsJ}x^I%+KrV6)lh0=S&n!=cTyf zbZ7eZ-{1TWc{YsB1xxyoVgn)9VRMta;p$H z`AYY}l@)Iu5ZSMK^e=F^^t%>&rKEC%ZXf*K03sJpV$X>_z3|-m)6+E3E}eXBck@8a zj>wkr?cP+us%?!h!K%T_2gPhk^+P>B^{{7Lwa2r*qaUK>J3syD8+QG0QH+ z5Oo3pUiZx(D3J++6s8gmt=!2&fEX1U5I_EXj*Z+A5Ry*tK*(+{;0!!{PMPCFpALcU zgX3I(?CKA>Y%kh*smqjz^0RJI8fUrUKQS6|kATlhm!5b#WC1B)Q5D9MI{w=+z}fpbCieydPA2Dx=we&f&y=WTvKv3_5l1y} zfZI107|MuZgEG&DY1OHHOcgv&0jPl5K$OJ}J~d)#c{4GQyrd$ClD-Qs2#}E0Ml#@c zTbhY?xH36!o&RbJIS~$SjV+Lr0;`?x8-=V1$dE4SY!YLWuC|%Q}$NlyfYNht!QtO1V#E3XKk=Z4&)Z@;-gP z_H=OP^P9}#3=XRebq%BmZt1!ukxk3AxYxb+7H17zKj+wFlTB(fGf1Yyy|-oZg04fLTkCC zvJ>*~DOKFZ_uhd>AFf{Ce6ON}+jkrHx znJPguB6G~VwFdVkX*vg0sM<8=ABFZ|S4=z|<)0IBJR>O<9bG(Dta&~m)0`VE?Y+Ox z;#~Jbt}39SY#}W#Py+j}v%O(q6y113f@2`Wc2eZdU3YeC+QGw=k7H3Pu!*It(}w6% zo*Y3g4Rpcyt*g>&Wvcx6Hf$hdq`2Q=feDdnC1g3^+eb;|c=ejr=QAHbQcpK_qoMzJiO#urJ zec&&U{LvY9+0Mw;BDAygBLGyVU;H+Gk|>$rxf*xl8kfj!z%8!&>|4xoYA6hn@Mrv} zK>X8)o!({oD?Z*LB??bC!H3xpo;{+WV1~fnWlo(7b#IKfFVmH0mE#hoWN{a@?Sc+=UF>>L6_m!q(wZ8M1L`gePXB; zb4o(%Cc{CM-jUc5I?i(=9+d0gUhf?$go+qRT>dYwB^^6m2=f}Ki>C3xRwpEPZ zL4rEA%~{vQyP9Huzsn&E?>qd5j#vciF)$2&Vy=P zCXTu9PCDfJ>ZoYae%I+#T)z&ce+_RvAW+tHe|HOyX`qEgvYMBVa}ut0#kj_Bg?@2D zHZLuUhMc(cbK(>*hy#<*U~UYQ^{IjRXp}?~z*dLiceiW|uJry~Q@kM`p_c+Q#8ny? zIS^1!DHMgR7h0YYNSOqVULAZs-kA#)s!g)uQWtY5m)CiZ;0n0@1634rK+Gu|=nVGK z3NJ^0VfhE%k%<))PDy zXn-w2N85o|bL@*);OxH#em4+%((nZ8yHSiTl{wr^Xg2K6S&#ZU6U(@g$<$fOxK17H zw$3MH6;WK+i`iTHpiW;RPDezeE!b6r?j<_6<5DTT^oNiW&PJXMY3H6$#}z>*B2m>u>Y>$;gTkf?Uq!TgGHyV> z0tXec_AgUq)H-SU5`pL2S250hhB@AXO82C((I|8|p4FA;s`fNrCQ5+u*{< zSdWR#Y%v3#x-7Ry#PUJehGMWSI5R`j4x!!o1>oVYs_-HzyoThT1+vCBM`?6!s zFGTx&tNK$rGl26f3eu{_Z zAqSp4uN-nn_iG@DvZAYVF&Z(FuMF5xS-J?o4WAEiK}IQw>kcdMF>w~(C!F{sk^`5+ zobmyXnfw=l@UzGhIxAWKkC#e`alOr_>W2&&4F7@4;lKsf*>{FY{w1%~dpc|O#$ zhkV@zss$f4GM_Dz^n#ARg(~rb#QwXZlLC^eulC1}?@JvBn~*}6dxA^F5BCHlQ+A$O z)m%Kp8aFAreP&RJ$rJ7-gHpKgFJHU#F)T*+c#5uey+p~A-aJ+5U>Y*Sds9TxF0mKB!}nT* zm%5d|R~87HDpY>-HlYx3^GuIM2BnP2%Y?eELkD|^TG=mRfxzwOB{#}_0gBT}SVyvj zlCm|SzR%{_BK438sy2t(gCDJ?IE{Z`pvZB8+%Rqc1R3It3yi!cg4AK8VBlAl-*KCe z%$zP^^kr!&06pNlv@NeXYHlJ04>BgN!|0Tr zN+$ZP%N^%jB;K#6S>Yl38r`Z^@m*l_rg%w|Iz6+2;AL6(WmbIwDGgJcqBzH>nB6ml z@q4-PCih{-FZ?P{`x=}+w>wfL$!F5qPmb>}>}8jzPchdJ4ecAzA+!3@;d7D#rfP6< z+^1Bdv~8auvT{5Yqch`^d!L&Xx(z2#O|8`1J>Y1HoGP-AEBK%jpf%*#-6Dvjnaw9p zk*+v;C5@#=ze2x`=xe(xDYuduyo59N5Comvwh)zfAuiZaqc#FPK}$&UrRk_6NK*Fm zZ&1b8Spb)2>7v2|j}Vvqcb!e-PBg80mJl&B&$nNXdc!Na;Nst;9H*R0W8(d9dV&D+ zPq>;CO#9fW4~XtvJO)sFfvctN|1kEIQEfKiwnYlX3lx_W+CrgtaY;&{#i4kMyA*c| z0g4xgQi?kicPQ>I!5snwNYN17x#_p=y6c{Q=g*K#GI_(BXJ+>7XYZL629kqMV`-Bs z1GIW-$KpimssG)|t2Ko`(FMXD2^<(^!s5k!H@2n}=7}eh*s9^*^Djoc>@1n*I{8l_ z^q(jY|ERQ$Zaa{&n9KI{H-VPGJnj#%z406x>0W;Ohi5wp(;FINywk_F{)d{?&xp{l zw-nZ)D@@^~M--r|g;19lp-^fuC)#i^(O&JM1uCUA0_J*jQykl3&8iwU%eZN7a;R5( zberZ1cj?gAmVA?szdqkpw6>72@EZ3aX@4rcfkP2|xkA4^;?+sZd;mG65)!wW{r3^~ zMETzYHucFf_lN##k5&ZWcM@t)bfMAzUj@Q4_xq5~xkHxLH6&RbJ3&&Lb0qIfmdAcS z(j371(z=WXP3Q$86(iY$$P+%&T?SS1ZH-M}4w{Al=c zwa=jhWj7)Z9q3q;!#eqHURGs;9Ichb{X$mrRUW`fmmv-2VjUopL&#q(KTqc$=**723&-EXq3CuROKSIZXP;3i z+An>t(LbO;dFfAvR=RTD)?vj`bMqFHAxzlCNYMY!rbl)8nB)8T$RT1lhXoKPQ6uwA zQ7qK8D|E1*>{$(y6ypnD**lkjEe7#-^`r)<*jt z=-%nXfo%YroE7_OTj!#S%tKF+*-Un=>U|?m7rj~QKI$R~_1#&NTWgkWyxu(iDJh0o z*k|w$#ulw%zW44feL%R@+i#H}ZNF4vcozrj~VFh36jg%x|e_@Kk)>_g31&lqo zm;EYIM;!yrHD9$X+%O6$#~1m&xQkRRz*=28eCdEwb(ui;i6%&!H1Z*R6CoR)-B#7W zs$PzNMRCZ?~Q-7D(_$GP@NYTzcjh4H6AHB%~H_4Z&vF*%zKEv9!8{a<(G0N z5RoKk*0d!QH4&RM@{r( zYe?>=_YSXMrr?qv!t*4BUk4oY+9Xjj(?v&k#ze=NGjEBcgcENO<_Y3zJA3gD%QCcM zviB!Y4sMfE3Kq1_L_5#tY<51^ER*HlMWMh&|28>67$$e$jq2Zv;5(=!`7Dyhbw4uR z;b(SKrl!2N0o~2r1}WuLDBYjL<}>Ud`&HI1yYIUNH!r(wNQ6yXz!qt;;y?|?sOCXA$lYKuW2lV!|- zRd$c=E7{ra@O?T!E^WO&Yr=J3(J@*jb@tQU*WxRnRfXw5G`ZGpxjaFxYyw><;3uVr z*C-e0XC`}hkxozA?4?fw_0*`*{nR?o4*i7IuJx^}b&Bwrax>7yN~L4!t6w2wjvn}B z|3d&-Yo6Kf{^wht|E(Vz|5AX5;?)Gz*=%q=!VNv}NpC@Y_qCHcQr{uvX-5{}e@Ov}D%wV2mYpNWo;qzM{{xLTt zNnzAkGAR2hV%Bd`r=ppnp4`&cVh?2g!)h+{BAnNe*+Nhk0L1OhR4BUtT5WRjrMP|Z zEIHpY#N;gH{-R#G8ThtN{lO#U zCl69KEFf78VCWNY{sxkXOY-1K!dhvE`(-6s7r#}LdoTCgi+ytslm|VjElxY@1P=Ok zQ56m~C0(|>H+7q1Z?hTlYvNG6g5)F`&6T?9vergCX2zgeKEf@y$~% z9(KeO-kc>`BJ-=IK{L_qhu3?#vrjA%eILxvY!ipvI_ugmyA4^te7Mvo8hc69t~oGDUz<`I*}LBEAiknwC~=LFRN%0wvbq1@Q(%W9n?lk^;osc4;0#fS-tCf{9U$$*bxe9^hD#3@RpD z2e$?((bKw4>UQ%l9BPx4Iof4$U4FXryK;2%o6i0YL{;{SJ36@^17l z2BTkh`SgV>*@!yx#iD;)@2@=AAH1cjBiC_|lc+hPK8)dw&K7p6N0|(N@a#*Y&po-FWK< z&vgHIfQfs5meovh@_rYFDhswGIIh;Vei?F=FcBuk>qIBycm7MrlP%H|L~#~b)>nNX zFlpBtYNdUImA6!Jai5bl1K6rzm%LPX-9pgQs^I|_i+TM@IPs*k7PnVCxwOgG$P<9G z+7FkDPsGMcXbbzXRSYECt#~>cx_Fv;6t}DVnISdq*j^+x7xEsSr_? z@^8t~Qi6xPMoTr4bDP=n z7&)dp=FjZ@+c%|$a$zky-jL~ZNY{YbVbGF)_uSJ-#yJZm!Dl=;FlN;juX>&UW(yjQ`dNUT~vg`2XYNx%1(CDUTp zuqC>s8^gx0%YR~?E2u4d#y@Xo9Z<%OlT1;(UVm9~7u}K~eX;>F%T#!c8#A<>_g+{9 zKZKk;)AWg@+fW30w7k!60rJvu%;I^KuzdvJ1)0wj|2dIjiWf=V0z7^4XXBA_q#CET z<8B*kn`J-+C~$CNLV=EjZQutxY_Rgsr*x!W1}97C()Io7ZZxWsgQB?Pq1aWjD32ez zhv+{PZ|<4Lz-;o+O5ReKE9ts0<{1<;0u_!LJo-CPpH8_hftxTDyVIzGlw@)a1Gmo)3?Q4q7wuP{me3@#q- zXyk>y)Q;P$S36`hnVR_EJJocNd~y6CVcQwE@V6%@pui{1YYz?z+3@$PHf(OPtn|N} zqEYZ4=iOu!orUBER0$&4&f5YMxGayQoM!oVvNQ&uc+R+zK-pG21BY%TK7$fi`B{;# zd+}-hOM;Tvvalf;y#U-|<;$?!YYf>P(^q4tfBEg$q%CSH%6}3C7c#kr`1!`~E+p$| zT$1?0!vD;;dm9FRkI=r3nz$uwN&5hGiD&UKwxN}PD2tbm;N84nmE)fx-l}NKB_12%*O)XCCAJw9u%{&ZwO*Y0=3&e z99i5Wk~pN?Mxq$mLK$)5D#I=odE&t?cIAN{ja`p<8vx~Br9#9dXD=<(G=8uT(!*T@ zRh<^rksdB>hDzp^aOn?;W9Eqc5pAh8hT??~z18*?MeiW!B%)nwf=+Ik$28515LrLJ zgEe|y)%_o!_ighul2MF5-jQL>OkxQlCr}C|&eowWktwcLPWTV1%l!UOOR6gIo-@Y> z?WFBw?MsZpU&h!$v*rSSW1k)l3HSe@I%D~xc_djyjrRU{M%}r}Y12prj%642G(zrDIY zd3>D7O>sUA_$&}KRqafRS)sXf^=;w?^h30f zFt5E4T3Jx6{3~<=`icfY&0B0ZgE%5&4YGTEzbr32ta=+)KGKn0^ebWms%O$|AZv(z zu!B{-64H=Bbn}v;=#<(a>$02DC)Rtz?k>OacjK}?5uej8H)A*A3~!_O3${_3>0tNW zO_yhu*ZQ8E{FB+iJRkrwsS}LD6!gKC;mPaHM)Kn`H#bfG{aKXPt)u%t^m(&9{bJ`rl^%}FZH=)VfUzD6>RKa~b$8oh`l?edp}9PP3V8Gfy(( zCGb!GxctJ5SYrUR>A;-_mA3Q0k?R&14A}=Y_M$H#Si@@kTz};XI@nVvcPqIw#_{n! zf&bOWF@fshg5PnXQTm!;Xps0Q_c`DcUVQC%`=vVI;c{1i8a>Ce-}A@azr#ZROt~Ua z%W-WYd_%bk2cLrJ9(^rh`!(Oh!S%_{&!;MKjp1L>((wws&KC z1;^f>r;ArZwfsB2_|}Lw>JI-3o7$5^Yq|C6w@%w{mfZM?Sm~AQ;>ow1GSe5;t(9kx-m|2Li|SmYxt*Qb=(T<`LH0#I*X~_Ue48{lp9T0nRi2=V265oA z$2`G`bbDs*Hg|;s7Ms@1$^|N={_15DXce~aCaA51Yy=<3#Q#OaMWi>=JzddQyk@<& zN!bGLIJ5qqec0%UwyND~`3c8x@W_6Guct-*plB%iZPqhS7t!AmJwU27E3?#n{#Se? zf3Th#%%ikH7qeD(=yhd6qB42E-(l9>?-PLrCUGgdmj+_}H!Vsf!b;dt2hk$5UeTJoAte>RA3N?E{;BLp%rM zX8&DRXB$7U22s*QyR+tiNzj!3VJ%~Mfo6%jWjA6#$UbYCMu7zOQ|o45?*4>e^5|_) zH>{&6*|5Dt1FEgN67%xt; zT)F<8W?IeP8)>1JX5U>zwr=pyX2I_epr!V1&9jBjtCw9$2)Fp&>tZNXp4_JAsDOA} zAN9jWo3lneaRoMtK=VmM7xT^HYYxd+Dz<|WRwh1RNxXx&f~e5MQGQ;N8qpU@se8%Q zo6q0!z?953EmAn<^kt14g&l4@#S&)LZE&IxI5c#lTiAvbFr+qy5YMl^#-@o?zWl&f zi!B?OHpyAE$z^4reLR?-WZgyXSk8!VOce0AT-cu+US3VzdTA4zH&5G>xvME6RJBW? z;jX4UgiwcS=S$_TGZjS-S*8coy|-sUV;6QdCD~1_BDMK>DNk5o4SBEzz+iCXBvS5k zooj2#X4k*6e>)_;B-2P2zhE-#31DtlawnV2{v#F#<pS`2I2$`eb6;`Wa*P@$rF`A0v`_1Thy3N&ox&W+PSa&dMaayBIB08BU1 z#YwN|EqK4%+_K8fHsgKc*?l*9F#$h;e03;2%-JfQRLTC~JT0GM`>|KFJ=Uz)Sy`Hf zLJEksS4~krQRl_3Gsp~JlISyJS*MOw_S7>_c9)+y;{7*#INtQDUK6t70db0KM1(2I zhZ^znVvU`GRk<~Byhyp=pMHwca59JUazKyG#~AdWkfJBJLU|X2m^q#xLHW_5BZUI`NQphO=ni2t=vI z?3bVunvL6b=`-3hm3Bqb$l=E4jl~=p44?mW{@%~D`u_Si+zUo z$9JR}?3Sl%A$h+WOt#K)=e|pF4NU9M^i8qy#9#0-Pf?M>36FXk(|XbndY|&T?0Q5d z_QL?}zZl-}V@(%gPEWP^1DVv<{ujfG3jxlI?dc4T57Z-miwhWav5N>}cBDN>54UDr zy6+3WT+5;*_qQYA&HbS6W{F`(3oUhS6%FydxUA0*In890@t9h#xiC_R+PaB4G=KP$ zdLOH?>2>8PF8RyEnHBc*_PA5@?qg61bR5}jr#HwroK2`tvvel$-cg4W!PXTwi@{u3 z?$a}7n)hP^AA4|{xkW#ToKW9q590WJe@mz8G`vn`DVBA@Fb%x@5~!iboR%Pa?J;Ar)dv*bWSHYAa;RmUF|Pt3lmm%ld2wx&4+}UqLR< z($`^)GG!l%)`a^+0SX72t781g*!8#agbuL+zY~XT^cwHLeqFM@z#Rw;!u<8WIIb}x zS|xH8lrKUiMf4E<#Gh?!ri6$A3%GLK?fd)HZ2sPj$GU@Ut#0_M*8i^8`o_i~h%1h- zmE(wl{U9drbqy30f4ec1l$yu`5M`ZITmd9k(+S-kYV-II~7g)!XUR z#b2u|6)2TWCPTdPOZ*)5`(gjB)0kN;}3FQlXv1rNs0txdWox~-RLUqI9Al~NZg zn*|Sat;~*x!>4ST53#?lBb9|Sl|z32_})Hoi$Tk|S%=&Il(;>40N(fJ(=hn4j!%bg zvJ0Dj#rSKj_)mLO;FOQgycjqNBVZYaFCz4%u8*42B3<0EB2c2SRp6wPT(hd#{>k{I z>(5__3`nOpebXPEFYD~0bG0xkJQuz%3XZUn+W$0+*TQ3b z_jXN*9~`(X;ROh3Bu9PCWN}bG1`rm>zN1mH)#wqRt&i1LKcrihCH?to_<;Uf@my~fJsZ$Ga@FaWAE& zfaVvlUjx>L-&?i-o6uW<`IlIuBncfBPtThY$@oSuB4lUBY9j^~q}@nV4^)IbNXJ&N z7m}Z|KFvHdbE;kVX2vl0;>$2uruOUj)2}4o%>tQ+x9Zp|4yCU7X%re$W?S3_Oz7>( znZJapmd&l)lgY%LI>c&LFsBV$nT1;hTuB7ics-`d-y?ZxL)5kK;!8&UmW^i4V(N1t za`dTwA%$v7+xQ!ARmd>rXJ4!hg2R{=V^JbPt%OA%{3fw=vF7im-SCE=4xAO{ zm4g}7t&2z-j*HnfihY|;(;Gh#O6FnJe8L2E;zBuEul&0IvIe(~I%>c7k7PJ*`4+%3J;Sx!*$D_yMlV_N!sQEGSJmX1Kp0RoI)ro0Z zbmt@8jXDM{iI?~8StmTTFEUCuWF9^d#zU;NnnlrAV~5Bnd%le2Y_FyJQvMb35DSfA zMBjtsTLayM)}8prnCM=2m1*W>klp&^OYREAC#9UWPGp=L;-qX#y~G`HqQvo_$v%8= z`SI(OI~Z+o`y1s@3%4ywUqY=;)0&E`E=AYm|D3xS4E|T!yXaIuP1~#r${(EX{TwZV zuCs>J{ZuKN=+Rz6zhrVCtc!Njr*YQd#Q4^s8~Fa$;Q!V3HJ_=VO5m{pi^=qMeaFqL z3RA*MRI-Q!S%f~G<(=7cUG*VkE~>5P_9tv759+y;t5I3qZ@8QazVbmj43KZf2grKhoH+iQ$c{XkbpYxwh%C_kPwj>uRRvO7 zTj}zeLxtCgaf%=f(sjb48@`Y|xgBk=1XGmBGVF>=0591F7HE5luS~Xev%H$GfEdZIC(YrN#%21 z01-L!um^f{Uke8-36ScRF2Hw5{FD6?Nvk2^Vb{(#XmqF<_e5 za)Nh)?H@roSkm)3HG{Nwg8TLlM>evQNb&(e@c`TTO^GbOSR!4}bmYvF@oVF+Q4=TK zp=|NC@ACbJ*JdQ{iw9(3>sdilFvbFvO?W zLo2@xG0~IUZc@*>PA}_r@fYPqa`rI+e^jSyPE}qz>xc7Rgc~HptPThg1VRl**C;0V zV$6TO8e)iNeIb-MYo$IAD-z?%k&DCVQ%T___8OFD&I_E z&lPyTlG`OWpaZu`F|hjWPuSlpj5_*7hkT{|DT6P&$SLPbrF{TH}Z^p-aLLTbY? zTS7{Us3ItRw6Up3P$1oj7 zjpnCgPZ`p1RI>XmgK?l+WDxx$s*G<4DD2tG!c2X~ce#a=!;Y==@_un>a#&Evd;agj zH;7d;h6A?efUoB4Nbf&$-Umx>%Y!<7eg0(@SA{d727j$ zKXIES!zNnvkCs0|Q=?!ODgpI+*%8NcE$a6qG>0yD52M%^Uh{@6-P!yuudI_-UAy$2 zfgj&)I=y>G>KIS-OmF%iAl*(?HN1_$K%Xs(O8^s629}Glbnk z7P@0X_4iGJ=ZB2v5^uk+uoz}6njGY)b6qB?u8A;kQA-% zv=(I3Qt=a2Q0jy@nnu|PaTpC*78u(`@Xw(hl2CI(sA8|VG{2OeamyvQ;$uuO_r%+G zwC-|~E!J@|@->aU*{~rOt4Eoc8MZmVb8@c`L|Ae(!P0X^{-`${V!dX}_kXzCtwaMJ zCXNI7E;RAH!=lC?JVj9ZE{~&j1G(ui&)L`-#w^(|rV}aF8ev+d&DR9+7_gro_!H*| z78re;ke+`$k`p#n(ns9lPbvNe&zurBJ@>O94T-&B?L6}~GQT3Z_ekMlR~Tm8byC5# z-GS1xWOcFpj(8;1gj*4Tb0SiA^~voAN7O5^9qrdY&TWqAcP51`tP>yn8ztf(w@93j zTIdVb$%~-0j9E~)&mBxs49+1W|USg7rEgzSr5*O`~t z;DG5RFE_iLbeV(3-34BN(has-lc@@)BtyNgW6(~7_&jt!+Na?rz&YkLde-GsYRze_ zBDBx{4ab-bNXodK9D5BTWSYshyZcAO`Qu{e*#0|8sR;uVwJ6xtyv2O&~EJ>SQRcTx4JP1eI*AK9s_G1>)e zjMQ`Nzj0+&UbC06BKYnKT)pFD8X`>dys$xypOr7WemZv*_;WrVI7-HPS+Q(+6ismy z9Y1Ak)s3k_ayIcIXx7x#Wl}I)0CxDP z-B!G_@Y;DU%v%;J9IODl}7zJv$Gz11!0 zC!7AE{i_D&UZK0{Qro>`o1a)d8E)HMyVB!qEu+LO2!4m*<^~qJP1-Y0FVUMWA+@eT zQ*U!PbeB6MGB&)k(pGWy>_9q8p_U4L9ROAbJ$>srvZkVN%KN&Ew938BvonDZgem3` za=rg_MyrB=Ym)?c4)YNoXx35A^Vl@SD~27p5PrW183?-VL@;~D2+{7WXh!0;HOnsa zFdW~SDJ*Svb>QM2n;!QuOh4@QvDWiJ+Br|BexbNYH+k)F!`ov+5sd2ICwk_i83Po& z&cCC!>;7Q+c!BV}J8%+&AlV0{KKOSf@W-YTfC?Mj*(jn-Q#9T)DLDCL2VC2-lbUC9 zz{Nbrf+Os@3wUbPtDr1L{odj)8VFpAx~607xxiY;#~-4zkyB2AESCupE>-gctKV;v zmuy3M$pA09#Q}YWJb)+S^XL7T-2&-1Vv>&xC<}>zI&Hp$)|;kELrBFc&PB2Wp}C^k znkOsM(gc}gN$f+WyZx;+Fttnu@U7zTjLWASwZ7?}Fe<+gP9NTji23%Gv@z&j$YEcs zMmuVxg2&o;ri!5?aGAw4Xdq@3o94h9F~_V(`V zSma|{nkgjZ1Op6MbaQ#xAm}XbLH4!;B<>-IO)UfmU?+k&WPJ2`JsSFCUF)gDu?G`58z1;n*jWm|!p8dYQYxj0J z#;mk*r>VTkN@!-#YDvw!y_D*J=W?fcG;|WRK5?!;U?&=4h`=?)*h{b2`LonY_S==^ zBbgz6V3doE?{RfhKN^rDRGW0C3UBL4fxk_)q+=^Z_wIUEHp@xr86YuV(u3=N?ak?X z&y*`XJGbvg#jVU-;f;buR67Cpue2M4?sI_@b9B@(qE~v8~+Mp zJIY%>4%Bn#TxOK{Lz$9;8;4RpfNMbIjPx+9wcuT|wS4c5*5Um0+p_fjuCXSFnH{g7 zH}Eg(f!T@Y+d-2jek7*?UjCN4YchvNV}15kF9~oCxgUMD_~7W5IljK@(+<+>!SSvC z)q%40N^pu>&Sk+~3f%;kpf}kEA4m`sy~LREP@>qr5olxfRNkvhBKw6DiSnLBRkmSO zkIy>JzrhTQ?7S+9Iw$*>g(CqbKV4hl=ELwQUn{FL-2@puaPlm#Gs-Gw4)tG?iQ}p? zH0%{Q|6p_(Rz_NPopmv1p6dIIW1YY2RJc&b(4GgAC&b*uZ6zHy%hz@S@8NNP{VQLQ zJ29-H)}yhv85P=})eqd#Z*gta1J;QzrtnnLTbm2D+nC#aFgfcnc55~$D8cH;ef_&kHjiB`W^{t?WLoe37@hBm%zJ0`*_DYKouJ?~OswH6rmn1N3#jBz_SS)Us z+pnZA1KXnyO#H9K{O!0{CvU~zfj3{aaOWI`cAVgA-wJ{xGuq#rf9b~Bx9SWeP9O+u zfp1ycVq}n%zFk8^z^{BmK0q#HM##Dvm+#-!LpB4(t%T%03#uqyWMTG z|KvrljuU7@NZrOY!EeZA9dJKKxuZIdBfzsSg}v0FY+up*_|g0}CCKq1>qzbjqdq&$ zKOZLi$Kbv@wID;(lE;SxXAVr=7Ok3k^Jm`8gsK5~-J6bx8d}gxBXJKT>8d{rOxOb` zoq6|eUW#cCJh%}SAk)v}D?0au^Vd@Ry5|;VZl!z=y*3VN`6_-O`m{pJnMqpGJwiKO z)0+!uOyv_2<}UBCT5{&jm@e<8Po?g5`HIgRRdp zJ+s8ze?z7ifa|v^rM#AD?fgh=*~n0UIwO;V#8F@7)R~5OsWD8ZSml~Cf@Nz92adbE zzGRSc!CW5ZwUaXOHkgsuL1v0(3y1X*6X0=aSmrW~Ge!bk;d-w&@GfPgU5m=Xe$SAS zgnu-XYclIXs#`NOvG;ylbIA$cNBtg4P%7umB6u9Or??FFx2hG;pNne{(7gE8=s3p@ z&s;3Drkge`KKzoq^L~lO8=%XhJ&$-CQd@9^nTg9`H^mHc7T*LIb=SG1ZfrTrpFeQDI=*8~d*xI>IZpaHgAQ;;s3-5kVh6d(k)HIk%Bns(NZQ9U zx;ALm9XS~Ebm#a~oc%)TLZ80?EEBl~#}D4&Y~yFZUDPDRCFuI8PSv`2!I|S%ah)d% z^6X4%fjr` zRtar}hAHn7d(g;4ue!da-0ib#Z(1XTcI`j2p;QA{c_hB?^Kj2$@03HCk}&r4Gd~?* z(NrFI2OHowj~R((6jl!R(W@K`z{BtXBhl2|0r~<&Uu({`Kt`*uK8a{+wiWL0lCfN; zG%J36_0ZoC^u0eqY4tWw?vsdb61zUMcDm}-&w0K$VVzO(as;^WWYQCsThq0v6yM({ zL=f#Z;FH%-pfG{^Rg%cfRBJrK1I2N#RN4z=A0HDRZ~}GJc0G28hqFJ@4dd`m<5vOl zy=s@KP=*h<)berO6~csd`8 z$0122$en+(IYnbpX@9cTaOxTKKwR&^Qht+eXjp55`?cv#+vE9AoJ!Y<;C3Aj5NwFq zikl)Z$JUMo+5*1&Y*fi_D`DR6TWfcd_4h9qDhc0+%Y^j;|c zKw#B#5}l6>%6;;2p$Wi*gg2?El~+z$n&`Z~7ZG*12eHpS0c=;3D2b zZ7zan7+*GIiB_!F)bb8ym*lEt0+dRKP86T?^rmz zMN}37F1->{19+$P)nu;8=%mZOSxgp52c^*9HP?kB%? z!T(hg;ODuh{vc<32x1$#V+}qdBLPEeo!8+N{&vqD6bniX( zhH8QR!f(OEF1!ZkA3dT!Y#GeFE58<~ofN@X#;9*g^VhtEZp^u10^W%K^~XBb<*ov_ zKC&Ge>-=37Vi;54X%pTiOS1iZ88RahwhC7wIzIs-kiCNTR3kp`E(U}1cNm%*$vGXA z5VnFzuALrLv&1{WV{SGF=Wz%Fhg{IcpCwtJ?j7D$fwOTNAG6u6htwG4sOi~@$sM>) zSB{k>CCf8{JSW@zZ4XyvEcp2NivtkiL|~x30Dh{3Dwy`Xm%WC%xuaYO%|V;3F`&~N zLqdqs^}@g$%bn#KgB65F1Jpd{c~sKWy1lo*JYA8p;nfy(296(Q7&0_UT1WBYaB_5Z z!%JfavyTi`{1i8mPHr*jJ50`*1WCf&dKvpkxbudEcpu}4XPFZ5_6>p9oM6T<@^p-w zf+NTIFW+!)*JlBM*RmO_=zWR4Gy#2X=VkWl^n0krspzj-ejkfA;ZE53E%pHb{?*JKEa<3!hRWucO?(CGL(^YGbxs7O9@l zdl8HKHCT(UD;+M2Pd5Ha`$IhA5$dq%!{fi*Qxr*g_ zs_#S~tGmp}D-+xA^62wMHvLsYJR-rT0tALE@7Ygh!}vf9Uq?u8KapVgwH%Yre7`=f z`BQc6yr@^mcTgc0&~AJ{cuQmhbj1C2K{M$de{=e|f=ERFRPu%+y?rGMXLjts1Jn>B zo~dT@y3G`IC_2#zAH43>7l6*CzV}o&DW|)d&?sNpy5Py|h1(<2m@X1bEPn{~U?W(w zFhj0qjJ<_^BD1V*ucb;1{~j{5p&US$%Qo@tM>XLM1@CUM9TIV#PsTuAZ%>Z*7o}pI zx%(^{rY|1FjQ`Y&gd47h&Lemuoy~&LMN9Uz`^TrGx`3r5*z47y- z%g}oC&V&!K^#xnMdlP`)6L(U3><{VSB5hd`z9n_qtjJaeyAnIyJhk%?GRD=z@-_g_ z%hL@8yD2$)z)i}w37hn_U#t_P&Fw?z(2Z80>d$d)7)h6w>O^zv9P<0VvDJMXKpans z>k_*a`Y(5p3EiSeYX3H6LA=gg^O8AMRlIr-akbaUIfaA9e4Kylyq_-}3e++n;2{cuBL1-yWy|RI+sk=PzzdN-=NZP`nwE*IgD71o)w(`!#r^Vt zC3svP5F8<)J(gRoZKybl9Z}wcYde zeaKppC}z>|4Znn$wK5;|xro_T-p_vSnJREy?|(bB4rfTPKU9e_`{FnW`@JXx z=_(%j*MON?m*HfdE(0X43w7g4ZWl<02X&(i#?aQ34vPfWK*3(0)J_BCBQW=&Ch6t~ z5JaKxyl8LkfAGzjSpxb@a6%B)g5$#$z+lbO1N@7*m`S%E@mLY2>Z$*WsiLFilkVXz za>nAGYn$*}kcVl2PbKQZ-N8;svI6kChm<6F*JF#f1G?Quv50cSD@@#jdNjn8#;8}q zY#uclrsO%R62P{;z0BBkjr$vltn3yl1bb1(h!**^8SV#(8)%nPuLt_+Ie4|Sy;}yA z3(SX-&j;gRmB9t_@6bkt#PLKm(lBIyDeMO! zQ?HM{C)4OIRf@%a5-#=jSbAoRfOz`fKqs}e>}WUfeIl&+6Fgh4Kw~1oqoZ3>=l9#; zc>Dak!bX6aW4xBK{4Sh*=bdMw2L(9I14aXdB3sxH+Q3Rn4x@^fO(PbSb7a)}7~&Wd ze6jW|QdqwVsVegc-BrFdWOtS;8|hN-n*;_xb8&7oF;D)~RfwO|GH^fx+Ep;cdW>Ll zMzDCF`B!D+O;LNX+S>h*tdP`*=yCNu!Ih*P)(zIMD`z&_5C_LC7=HI>%)gKzKv8$E z8_s!a_v*(-@H;dScKukqm5^RbTs`vxuyK?WaAYOE@H@#XjBlp7$zUlhOkgeI9P^gJ zE5P~5ul|=EMt&W!9s{cLS2S0W_UnvLdf>dov4e2hk9v`|?VC%x(BJwKT)_-`=Ofp? z#&o^9up=%NBRYJ-dexiP8Gdhf11VGERFd#89R&q`ZImoWM1XYWG6ON$z2@pct`1{O zK+F+=SBrpweyUd<&mTlZ;&T7s(wp0Ho)C1{^UsUo?c)UI+r=Xu)6h%Slx<2}>V5L` z@2Y=GTN>)_B}To!k6oYJd8CB5mQ9=iGlEwcgh1{`x8wE-s}2Ds;xRt2p^Q_M3Wpb5 zhXP!jFD`!P0@ddAjql<~{j-sHV$7g6P8z~_;A{xyz6{PyQ)jGS^I*8;=bJ6Bx`@un z7SRzS&xTPH#G(>PM+hE!R`92s^Q?65o5Qz9^$IyKHi}=18*=OL`lD-1(evsuf_Bgc z<@syV0wE(|CIUO0<#o_89Z#9qGn`b+kft%z_%=3&UFb&pcHy$@Zrr`V}dSngZh}t zD{=enF)+B1yQ5&oC&7j4oM>GxAP{f_9>IX)5-+VDjR{a+wis0!oYFkMDA^EOy9pV5 zWb2-Q(!)GCt5A7asYFQPt2yVz5_t9hRslE)XGIaptaEI0A!v(uz z7;G3qE^Z{nj;sEnpd>reFE9@xP{P}RCP5IQV;ZmTVjUq!n2pbA#J3236ForfA9@Z; z46Fg8!;)BdC0Gx@pD;34fZo)ea#>|EQZ2H!#4e<5u6|@(*xppnH^VN1Sa znwv6XoZ>diiK*KtK?uorf0a!i8H1v(oAD`C))lI{JF~bsBcHFs*_#pXm)(}tS+sCb zJ*r!cLi}#eVu+~z?p~cVLq}AvjM?02BudGjZzWI=HB0?$zxhv^m5>)0d!)a9F5X8R zV?V}(Zmkq2w)5VsGLfA6ICig!5>V744Le}UHHR<^&a)Fi}dTiZDH$GsxfmAr@3 ztPv-$SC5l8hI-xcK4ZLokHF`2oNBXg@MSvc4A}GB-D9@s=8{En{9KJYbyJ7b(6-Bv z)3hG$dHXs3X=CeaG`cw<)3?|&zVCwA843l(o%Z@H%zc2An)$96{4hN7v5Xm((Ou2U z;7ujghRFEX;<+un$|2Yd@8A0V>&bkVGp4%%X7guEJR@A$t#4t`f2z}OJz>&&6v5mq zPi*WW4?5k19t{@jv-(Hd{>Pp?(=Dq> zWIBI&G*l@~N(V^vF&vHp z;$&n?5cmjuL4($tm(C{i?amN?>12j+hmSg~4(>)M#ig^G7#<0w&3DU*%(&X6UhgUZ z7c1p~?|`?me6&uOycj5qN|uwZ4k^N4DOTBUi05rzqTbl0D%ZLZdp0~iPd!H**4dsp zmthW#+;A8eywT=%pzFwRj$T>x<3uRPErc#>B|SY&4?~$#^^};uiutr!&*O(ts=vg9 z%zNdo&~812c4t_#f#?(i1k z5%Qx?%MCXI=ke$E}T z=CxOvpNY;1H0^0p3hIA!A%r57Llr8ZNI=}Yx#Sc#gVfV0Rxu9?sgCRN(tCci+dUR-oF)>L8IbImYHnogghnW=?uXm9{3NV^h%a zz=1Y)=Gs_pW(RFBA2!%9*ZC2rD&`3!Q+r5rfSdk&ZH1NJrMl1FMQ&4iPdT00pI}{Gf4jsh zCpT_oo?IBN`g{rVgoT#O?X~Mdrp|#jK<)G2mx4JUFjzB!bY*`@aP^AQ#CmULc@6)Z z>s)#*^n6Dx-k_Frm94NrD1#yuQ&0u7+b?aBg4U{ijn~APdz&pgqP>4=m-z;koNi1N znVo$Xy7y3X@#@H#Li0L!Z6NyHBXhjh!_SS;@={g$1w1ojJiJ?qr;e_%$xax-ejFX*Y(hv^_}3o~2{RmscD3Z#c8%;#CfjoZbZNAu0d?V@;_ zPqF=qdZBWpE`d^R^c@?IgI(WZ34^+sha8ri zlCY68Tgx$IqL}04G>6$RHZ$hC*ZcGPUDx;j@AbT{J+D0<*R|)i=lyX%-0rvg{T^@? z@jZ?UWc5i#-wyRIkqP(wwdwej-M+v~^Y=l#kiQH z`A3K$jiy35qt*nPb9qruK7>=XR#xk;{i`7{eY?)+d#I~sCH$;sowl28-gtu3mZxK* z*UWq`GbJ?6Zg)Ss4!U{v$-a*Vp&lKlHlXS${gL_MsiV|YkG>ejj!~=59xo2og9BIU z_I-WBvD>1qKrF^4I0Th?dWQ+1(e99)D!U67R5GF6dWc-e7S*0}NC2 zgu*XfE|s&dn@>ZiaOMK-ny`WiTe2u?a5n546Fl&U6xQHP`Z`_W+bv9RqwBCa z9GtLv)aJnK*fhLAEfWHxhtoeBNcBNJ3t}DV?fKSW_&9nyRw22RTAQ+K&@#l9$~&~b z%ekcQpi92^k$iz}L`6k{zPYeIa@W7r5FqeHuel$jKp0Ww#jJN44Ni`4uoH-jtXFMf zUQs8vC;?vuTgjmgvO&+U9o0WW-O<5C_#rHLR5pqEj{uB-$S#ba*R=OR42x%-q5QRM zGpED9+@Jw?&S;&yZ>O}B-u-vt8FwEAK;ZXSvMy`+K(LCQ$|!_}{|G-1Xn7MXb%GA_ z$bE=1G5ZeMdQR%`*>IKp7LZJhHppcHHVaa9!d_i;8o@Wp_Bx zVDdB2?Z9=9k`a?G>Gu4epQT7K(y&B_4az4V{&rjRV7Sa#!YQ|rI9Lg0fydPCTq`$Q zZSWtJdo7+`phk!tc?RaSfxM(-bpX`~V;uq_zB)cfdfog_Zigl-g~WhcgmcvhM?6MF zxDWOaPsqlshNgovtvqqrTkqH6Lk7@#XQcwR51AV2(bZW2;K)BX>HOJ;8muy!dmI*Y}Nk0)UjXo=Q=#Zz;4HuhSf*8?~?T|`j!ml zcaP?C=;9H3V1=jIzDwlQ#Qtl;=_59t{e{=;PS+5*6*a*!G&)Ldq(%0AT`r^?{4RkB`)!2`^#s<1ckXZjW3WI8h7K}15;E4x^&(A< zyFPRO%X!+RXwHSSEF|S}}P)VBRSX9>eB7 zdfFW1(9jy?UUhh}UTkzT)PmlOt{_UoyYfxCb)XVkX-Ow;<97^&yLb` zfo%iRugN~r>xV+~i}HIV@cX+G2;L>T`B47&>*VZ=R;;_&9?y2M(B@vz z6e+s``Gg6zdwP3W5of0qm*+BIlo9I}rL9|^vaf?`IbzC+no^crT6fzz&NjiiJjQPj zyWrs zvB>R{HmAmP9c<aM=Ksw`jWd~L<23|V3x6iejfgz-J_=`6SLQs+Nbfepzle^H5jk+~8EK@vtI<~d-N^geu|{PmVTF)!p6$_$->l-*ZPUt&X#XZ9$ET< zGw6FJ?lpHyDzwTIah*IItvf|JqMsl9pGJ}NC~m{Z3bT^IZbT&>(`2pr2SnIW^kd|j z8eg?uY@|c`Cp=5vB8K@9b==7!zW%*#)yXxfFWWvHDPwL)Dds)?9VzdjvPb9I=WxA} zfLHXtD_Ce`6D9tu2@^K5f^$jQjW}6%5A|Zs`yuuL4Iprnbs4b3kPrE>mJ-#)YIIHB z-Rt*OKY9^Tv6tK05D;;E#m9{QUPHZL^EMajAMpyM=#y*wGk!DHQN)FE@?MP5tkj~B zslnkrPeiIX`!bjk>gW-PeaK%@@N3^-)nxAo#&u4GW4l?CR!5@3pZ-2-)Ub-*%0nRm z>U)ZvIsSl#^funLEeK*-;`s~bb=PXFTdNXAMR8$GVs~p)c}NMgG7KVSK)M;>r3;vT z$h1;YC1Cms_O&K4R*$27B2%gSs*itM0ZPocO(}*aBkjL2Iz&=l|F+Xh8DxRP`xOSd!_jEIFqPyRm zmxS=dJrq%7!V;C>Nckx>nz%O+14q2yV!CxyiTVb3K#xdS`!<7lPjT%34G-?V-}lJ+ zK);wHwHLd3xxCMrPXD$e;#2CKruF|l-=36M>$6`XGCuHM7e6(6^7NsQ2DlL_ogRbW z77tuj_Om~&)HYXQbi-FXoCi56*YTxu>PJE6&M@>FMew1Khsr>Xxxw@xRpN$$+jf|N ztn3;FbkAqt&?sQLW4i^RYa9HI%KcyhAo-f^K5*JNpV0H7$$5Wc29(3lygb^8Fus#A zKN&nBPuDz(L2^y>({`>pA87TlopW~q1D;#;wLW#$SqcZUlgP@|yVGby%p}zuvlJd5 zV!$BLLABihqzU_2K1VUl-ejdSyFCy4UG12x*w$DV@#Y1VcKtaKWRX9PxF9_jOB4yoKS@IjEQ$pqYXS~S&mO&Z7--wy z^4-#_HR|7<_~pFZAibsY-~`#g{=Qv|{7Ut(9{W6X8H2UlHok8op#I0Xbx&F7X@-ZWd9IZ8~(->d`*N`HB={Y=&r)lBT=t0iv;%rB0905XVDhU3&7lA>RZwOBzC?_HW*d>3;Nh=@idm}(c$0b-9`7L<9{aM!M zFQLvtWh2U@abg!!ZD$mQ)Y;W3X$16q?R=Y-Rzkqkbe-M-hJULLJ$u8y@?YRvqx$e) zqsm?+Vsi$zrW9Q+RB9}`hV^_$gY z3;MiLN|p)!K62h}Rr-32*j!RT4E_Sxas)yGT>fF-_*+h*e~E=;_u|z<IIM204F!HkE`M+5V9Qi7jg(igdsL<~TdGh!w_C7mJ zNe+>vc8y$5moX7vano!)Yh{ixK$T}8s3T-t}^a`O&lX*He!7G$#I6aHGxdZn& z&?_mN&h5?)FYuZ5W{LiQWZ3K+@xdDre3`39k>Xv{m9tpD1kt}DV;K|Jz$}8d&DHq0 z)@J2LdV!#3tj+LDpZC}r8ot~7>zF?Dmzy_|ef7>C3+sDk!_z%sNWqdTPcXD0T|+YGAc*idjK5jM=`)vRS#xHZI`(06I~#974VNPN~PeY+>q$PVA%v$@*iw z2?d7i3~UO>YJDn)kF89jJ>Z-M1Po>9VqGTs6Or2lz>CtFYMFRHY9Qq=T}dIJU<~ek zU>vt)B}z0p#@5PgK>yVkOV{~=&YqnCtyj-VeX>g4n9yezj%)~p<801YoNn%2wA*ny zXW!H`;`d&b&ozf(y&>%tKy03epQf2bMGC$1W3u$GF3KQ2YqtJIjNMNW@AiV(7hi2JMGs>(}~lhw7&@_ z?FPDQ`pm{AMia=NRA(pFQ_>~WO47S{k$n`j#vx3yFxlUuh$k0WSo_E5eT{c&n}!ai z)~2@e;fZ=ZG$ipK{9=;9hp?q!^KHv20kJ2!xpg6=AQ~}S-54zCFXpN3+gJXVU zQ`QoX95}UOui1*j1hVIJN~GyhmaIHR_o)_Jlxw0Zz(E0~jV28?0rzXDW8k}DFo6)O z!JT_)__KRShUvS^(F7`AYxhAR(F3b0+c!o;SoipQ!sO*zcn&=9awQm8xtFYSN{_nl zhwgUBm>3XOvs*k`y4S|f{nDqdC+59PB~XD8e&pZ>=HI)*Q8{bqEx+ z-3g;blxN|W>{mbC=|2*d#NNhqISlS87Ld+wmToOt%r|^C!t!)6uhUAmLMe?hZNCS$ zJ9pH!#CA{Zei`1Z_!!yu6|{01>n_W0fVVY#QF%H-U|)E*)9*(i>R{zJV9fwIsyV=7 zO-o`m5Peq=f9+pF-@duq0c*7WxAq?Uf}-=oA?$7NnvFQ|)j^EfnLtq?b1vP-3ryqW zoELcit}vCw*Y9-^h5(JO;Er#d;SN2ltO7SrjJi8ZP7LY6Pc~yJP^S zAaNse2uvzU*bwJ5&~wIP!jclj<8T z`)g%?Xwp-5$kd`2b3-ftpsfvBfjm>}-?|Bm)3>ICPRbwn@Dg!}xY*65 znt#d`mAAi6z0DaM9*HRI6jk+VNa3ECV0U42Cj{}YU7&49!yZWFSwhb;t069@E8O*}! zXKTwUt!}u3PqLRsU+A^ttC%$C&^TN4I+XkkF`tV(d!TYm`7|9sr! z^=a{W-XE~vpj6k}zDhWM`M6rBRVcT{R+1;DPLhQJAKbK@*o1i$T?)R51V>7?p5*id ztZy(7Gte8DR}On*@>Uv(Qy7H=RA#+qjcHI^%-g!zKeBHQyedhq9&~Q>IV&05nzoje z=$@w+w|{F;4jbn=80m)e-2cl9UkV<4cQGliGgWSYS8{$@W626ELyFT@s5(M;O@}s1 zB;v4S$<1>y0^uprrUG8z9@{kni1jC)WJ~7#fFx)Ra+X(KCrP2l%%D z*ce};v>ZXV;{u;{3c)zq^#=JFE<`Ivkecl!J5SBN<(mqIiL|&~2Eg8d^%=Ir1rwcu z;L#KH`e>;HV~oMkCFMl8@eu6>g;x53a7Uh%Wk~IkC-!Ic&=G?NIj*Qki93oZ# zPuXJg(^|7wHb@Ahx7Kr~AGn{Q*Ou3SLq5p|QEVytHcE^4zYX^-vw(O=l_y4dA(cua z-w3Dw1>(2hwk!jEi(V$n#XK6qhO>c@A+HT+R2ro0r)DJ5NCi_pxJLX||5CJhg!B@1 z+xA56HxyF)^4ND5=c&wNunN7j6R=fc3R3d9WN6xipp#If{ezZY;o9%Z5=$kcetlWe z^$_dLFq`9AUWD!qT-EEQ%T4`_PWc~xAl%}Hno4k0=Adj_(r$F&!uI{m$KzVjYqwR+ zLz@Qgo}227#$in0wLH1EEU}(O9-6m!bKS)}+?|X64Ak@NZd&#>(ihHE71VvZ?T!?(gJ231N4gHe3j-Htl&Q9yAC?>n9Iw#{y zboP>zc}7n+LOcCh&~^gaY}ARg*IGTb+K*pWKFuC>?b^m6O6||)8ml(c_f%R4q}Pzp zU#oN?DmRZ8>W^fc84pT6ZO@*jo?=CV%TDQAq249H?{m;XX*I%=4j_O~-jOOse&k`B zIHpv5@J%+DKZ5;O7B!q8tw>-b!0k|)3DT~(*9a$Y_QZX3CIwwC$2~yA_&iz73=UZ+ zuPpxw+WIL(H*InNl|8`kj>E4;UXh7r4C+v?vZEU!xo-I0^!OX}Ma{YyQcQl@G1B?n z9iH|(B;006`~1`o{-e$lef~F^Z${&cVzr5K1l~kfb%J@K7;twM_K#{l<`e6G79GFw z(od#cck#i{KcSIVI}G0>us&wlRghx4|rJNx%P{pdyXiv1Vfc<#&1|jns{y6 z1A$D>u^$)Ib{fRdq!P@-goXK=UcZ*Vsa1(Q6sUKHk7EhW-x<@Y)Twvb)1ET~%R*X9 zSs5Q)AoC=<Mp!WWeev+wh-1p_*MIeM91-XuZ@u>7-ZweD&7dzi)Z; zV$~A&#bj@}HV8jYTEdjhE7P@f?RlYU(#l$#$SLw z3Vz96U%171h1T?GJUgeOMz75G4$$-9Z+N8SvXzkUCA0_+v@Jv;S%E&`=H2i~s`_hm z$_3ZDngFFKZX4oahm5GNj|Yus6|Yl8ohtAONUv%6pNuEEs${cpPj-bco3Z3c1s5_o&DL@Wbc?=6if9`h;eM z7;wuU2H3i|^A)YIkm9L4e{MW)=q_1@umuEO?*0UjN|ShM4wS%r^0K@SHsh6jt!2Lv z>UHUpOd|ZH{J>#@4{Dp5>6^nu;Gvyk$je)3mSxnh+7~bVAVvl}+Bz}1Q<8;HUmu(} zic^!bC?chtJ)Cli%r*1`ju70jGa+ST+WRwlL%{*#SWjJ*n1I@aeJR3;juWubo$0>` zO$TQUuDv7#XLjlxi;Q7B4ULyZ+NgyX?1%%4GtO+tY1MR16x6`Vwz{E_-}QYKxRO?mD3J z?PQ-osbB~ER+feHB@RVGDhopN2_D<2VLJ&Qd-|M0&ZIN z{~ZzE>Qh?`{xSI)YxEcjVDCRX+L9t!O2P-FiPD9757VG0*1dEW(UvNFbfw;j%Q(I5 z*3&zi23Vc2hdr1+Gh*0}nTHywGqPQhWB~n2*hBs583m&W#LSrJoErOZWEu+8Q7&#E z`8e;=PAGUCz-*eFL3S#AnB7Ec5lC-L*(ap!s`rc~en9wu@~K0lM;$hYSs7rW61_T_ z$xOl@3lU#V6(#d_uw7A9VOA@cD3|Gz)I~lwqCW1jer{({1kW?Edzup_#O;da{wrIH zgNn?6A`#;?ly{4JPJwoX-NaEVh~ey46KiZaasw#QG%3;{iy7W5;RSyM zRYmz3dW!}tiS$+G1g$nqp0OMaz{vdB&O|;;sNedkH7JO^K*f8@a!I|`>sRM>4+g@S|L}QfxC4{Li0XzHnC6iU5R}qH z4#$@B!_#Z-CGD9O6k4BKb%R81Mz;1C1#K-82I=r3d@Xw?LC@9B8mvpgriND!BbUS4 z5r*R)2dyQ^I+qT1;R6*jk!b7iFB&pa$8u-}oPMU?y5s!p27(*xaS-D0V;*G9$ zSWcTxWl2)e4fgnbZFVA2!vfZxJ#Sg%%)lZC)J<~u(wejPJR0og}p~cMRivvg<%{a_@A#2)_ z(*MAbF$+i56tvY`(-ec0qC&r=aB6lrNz51omtARVRN$h1i7COHb5fk*^E)5#ag{|# z3i##LO1aBwtvhxpUJvp)NW*fL@a19EDd?&n`)pr zwI&C0p~O4@Kt(LIB7#Ni)Qt@ycS_LD)3I_oU)qmaD2>#Lk4Nb_`^`uvzL)DpPh4uM zE8qO%S*w6FzmuM(TH?I`O^T3VUfXcU?HU(lzm2Mr`?By2q%?A>99swfinICfB#5*+ z7}X1k+-pDMduD+u$&#QR3i+@PZvTH-=ezt~2!FAe$pegd-mBf0OhX^uVdOu&WiO!*++)e_1k7R4~3A48iQhu_k!h6QX8 ze+*+nhj^$-fucc3o29&rmA|?K2zC%LXjo9eL+E_&@wxktpI4jUL=PzqE0pB&uoF%l z$m)6caAGR!O~uT^^%Fts^3Q)hy$ASajZ}=whIQ{*p7hn|-d(&NBOTQU7~JbvIV6pW zybzKKju+7fp`ew<7jtW^(@wR}&JHb5P62N%smte2OOTu3-ie0u;g1)O)$6Pc^zc1` zY`rSOVKYqFmKQwsN~g*d{69{=tmK{O|1%)PcrhC=QBzKMR#)&m)#YKPXD03Nj=cp! zZCytD?mA{&ZFy`5H{cgncYD72&XdF4O^fzR0BT2hmk#093=zyM@5^W~r9Ng}4A(Lb zJGG}W;D+-a(T4(Wx$zvl)_e-|)|Ll}C-SGri|<)HDO^_!mEFD0EPL^b>iYE29>RWH zCwaeAL-JRGkMcXVlq%a8)QDX7ohzztQ7E&R&x-QhN~w-%3p_j56BKyQ%dlup!|?;? z@}?KPV|YI3$QR&AwpIw>@j76KcWN1O^vusEyRCocLcaDrOeSU=rMVf9p#8FoDtt_f zDFZ}{8fd$v5kt;|eVl{YI%UDxpO>2db%^t2(o%dao8w~#@WY1Z2-?NNH1$dYnl!{8 zhHQc(*E-#QNktsTTo+LP-gS=Q5XA6|Z*65xKO+}XIs#wqoLd*85|sJ#&Vl z5z3oGz$g2II)E=E0DTfGLlg_ofsxpM^O`~0po=?8fpz-}wT2?xFT=km0BZBcP9cIl z+{itAlQ7@Mk>+lT84rL4@mh=K{7LMsTb|+Qq`YaOR$FZN>2UVCgk^pY4Z?Pug?>%5HAbz zJK2Y{e4?L*t`d7P(PHRA& zkksd)9_pWQubTwe+tb~k^q>z(0byhF67{0<;ml-%_`RG+jh(F0x4cTPTfYVEslR2I zA^v7$66B9Ttt;mmhIirv(y~`|y2WDvUO%%OOY6V+^gmai1xzLlil{iH?VsJ_V;cv2 zy*W`rZ!!k?QgJYg?F#v87AN`%eV)GEo5{K^glx{wd8td36NKN0w`f1 z$;rn@bmTu&-dFNk)0A(CfkPhlgt^0bkx4DPA6HYwn0)(LHa%UrUJAh>g*qmX(GY-_ z{($Cs^+~%t=N!u1Yu45*(6FDi>hb1E98+UaD@^#523`<*IcDDt6*XNZv1#wdbe`}v z?lDszxJWVY>;7q(gY3*tia$%Q-A#;I6`bwEiY zu>w=OD5ELGdCoZcljnK&bLIgQ^Q3D<37VH?1>pM?K2wddzdTyPrnM9Z4eh~7nxoq1M0_C~yF8~gV zcU|WRdUnCfl(A>Zf%M*-Ov@b(E56dlXKA{m^-Vf7>K_0cnDstCzv7t!<#3E|s|U~{ zE2XjTQVA?scBapc4#iy4cDn5B-{}gT$Bq1uApN~Z6GVJi9sv?wd}u$n*_@$SZDC0I zu26l?lk`0%GXEDgB-wt0M&4eE>EZjGZNSUHuu-|Q{)sZWkGBz_OV~F?$;MeRZ?N>& z4ciEnn0?{!KzTP#cUXBh9)x8NPO>XuQZZ0Ls9HE8%GcL)EL*8YXmhA30w-hMQPDB2 zJ^yivUjKVBq3_t($uYG}^$21YH(eJRUNElc#-rT>UXU2vCz_3r(4djItS{YIA$$|nEdbFj7zS%dunVJmyk111pE8vTmyFB>90HDf;vSb5oURrN>ui|K>3H#(%+6- z^bWMdcQ*uK^}7OqMz<;%OBq_&I|{V>DhnLB-i&YZ_`C(`0X=6X?T-_xJ9jTqG;Wtq zZSsL9eBrhF=;Hmt{XO!rprO&2!hWA`o(k3Q`MU1ON|KF&#Pj)2A#K7n_sNk^&lhsAb3 zY3(dD8k4Lc?Wxxl93W-b6?~_u&SG70=P<~kl1+G%^(M35_}W%M(64p<&4$LPY#c8YOV zSYv|Q2ZVw`Rh0E9RykN!i4MgHDAM_G1L(LSOpI#j-&Ia_&OJKcf_xo^xJ~xtB(8;` z*E~#8L;LHYFm~$kj;d3>XVE_Y$$d(6I6UikJz%*?$XC!~^mx59a(57*GA0-i@iv01 z{wP`{S;p_E_P`3)lm{+O1a=oy@*e;Y=3&jJolNk>T{s21gVIVcR9|!M8;`1=?^VyJ zp}LRRA92nnFgZ~-nGx-GgKU5kkfZD4*y#lP5&dL>X|&%R@>!gK5?u-hyg@#XOI4r~ zqxVHP;c@OAvNtY7fqoK)F(d2Y4DkD-JS@HCtBie_4$OsM;yDh%1t#2b12xTD&#Ic& zg}3}x^Mo(MmO$vhx{RM^U+25e9mA7lS=eZqM$b1<0pkbPk=zH(4?p2CJcog-r$~rW zZ!Lfk&Oxbi$4O($n`FKSdUTz@<#7sC|D9h0zQVv%e}7De1D8J0$BvM4>S-z*CY9=Q zL^;0Jb|EJ+21~sf9^#7(g7nnlIiHhhpdW{KK>~23^igVEfSJ)wtw?cRWQ&ph?E)r) zskz2`0E{dpK663V=Q)5$0M zJw`oCa{)~wB6ZU=j3|%Qy0B{jNY#hGN4q5fprW>CFH;0jA*FDIF8#OxAe~!#;&Q+K z_Y0?5%ut32ezwcG(G>MnojsCjVeYNwivJilM{yx?+DEdh+_{J3 zF2#7_MOV{FmesgvQ2YF;)^1io%>kFTB?^*Id4a%h8}@NxbY;CJOK1XwvPd+J*PBx8 zC_NUUfu7u5R4`%i4N0pKm>mLQWKu`4-8;=o)=e-_8~}{wi#MW9qt)e(h-=Fh9NK70KI+gIE*gp?UBxw;}=%$7fM;_y-(SQ zpn4QeWCstIV15?oUf>YjF4+eth+lccGUi|l7N8gtFsq~S`R_9qe9ce^9*@RX$vQV9^B^QKStensAw zR9EC$-?H9ccjt8f^lyq~t9cZ$-==*wg3B)YAGW6ywo}fR>II5rwmB`ij84fDs#IZZ z;1;0@?Sp2^FTnioHJZhk{ls#$nHpH>V5OB_euGQZAAhH);Bnb1kW)IKX>4}&4!M7Aj+VYx~JV(oeeb);|Vp+QdYiv|=?$KY=7BHbO8#)E7uFSkWN{yi#HoF(qf(#<&~&m(l682Hy(oa#9<4nl0>|;MTVW zIvH$98hI+!e%w@QKQy`Q^K+yH!_%<-q6B2~Q-pa-N5-rCsfmHq?6(;P0)=CAXv0^A zXJzJ4(6{yn60GTGU;o;?u5-Gh$M|X%{-lF5OQ82O5*hqTzWC^VYY@ zv3tj7Wa;l>%6J4nq`#97b4s=_qKX-)K`5gAvI&WCtui;Kj+0>>Ks8Ca@^ywIhjtp0 z2VU0?wSXtIgpXsSiOwM)e+s|DKy?|A)4HfXf3COE2dNEgObg_|nsT^^Nbf{&`)vux zQ5fsrY(E&!{9`tIu|U+3MvJOU==|N7drgx7wQ7=P95ab~v0CcCPF z!#l2NW)Jm#1O4%Ttr?@-RH*}6BqpG(ln3}rAEau=tcfLQK3HwIc?Ds9Z}k0>{Y-r* zBIa9oK)r4QF*_*$sqAH#X{$km4eftUjEL`QW$m71iy;9MikX^^)D#UZHjL>3Ej?!j zrYfKM(Q60a7=hQ)>_})w0{0)R-fIV@qX4l_nVs+uQ!Izu) z1$-m;ef9bm`|$OXGjO)=N2Yz2gJS@4bX%vs4Q=p+CygCzP!~x)_+%uf^BdXLeLCn+ zivcXvLl*g=h|ry2#1a?{o+&5E$t>;sIXlAkmyOw9kREjTQzaF_PuBs~{i+JMJUxBM zC@6Byc6(e$cOZiNbi$RoQ)@yM_1w^mxeP2aiZ3kbuR_+lmwS8%O)q@r*nf)ZXc{wvnC+W!vDV_=n>1X$+LY-wzO0S zSZHClkGwPLuh6{sE&(!uKU8=XyaQ1!sN|b7}V*Jbe=jxSWu!Pj5!N z-EB~?zX$79isgR}im*{29f3CQoc_(IxG%Us0e^ltautw7;&D1peWuF!BBD*vHcxMi z%*jSvagyNejk)+?idaH9Gn0O2Bp&z}7Q5f`3KdDcqWC zz`F@}?E{VZB)Zb-E18sOQnlG^IDaWJz;*unXG3g2&elT(Oi7QQojOZ5!Xi`f#^Shp zo=>|`&f8s{U3~=`yVEOiwE_Q}Yglz&9E!n8hDY0}@Xx&M4fCuRv;arhE#;{_(fp$a zS`1qJ`=$K{R;Wo*i1#vRz8YKGJax%_=J#E?(KVyGH0gquktYe3d?yaqBf**pcZGfR z&_AQ^D$wua)X#+^fJs8EY%ob!-vtFtfZL!v)$Sc%%YDjwrS+G@J9SJurB~eTW@Pb$ zYL}c_ZRxZiN0wAgspO+U4I@+T+#ec73>uxkJ{sI8A~@&&5GQ}&ElA}}!p|@fbklvK zdf+qH&Ep-Z^A}YOx>-7JkgXPxbKT8Vj&RKbH=Gef9~8QR z%*rXtmP(gB{9^m!iWN9LQnRGCCyTwA@*^Z+FISn_CTuNbbXh3|@ZZYqZz2_)I7SwB z;nBY*EjwR=VAlAbp*zx6A8J*D+DfnfX^J)(+0>9O9PRHuKc}0lz8xmwy6aW$uY?kcYI6o>LX zXj&K#Hj3vL3(wH_dKDO`n3^-$=1>@KB53S()%tKyAZN)S?7`yae|be)XUD@fFD=%* zkxS@3%WAqi$I|3DFO_N6xyD~4sZDdW4%H2wIcr`R-z#V8rKh8vT2rVyCvYz0c*pCY z=f}{rBP>ZYlt(|BFcuAeOGrDS|CS(lB&ohQW3I2Ir=q86Xg5zK*Ws)5){}K$n4oTB z->jOelK+2^F`>T0U&2@4BlK9kA$sD73Wu#D$KZ^O0Y3FAP)cYw8ixfQyirTM6uA8z zJjrB!chh?+TIZ^7Uo*}O5yhO zwI#@iAwOlpy-B4*1=Bz3Uor+D0nl||i3`YIt<~vGI(J^+a~3{aujI$ntksq7Xhx>( z>(<_sh8cLk{^)T%-nm)82wXd?|nCHO`3n~Dta6V=-1K~8}OR!bGAYOuAsFw>{YfKbVNQg|@F&<~qj{o}^a z>txcSkjMXMZS3aRJ8O&%h{4Z<>NDm~ytuzpBhM-#lP;RX%|__^7Ml&ckK>Q!9AcQ& zibn!H`G({1v>)wYNjNljNJ2(em?PS%CUJ2T-=KakjjT==ap>4RWPqUIA7??%P4;vt zc`2tMYp{qXfn9n74xYd?%@Jk8g!Zd0(9{{-dEO%vd4&CFKVvcwXC~a@jQY=?)BfPV z5!p12u$Z2%s*IFX4j+V^6)k`Ph+$wq{`1;yo`_-!;{jyJMO=7 ziRBz(n9Kwv%O|d9FBijiC7}WW?`7w$uEzR}rNXoet4%;B<1nwoe!Qu8QxWwm29kgP ze%NJR#qB03O*$;*5CaR!xBDF_z()Ipw!RuQAwKVL%~yb;o-Af`irh%*#w$6~g_=>5 zI92iR}$VJhv*U6`F0}6Bv+<;<8BbaoGRSfQSir^pD`Rn2Nf0|sO zWGOAZo8@?Vd-k>7k=t*yz(fW56WoA2-4Qp_XFfJ}#B@6EbM=fd2UvWQB`NFm zmlvf_pgk2!e{aaX+EDOw?a2z_GwTR+b-a5m*U-vaZFA-cZsa`u4HzTQcmwsX*jY#< z{+GK6+Ia~m19EMR$gR^ zXq7&eUmb?d{1-v4K#|TpA5!%HS@byFk@GQRhM815y7``#RhKFpeL;2KY29vJ|ANgM z)QB%F#{3J(Gi}5FMQns?(Q7tgjxP>cNP&Kxh$W=^ks-EoR#VVokHhl`^8W(Gy6Uds zOefV~sdxU(e{^z!hmYjGMgN*MQ+;%?#EIq?$G<(`bLz?;sGSk#!k}pz{G!VJ?!^ef zlzCNtL(vz(fO%YGJL`+Q3zS8KM^ zH_!R5?E0=G2qx{IoaWlEljhVmf8E6BepQhtInEozoaOf(sJ)v_Qw_HAc`h(Cu=b?Y z{B!SPmV3Zqf~z-_>;H3@g!X#n|9byq!N51jz_9ZziB@anFeE7|759dfVM5}V^noaN zWa0AJe$tAFOHOvzovQ1WcycFvK{>oCOFt!kaBk+w{6mYMo>nGR^4N=8ef<1_scDV& zdL=#FPkc@eDBCCal1;xlSu&3d#kwqbjt3XG{pVv@I`_vY!=2}KXEk6EJ#~~Z{`cgk zWNQ6c%`-*1$r|r(EhBa@BgLMvWQN0-g|RF-5Hp0JrVwMn)Ox)DH6m3yE1#xX7gHCW0{s>Tdn3S)Mj%h#R>ZPy&~}EaPlOmOSBd|x_>ab3 z2mUC+wr=jO_EbW;X~doq-WEQ|t=o4jCJyEz`N%hmVyu|2h15DBkn91jwtd_1dIFms zMAfiFN~ir$Wt@bS1N9vX;OPHQ(Xl`dM0x>_86=IJeh;~+-i4=)@r_(~4*=y=0qL-8*V)wT>#LBp*Yxc2JGvb*Y4 z(V;AzFz3B7osztUPUI8kR_nd7#P>n5YKV$Dk%L4u7u(n>!gumWGH}Ul<%FB&F=<_`TlcQZVZs~UmguTP@V^_@EoMW!HWFG1 zZV{Pq!ps#QFmpl5`UPGMZ)+H6^3}pz%jV_(vO*S@31>d{ZVT_WZx4xYrm|S!=2ZZl ztQtXn6!}E2V zEs{hRk88&TGmb5KX%YYQMs)iY_APWzq;3B?rH2(^dgBc2-!HlolDw)Vnx_&LDDr>x z>qa0fapT4wEW>-8%#@K8ZoYfF%rB(baVRrpEYtP~2W7v38+=Ue^K#b-SD0CEW!Ae_g4+{-4UeGpdQMYg=y%C@P{< z5s+d5DS}dB2vJZ#s)7{hO^_0hUIIa-NJnoOW(!l9NdsEAu5Z=pLNzwet}hO!9y zqkC6(w@D-e=VuI3TM81Y_k;m{EghX(DtQ6n{!Nt1qC9Bozwf1627|JPOq&2Y6$L&y zWCX6V94Pf|(JT}7VB8j7Up(EQpxQ4E`i{^7;BLG_2LqOZ8af$w=?1I7;f9Fk(ci{} z?Sx_cCOH7Cj|O2>ksY4zJAGRWdvAie1Mq@RT?qlAaqu#nkS+2zlo$ys%-&$?jjW%` zpaMfak_z%DRyz54qf`c=UnrfV!Z#1yQw~l4MTyrYq%SSC9cpY33c=@w`}OYBn*VMX zrf#=X@GWd6X7u`_86Y10t6eN+8+6RIN&U{RM#XgwzZZVKi@}A6@Atze>tp(zd>^8h zyVhGWQ>IV`O#z$RR_p+o>8hQtbyJ_Ky>|B#v3r_N3BgLAaOa0Lq?nf`K1bYbk@LEZuY>@U>Egw6$>o2y+eU7<(6bi;A37lv%}Xn&QA z3ytXnpE_Zz;!@{q*|*m{DVn_ZCff~vsce5U{^L*4wrw#l@cU5`>YjWf@`hyuhCgzi zt^WNsSS{hOj=wMXiJS|}hRk!gdE|HGRV*!mt}*C6KAD^@jAeD`KfVU(l_;IGSfpRn z(7%Q^4&V~|{^&L4d?)K+9oV}qtKXbGLSA8N^(=i7B(SFrXM}ZTeNt~bMTMobVKqZQ?o4@M@&n8 zU}@C?5Ahq4t>J0Jp3;Ohxi~dUz)YLByY%)ge&1pFio~+2)EaW zw3-ytS)F{f&eny|s$U#5+GH?*w#eb*q!y}H44mQ^8#P6AuHs7t_u(X9B3Uxbkrw8- z?QC&Qk;CT^Xu!18Tvn9%&5qy0mg#JJtoCn*qRlT2IF-)@UePR2+%Cd1h{NvFY{~n| z`q($bcH+Z@8AKIrUdjZh!L|ByXD3LDWih%915cJvy>}6hF?&axgBLuME;o<3nQh9V zf}qhGgi^Lz4}JPO;KBf_d~O|{Rb1a=*SxLvXDSNOV)7xUPL9vRiS!1m%)Mf1pyVNp zb4dE^T5{kf7fyC-omfl5?3j})&Z#nJLQFbU(Mn1y7tJY8)!7Jrm|;dVGngWLoz*Wo ztAiSdTz=e|d%2uF6#J9Xkh@`vbWFV4drr7qcAsLC{zX!hzR$eIS(lnkfn|QEz5{7ldPSCtixY2GZ^i@{Uh2KB3foEMA{fRbDdq`1I}iMr#KKb z?Pgn32pq)V*gj+R1 z!@l-dhs;=n5uDE%D?b)Nuq$qtF*h>GV*lXg0DUS-H{Al(73lY`P$iDr2Hi0$%9gpD zNe*Bw8R;BR5z$`yb;Gzue;`f zb@wd>Ti{dqwwX| zv=ITUv^k$3m(IQy+Mfvn%#y#sa{MVOse35|S03a`#v_AM%@i+pyQQm1z{BglacYQ? z^FByj#E}3L`X^wrZhQ;Lf+c(`Y#$q&i0N3}NI>x~cR6Gvio#he2bP*G4krp%RStES zVGvkv2D{Vv36@Yv;?d_S{~cG3-v)j+t+Vw62OO~5#RB|4;G-1);*PV&dh^Wh?iC&) zYknU0XnkCg6^x5A>*XdFqn!sGD70nPL#|kkou-ZQ8)x!b^@g7ROW$MDd)Fj_q=GU* z0-2eM8bE_FDtW9CxmnPR=xs)VfhQ+=H)>0bw%Cw0xPVG_#SG$`fNubTm@cK*1x0L!jRqcZPh>h|Nri|boc*}yZmPsZnMK*$j|HVw5l(d6sp?@ToUAh z*dfKixWg!m<4$GSS?cq~1S_L{@wiTIbtk>R*ueq@Tb?O#f4&*|{yN>fZ8)To>>c;C z8$x@Df7Y)KJwW1Fc?pmp)pXpV<_$qqNRa&c#B#_T{rq35URG@i`YM zwkug|OQy5M@KxNS@~aL8CZ&E37fH5m_RsRLb1=%9rs?9PEdO#BZrXnHGX3ZANA0Im zpo*5*havIP&Jj&6D6yB@Xn}8d)1O%{G^YfL&7PUDHCGJkgUg?^mc;(` zdv^!n`~;`ynyGxbqOJjUE@WY@PJ*Q_(A@<(d(3pcvh6}i_=(nT{_ znq~D=y%d(_f^)TF(3T8lrZ0xTCH)Ybe^H$;gf&xjeB`KleRx%ln;%FfXrzZ!@1Kj; zLLn^>RsIW2zhx3{1c}Exw4w&TFn3z!6=wVs{iRfA9?F^tZN8z)?zB|@?TW63jG20w zT4#IbXYM1<)<*|c{yp6FS#kPvn$}3MI9#COTwrAY=5LQ?gRU*~YK^_N07V{>Tqt~4 zVEB=@`$qW_@2*^kTu2iXWi3m#tQT1fZ&)J5US8T)3=zP40)P=_j(aM=e{Dtvq-b}s zVJXv&DUefK>QZnYUrmM-KPz>6tvHR_^0CB?SgFkG(y`L9cm0g2!PtO3fgP1U&Wrf( zu|)ys$z2smFr}YOVwCgyIIcl-ZsVUQTL(QZhQ1DIa%k@5Bdh&cR%fr6qJtMHiu)uG z0bX^uYNVTF=wyb6UxPCnCiW6|(ESyL1r=w24{9+<2~!n+Il_b(BbDCmRm>~;*6wqx za$_d5GTSlN{c0mmHjvYdTm04;Z9xA*N3UmCu`)ys+b7#9b6TYml>fvS6%_#Hbmk=4 z%C6MX;pDJ^o5RUiI725h{VsPxaoxsa+&Br%g~I*+OamL zIKTLoTnluxBrbNppiK2=6jf`78*iW(Em`*eHsom7HX&cw$U9z+rXar{m2KyoQ+Iun zjC~hG<0EK~>yI{a8aCr_|7eVjR(;huki?BBK_QcTKm@#^9NyJX^`CvXn6Df%|7ha<8s!VKuczveDV!zSm(%Kq1v zi#fWS)(>`s=gS>xg*7P~23{Y*GBC{BQ8Bw9qlK{pqsSC*^G$K z*>17mGK7Tq+S7T$*qODb0{lD$(lZZVQZ9=!ANRp|dD2*e^!Qg=nMszW-iot#fvRRB z3|Ja_J8|IB%0fixXMV^c1o;YV|B`^9-h9A((-reM4sN41r!vRiuqC_61pTrulAwFC zm@VNWp&6a9Xx|{Ogxxa1b&(zZ;9FIUL*b6x-f%aYIZ86qMcx~hDzU_=+Q4Om-OQYA z%5lnZi0{1y{^k2Z$#g}im14HS;1Y9IsxsD=XKU23@!4=1jqSIU$ zh;y0LoD5RVqfUO|;zfoPk$^_Ia+U^&huUHhCO$}(<^nkVXZ=ppHNAisub8!dS)sjv zz$0J6TLwLb#!R5&yT>Fp)#ceIb4MJqvXYj7+6IdkEjAAfa*?}J9p%R~@@-!`hb$Ro zw1RP5B&XNbeq}NIB62KBAD<1nUFVf9Np#Bg`arx6bh48V7uHE4W;FENrze;+QEe;s zEae+TI~8%F{)HvU>9yEbtka}8?W~MwceC(UzaqHWNmJ>tWNsOh|A0;X(upzT`J_&g zk$ji6QF>;LjMM%-ar;+8p5xWV!HT;rYNILP+j|%kZ-e5}{+m`OVZW1u^9#K`&?k(? z;|Uhi;4@X1`Fl4Nq5rP+Zp?{5(%$U^@HGh3Sx==;DCz`!4d|U8xxWwLvg5C7*W`9D zs1`B#aiyiK812lYF1~GvE9OZKH3m&~GNh+&ItH#EpPI}@vztW%iifH7Y+$Pf6-Xz# zG&M|J4k?fS6sIz6Zc`djWNz{`YzE^-=zJAdYtT9%KmR2SW6TD z>RoFLHaqJly7WffM%yUnzNwiF6>1f*oBUY3$-Lze7QLlyNh-tFZHA)zk55JmvAJt+ zU3ko!N8O@ii2fN#&{MP_8rxgTH&xf%+It6s!SP@M;*0ds!!ee`7$&1JY$lbuQ{{O! zYQNUO>??w1nO<>LUIj2&At^otDP1hd?wK&aO!)c-FG&zGpHiZXLNdDjs%U8C`N~Js ztGkq)sFgd&*s7(t%iEC07>RH>a}i!f)*{p%$aT$(4K5ox6}9^3mO$7Mr)+`s;x$N`Sra=U=w&<7{Y_aB6Y=*{W~e`F`C9 zzu}um6+H?M(z0^Fz$c#Qs<|=esI-hYA7;s7Us<_if7a5gN=0_1JiE>RH=tRJ$@)1! z?QbY>BVN5Ytw~tII1Hq!ZA0Au?!Fw^@VUBeQKeKXN$s7|u>|2xOxvV_P}yALG7{71 zL(%6GTMwT2-J(|)bl({Z-Y*ef7_shkZe$9wUvs(uM^vLFQJN&x=m=Ez!!BrQ^P|G8 z{Q{262n(=_Y zs9aFn)cef5LO9)wI6C$QEgf-AI|0(bH(xmc7sa8lgnq zn-US^ibO6vtekKVUv~>{Twkf3xX=p!$>+z1>~%X|Cdg`!ngnbtSm}M4o!-wd$=;)m zA0(st8X)Qt6facG93l1dSZgZu2=b*2PBe-Zxfy`4_d^Az3hsXHTnBDfVc5_jf?!bdO=S_V|D_-~ZnxB=ji0fkd`f~beccPU1u3>`z);50i zL44*vmiEATg{AC`fEC}4XhG(KK7+lQtGZ(e#4lDl+?VD)dm;q!JaMHJj#pI=;iq=H^`9P02we!42f0a2&57flb}-u-I5HuK6_j zDPL%=Oj1;rEiE~@O*@a;{yy$k!M*&S8eqjQtQF=zU2pi{FmIR8ix>LieU)5fYd5bl zK39G4yc?-|u5G5fjB!jF^2^?H(3#-#b$9XG6Dbo#zC-BMCf^=>MEB`blJqsRQM^J? zg4kX_{B!xPsrFqdK4J9U0R$Eh#dpcB)=cSr7%slEbu`p1WMNaL>(pqR@apvH*}=r` z>e*vqumyQ-IrCoEuJ4IU$?q8v7(eMZ!#r8-obhOH?@r+dq{r8ue9-dI?^FxggWTjC z6!~Raw(=vp_4f7n-=yG(2V8;!|C-iQ+^@*+9EXyrS3n_N|-mxG$8J&1o@1GVK z44;18jp0eC^mSW>w2k7=^3$K%$bW7W_6i*x5=t~IElO}1>FD+1cYP<@${f8aPQd=z zj+0uHdMTWBsxzdt_hiZs!_c8Fc_T&lGjPdXZ6GU9%y``PosdImwW()_ zc41ao8{Q9%eem;jNLckhd?$t1I{^n}UlVpJ?VoLV_`^&;p$8xOqlJr)@tVB(Q~$25 z{(Y`tz30Md=BlVF%a z-D|Ut^KLu6_Vdt-eG#)~J%@we%h>;;@0A+*%%m?!YZ%06e4Bs!B}wpAYL4a#(oh$5 z`z_}9*X4?5kHEzTnu~WAt3C5I!i4?475u`E-M?#V_+AYg6y7XY4O(4y6u231g_P@uy%2vS%nSOT0aAyf z`mGV<=hu7=KnDoa`@Bv|am^`otxx^CBPuB~rNdS${|m$Z(R=97TxtRbw|t65ggAp5 zq9WnTT>x+raPoF%Xq)>y@%rk2zu{T)TjYLili#++yG9rcyj!;Pr_{}e?|%^0jg04w zok7UgH6w7T&%&gv8)hTM0^r>*~fJ<6R5`>U+X z!WRp>sI2tt=X|WxPIBpwz(yz*cKjG|2V_`3)=;lcUR(_=XUW%wCiH})Pv7Gg>Yv3U zq&^oGmpOK7N4#CUs&u5heY!7dNLsspx368(=4EUKS)G1a8+*okIuHi!m$O!>EE5C? zyrWM9wOEB#xxSN5v*2uh&dyGMlb=Y3`zc9XcNl3}-$iS*>jHeWlDKal5eK4%tV{oI=cYZwwcR!G@b4~-uQ{N-xBJbB z*Iy|f@V*>rk&OAm3l^VRm1$D(R_<9v8}jng*DMQvQd%WF<5m;zGr!jlvv>r0)H|UB ze7(Q;g7Dk(D`QF~;;C^v*6X^Lyo=?=85q@BH|gs=SZuXIJ)SJ)+K7xq0fO7=r+2ld zut)lG^P^SJf%0LyW{SlfikF9`h5DZCixU=)gaBCntiPC+ zCP)5&-aC)otC*5!p;A8Wi$$P<81itx-v5lUvX{t( zdrFMw;o)m@(*3&snLxj@@VlY%T=S#jG@Fy|-5lr_&LG;0FYHwje9=`=(l0M`Y1%6_ zH^u|PU$-xX+OufV=`-qk#aHmN>TUTcLizIhiY7!;MSbVmx2FL2Q(E*)pM8T>Md8Ej zXN53jV@id`!?M9!hldSpU&rp#iw$jnzhuo`KGv#pw{S^y;&{f2XV0Dbq=40Cgv0Cl z-HEVEBCPM?$?EU>WX7}9*&s4cONU5xk6fQyM~uw21CmumphNuk4c&A8>ifD_rGLfI z=;!NBj;t8#S}suiK5yxd#%H?+z`G2e3PHDHnBPsgZ7V@_EM&{Z-5cXtfZFEwLf<=o zxApJokjZu6@jY#a=P{PZvcs`;Zk)UKY~#0k{~gfaEvX#Yp}|7{=vx!n?WJ&uzr|Bb znXe!4xy-RuD6M%Y^Z7o>eZV2R!@>S-$N?j zyN#yY#ZT<8-OMdV{GA}yr?QPN0e-sY=^{QBEExMCH}RhU%f8zzx&L0pHwil1-!5W= z95qYi(Y0EL{U^EdgwwqNjoZtegKNIJ=c{CV+`sfuM`~BizQ~(q8fQ?`54?@)e)&aviPy-Ib&h=Dv<<#@3KNj)@ww zTuikSD*K)G4n%pbt?<2ngY0_?p2_@eUK-};cqU@W<@es-AR@B0yD{4hB&xP%YBjGM z&AG8NEzCNzBKGOSj;&E{F&8CuT8!PooX!&92ON}*gqd(Jm8qxdQq2ONJfGbF7+G};vfpiPW zJHF#Be1J69tIqk3Lz|oD>38~l-LFy%PZqM=24&M&T3%Zw?=gqiN&7Z2CC`H>hXZ$F z?0Z8G%iS?%k=YjR_QuO_(@vJbOX0~J1<%3DFI*Pc&U(A^tl-s$@%oL$b3h&cwN?oV zawFfqe-1g9kY|F;FzBjn!crI^_>pkM1*by?-GTR1o^b{uuv- z>#lRV0en{Q{FAeWW%_!xU2Sut5`x0$=RM-83^X1=dG{PniQMdBTXcO@?8xEtST`PN zZT52N+t<+2MbFaCyEH>3en!>ULajaSe$jBxoK|F2s?dCTp5o`DD%fQAW+2;3GQ^=lBcS}(7cGLW1 z?$wZ%rIOCwU)K`9i_6T`Q$3?|x!1=W-%b%_VPD8VLqJFKHECjKPAbKiKBOme`{X135rC|O3NkAr;{5wqk3l=ldjEu-D_UEG?v^Y zFZ)RYN8PvP4P(nW)rd=5pw)%K(Nn!#1XD-ETD@PutZr*|vXISx*|QIa;g;O!EfADg zUZLZCdw!XJ)f44;=XRG#zq`g+^}?Sv#xFB%s4yOrv%EEB^}8>_EQ&;byCLslYrN5^_lZWK5i`i=#m-ouNO;79WvDpi+W)rUC`%GaV zHj+u0^rOf1-<>%i;(EQ^l~G<>aOaXoEGOjJTrhy04sg-RSyI{hCatcd8*q>U>}qx( z#r}e-CXKMRZFAx+-$G=)0wsB zZNy;rFhr`yo8zM zc@-F8W<6@t^NRJxt6AW|B}cI~pQ$Hpb)w}w8+3K9gYo8v@$%-`%FOcTJojAh5#b?w zapsR3X;p9equRGa$S!l-T}SPd^G-(Yb@vFuilj(191L;vbr7|LTY{-fv}|D`etv%> zbP~;l#`MXmO;h6p=yQ;xSLbg$=Dx}}Ttc~HfLnQB)l4HWdV2;fJMEToPK&<`3O z`sE=e;E4i{8<_CuKOz0@8`S?cNU`Q3sMHf6ayt-WJmHE?u{825)AI*H!KCNy>f$GI z;fkKWGSU}|`I&}E<>$9cXMN}DUvT?`^?QD$-L#Cl4w`g*vm;d=E6$^yin)BiU#FgW zmQPCUXFSdjDvaz)iV1v~h*bbUl3GK!umuni0QA0yEb>m+8n4VcG`d4+Pw-Uwv<4Um z!ochrc+*{4a-~AXf@UN6)W-V0YLok6*gf68Y11|t}0!mnWM6S+^_+>v-l`HR@i#2HzVi~^k zUD!+H-jIhBZ>iAoB3;H?T0x&l^cc?8CJO;n2uvNPMacP=TYifuGZLJTG?v#9oi zyJ#g;k`iNTwKPTZV9GsI<*@@;Xi6tG8JAC2J7o%g^Hp~5(demb;Slg`mO$=E#FDwo zesOK?W>FEw4N@8GD40rR^&6mVX(n+aoNksd%mtxC%C?{>CsTa;QqHda()^4WLK+{t z0{%c|wK+fNKvnXYHb2Rc9|>HbAnl8w71-GyvSehG@KVsMv=vl+RbRJs^p4QT?2NHH3*TxG~J`5*p;JNp)M^x3!> zB8!!7rjM9?U&GH^4>=XT6?L8vaqhK4PJsW+1qZd!(ZmPI)%u*qcp)o^gfpz~U#l?o zok;E!GKlQJ+~bEwnP2LRVaXR(ZP#tjJ?=p^D$Zfg80h+dxVoS+Es)oaGlk(iT+pyR zbqpN~z|wFRt!Sf^e?AU8I2=lbTa;;>^1OPGHCupYF8<<7v}JR|daj=@Y} zmrAG2=~`c&SCWBwz33YRV<|+QjI7u~8Wx*gGewrJyFo#`D4)I+DjJh45M{)ShpaeC zizU8jHPh86j*LL>!WbEuVE7lz&{8mlL{QMyw+Ft=_@Y7aP&G$1#e)aNvP(-Wm`@Zr zF-XHqu^=;CP%;e;!gpHR*jiHS*4-MozBjd>9n1ebxOCgE*r#wVymMAOf#2)BWYU7EB+(r8?aV8eeeL? zpPA(Uj6Dsd%Sc6QM`m$ntF7-zb#i zbSW~6@u=mQZpcRj%d8Lc%%;N;hj-Ahl2$;79}}J2BDTW$d|QqW!q%VqDpD!^W(E;n zpdDnxxYg9GW9I<0S|JO&R0K?pD4c=xN2h)qq7Vg*S)dKSG)A%dzOn=zqB0T~mv^K#llGRK=SEP__Bq;-!3NYp? z5)T7Rans^8Rt{qI*5u32s;Kqv|(=52w9-N{x7L^j+FUGg24~V>RLrm*sCGHZX|f&lk+KD7>2|&>JL7 z*O0tRcn%%7<9z35rhN+-Az0ij&--{6R+%+! zhl-zOMy7bTz!(o&xK>GVz_NHGTt-4YtuN1;#t<95APqXf=a-dw=4@Cidpy*Mlc*iM3*EMPD6Ct5+82{ z9g0}IFQ`zdTCL(B?o5q4_wkM-{`AF7wcnUczaM27;Np>br&5sUS52$36ZZ-d!%L|3 zEYo>l`2G_(3Fc&ex?L&lx_x*9w^OkGR*n*4>-p+)6lyaCnhs2maUN9$r+S#MTQ{pz z+9JF7#_A4P7D8()xdNJ)?VXUPVVlUDFYB^1=1Kf++F#AbvE|%I?TankCUgg_>NU7# zrsOT>Ue zzs7&|*uyEBW3|{5e_-&-cGIOpUHBG0@m^6i9oed+inCO7QwK@y<$99-tdaAJ&C-PXCr^dP{o6pMk*5TY+c)}brt-BAO=B8$k4coT z2kFAZ8b8?F8lUnv7iuE^A{*R{RX9bo@@C`l519eWhk@z_?Co>Dcqupx2N|EN?V~h! zg4GN5EiP+?1okQ>(>@LO@5F=O>Zu8Gw4mIv%cJRK&#j9TGk%Dg0?1^BFH=h#jNtfs zHY22ni^2b4j8Xm3S3_$s(xB*DlOH~W_reaKLXu3$rKXl5ZIg>#!EU!tpN}O#Q)V5J(C|f93)zlbX&3fWD(y7% zm*Uu^DHFM1a_cCww4aG7jZn6{`FxlZe7WO;+7I|_VUyPy;b47Po$xh&OB_PC&@Nf+ zP{b^@Bz9^^t6W&Wu)>rOa%%SLMlOWN(M~B8WP3-iQW4zR3Oo$~|Y#&?7^>I6f>D-H$>KGpP=TG9`R0kNCT|5GHPW zBKuk=2uD-ReOQ5zB~#*8sDY|VWY6huyIdDLI`#76VJJ}>^}W3r)XOeoTnlQ(gFKwX ziv&%M!dDo(+40FxkHVOvEM+CSdTebb%!@9FK3s={Um&N>3b=4%J^>D zKfGjyHi)lmoEnR>`SHslU}ZTfFs^EotTKe>1}UdvXb`?8Fe46AC`L??BBZggfb7(k zWNTzBW_~5{^U$gKmoWUu#7-JUJQzqba0|+iC(6w+)O$w?B?5tzwxTam$E`Da&d!LVkMSjIXyU%nbT6j zfFM0xS>0+gW7&f#N0xAFOx1X0jW6^e=CgEE6U7h5kI9r9SgAn2ykaGIp}vzxY(8Uh zSyZ%6Bz!cvQu}goVL;g+?dM73ZjBX~xn1mYmR*EE$i?(JKX}@Tu9r`S$DTsmIxnN7 zgfw?itmLEtqo9Zbo&sR&6ZFEl+ltHR(Mk9fDp(nnGweN_^HJ!Q!g>P3zisF zLzMpp^sxh=&#g%5^%X5fV3u4Trc8?t(b5tm#(#F=3YZafNsngJ4kvs%IV6nVp<3Rs zEi!AzXrdxFY0;kOjhP5>By?r(s@Ca)1yesb84_}QPW(+!#>F5}l>g0KW<4{4j=?ys zC~-+Xuc4U8XO+(e=P+;j?dCF(DGD>cp(yznlydsJdX2`-@D^f?Ud$qG&QMiB2P=l} zbA)7WeS{=Ve^TlnKCb-b#FB(Ue+WDv7V0JO@-860gkhX|Bs6h?Xq=9YiqZE&-UlAh zVC=BtWdH$GB;OZT{(5GCuk%e<2VY=*r7Xb&*i}jz)`BGY9@m9O)mY@orDhER-z5AO zw#0^!`c+5hB?*7lk9m`BxX{|wCU=ED4WrHliml^OQX9ZSP!NP#GkX?kCO+{0w_;`R z|E(p423OD&kckY$qr*{$d(31TS3XDTRqm-eAH3sGr)jff%BKc1;V?pXhD zd}YyQow)QhmrKVaxI_{s4=|@28txqXJ7PvbiM^9VLH?1F|JJ-K)n6i{bTimqF2k=- z0eMy_Tr-jr*^K|uWW|jnZ4E}NVy|5(e&MN;I8S86@3oX)c z?&+_X-PH}vgnuxXqJ?it-=XF@;lz*ASYGa#zYH=Tv=r->%pa3OoK@MHFf$&(1xQx! zr^}?8=CH?RAXmi0;fso&(Tx~0V@8dpfBbobSj+ON1=T>RQC24wx$p&zeoPD-$W8|I zqn58g<=62sAIf&MxMiqD$^A4(DRo{IMsUIjLrJfkgf(EOwu?z&EwZGUb0xQ-9wJdM zM`21xCT(j*4e}n6@jr(rD6SOH?zR|zOU($bN&Xb8M3kz`V8XHWv9D9Hfe}^$>|8Y~ zZYDBV^8haywHZ`14|>>C2pu44B5h2KR=b+8m^-h*VzIJSgIW^vwiK_F^e`)_xHvJX zxFm4}^4A8{_Z^l%0_CPwLT=?F1cc!-Q-dA-rNJu1YJ9_Wn0z#hqNVaxcDx>e$;g}~ zLVAQ`L!~%TyCJa1Xw1Y6>elXY}8KiN&Y)qqj1;9qnLZ5j~z|CNTol1N+#>6gh4Kg zd(|p^`kfdtnwX$E2uO#_`~85JQ|~4S+;y7jzJH@+`6eDtf84fC*5o1L!w{>|E8$ke zV*aBXohkWVF)6ddGUI);a2uYvxk28-6{t)Z_nMlru;#4SHM4#?AC$c6JKGVN=M!aZxhxGo#=ah8b!)X0bl;5t9T zq2(B?6FS*SM_a=ZJ>ATVO43L=YVk+MT_AJoL}@)Zqx4&v94Lk^t}lkAQK0c6NSf{D zOr~WWRbZo;O^%A4ke=r1l+An!6+c`_a2ZC%qW(_aLUH&k%TnlX+Y(|1Ivp*x0%Ghm z-8VYyMhhsW*Z{Bs3i1cgyWI-|_%g(?L^5K;7&*Wi>VHtYZ6>v|49&Nwl_AOJ> z&&ogs#Tb-#H^!9m2MH#~rGHt9OVq9l?6rUzv9=;8WDhSlcVUmjPO?ukqw(b%R#2m% zg6h=cfHg%k=5^CKRTs~^WQRXnLsmCBQEhOR^)=m@Nnjm6^sAiWN1)S=|F?vc&zNj) z@Ua1%;-S=_mBkCFU#&h2lBK}YY`ktxm<+CU)z_+T(@u&8 zOu2|Vr^H3V6amtbDi4ad{nJI2Rba<{rxXzVYlMs^>_;h+FbTO6I+_D<_C zf$omqkzC5&K?bYS49`NNq7N44p(qW@hf3sJ=x-qlfGPJUw=bXD(3D=JcQR#pUw%-Z zX15JNX+`m=!G6?&w`TS)eo5!dW{3Xn?Wj>*cp;U+;`or6Hq}A%v%Fed*4WI%3(a-I zr@+&x#hl1@5&iwgpGm5N#jIyD;SKd6a)aaCwjRz)n6$#h-CGIzD+P&i>CG{L5v5;I z#!sB~Xe&AHR)Swj1&<pi7cB(lG4zf?9hz|B4qV|k;IMby#7 zDyy=&7BzbxttHcCSnUVieC0l&wCJZO&PfVpwSUCI9Zu^`*=G|VTe-VbU3sGX-LUSE z>rzw^o+4M4tx`HSQCG;UmstI$n4oYw^9;_dn5d$bNFXGeEi2xP7Y;#j7xrx^dG1D6 z=RBVO#q~eA9CXScc+bQc`Gq`cv^|5}qp$r8gat1qCjj?2j7tB&a@W`E(&0&2Xl!ZC zkNz_eTnsJ60qa51|IPZ5f|DQdhu2SY>j9Y&22GPWP6qes5F7qj*X>cEu-85wR9Oqu z-Q!~2Opafk!<;B=0WmWwSnO);86O8WN!(2ztPwJrAP5;d?vHlqqdT3^ICC0-a+K}k z{>uDvDQIYx68^%*<5Q!#FnmVbqw$knUlmX5Ocy?i+=t_fuo?zXlix+YLem;#^cx)+ z`Zw;O*t9Rra}y@TvU)h;sq>HNzKxOitxD7}S!r`f&M`RTk{c?ijq9lt$c$dKD{wD~ z-$XSZ-Vl|yt4&#C^z+LOp#|C^AElcPGu3@Kjxb9>o!nuCnJGr-#5Y3ID2c`lB;hZe zcQ_U)Pi<*fZS*2FKy!VyLCv$I+S{lBkNiFxqxmkv2#~71eA488-iniXAn$?=xfBOf zDW~+>S7TpXB-U~Kl3)fP4cF(scwIg@?w>ToNX^y-Y z2|~o|mIaX)J~0DHQZKX_=T(=lr2O&tsmCe`>o275S59mcKMswh!!RwVv%)9z+9vq_ zSeID-2e+>^^a#-4^Ng?EA8MqLptjt#;~VLa6+?sX0sGva1r+l4HSmmVFwC#53s4rNj} zvC_RU$G7b)=L;N;mp{G(2fe(rw*Ne>zw$xrTbRui)~dS8&N-n-AjmU^ocixWzj5J#7{IhhmlMMCAM~X0 z{4*P~tv=4yV@R}xQZnJ-BHvLz*%@tb+hI1}K$wyNoDj2Ex1XOFk-69uGs9o<@iO5^ zH&-WLP^ZUGc^WhbT_I{)=%?mKqI&zO5yBRuz3?!7QB=qg&n)JJZ{+w_Q8ao$KZ&aS z${_yrz05qr277+{1E9ZKf+~at3S&l68yk*@pNV_3ito-Y1tEa~9Qw{g3*B`_y0s;o zi~_7xAJH3C+5w#~*MDhlnG-B-nkxY*{_x$4gW%yDf;-&CYoC;`a`rsk#I=ujt?PqUk!;2LMxR8gAoh^dBwT5DqzgPMcHC2M)5 zv2+6}^B5i4pNYYv#y3D3D|BMPTnMfN4m z8ZQXr^u%T)P*<%e2I^9;e9S9a4_^=zzmonhGzKHNJpCb~vZurYZ8^$jo~82m|2{bV zxt|LZFW|6@L^8naS_(v>O$j$zhyNoSd0%bL#rfG@l$*d%^JKPSLM#e&FTY@GR`?KGt|9QIkqu)SG80=U$zh*f6TG#I_os2JM+&u6Fe8jjbe4) z6GpPDGxvph-9G2Ek;P|gH>cN$9N*PDu&zGLoOxL%pFBHn5=-tARF_AtjIvSrgkxDq z0@X8AM~8qUZPZ7M9s7X}zSeKdz;k=C2{>^VZFnclkF?m*I%%wiZO=1Y#Gw7_3mtRZ z_Lhk0@bt;+2Rc~@U=g|E?gNw_SG7Jg-D;sfER-zConkM1w`q5SKy$(M%rO|YvUD^n z+liZOv4;p;&?vobYx=*4>PVzJ|1S*CjayCTsijMrlZLy2?0r5$+IWP@yBoT4`%1G~ z?6M{;QIHaKx>QKqXnq&#JeJNv905&RP<1pAp`Zm7wkb}Yj9gVe9Q~M$rSGD`d~XA> zlc?YPutI(vCwVp|HNas5l(u34a1;0_pk8ELIeq8 z=`xP&NyjV`>^^dUe4lO1;-5Oz9e0vy zL(sQgZBr^68)hThDxJn9jMZB!AuNj&o`?TcEvJmTNdna|@&){5Y|VF?hp{gmcd5>@ z>t}@NbEnlGjWqo9Is3@}zeZydwO3qZhf4*7kOpIwfK6(4u=K{;q^x*-qlf5=!QEI2fwR&!(Dh8jZH<)k5CnT z;BFk6!ROmVW9hvLw!*k|Hi7GFtb5H;G0;91p1s*OW?0fvEs?gviiCNm)c^Jt0_M8P zfvNfqE5_}5gPJ7T?)p;AVX8)Yi}8yduYXz^a^qt>e&!xh0{Wm4r+Kvnu36gyuEWNI zsJteCyWJCE2{BzMrq2O+Zq*PpW=wMDV>p+o=m{j=Nd(`zF$Q!|4&5|nBnnBR7o$qo zS(1Gd0Kw_lxTH+#bbbuBI9O0#jN_>#NvR=vXLk*+P)Pck?U-bhHG)HH}qal zA(4(AN@1H;R9sy>f&7G$F?ZI?a5E+2>E)SoarQ=62dBl|{$QBOa|&oRMoOM*t1d=) zS{*Ut0zd6srD^1mLNo@@DJGWhKIww^S{4!nwdWI%;s+8F3Vyaj)HQU|Tt7C6wcyT7 zM;?T6HFvcEN(h{BKEtiX&xiPa)>^CDNqDX`BMxT#%!hr->%1a=-g*8w?u?EUXmMlS zA8qBU$qV)!ae49G&?;28<+0;E=@$^@FU;zmlxjkO`L`yGk?CO%SX% zmBvjxDxC0`V@;C0Z=*o~lQUnvYhIOxDSZmJVUfLXK)>n}3X$a#VZmC8Scr?>V7%dL z{>cW<^8>%39%yihZ{j-@QW41i92z!ZhvN^$)9;;sYmVO~df+SzIC0UZd;VFWDzNRl6&jy3C=I0tAeBV%$c9$^m!vlnV;s;DzRI0qs_C0a>Mm)Ru)Fz ztN0y9fi^>ft?^e&JfWD`w8xi@0siRkp?m~KZO5|W#3&eU(fKFV`X$}&CH31n{ShYuPuAT3Ip2| zgHdMow7PQW&?_u?`Vo1sbrvnIi^%B=KV%l2te~3_AnE6eDJ4H!HT;&iH)?CNPe#cl zLdQtYT96$Y8XzWhgVvuZQ}C&k)Uv6kY=kz=znMW@W{PmdmnvHQQ~k1H-Jocpd1t1r zSgEn}bH?4HM0x6#FH=_tQkfdKiV3Ce{yQE5Fd4#XZtN_rL1Q%`<;q$tjry12}&eT9?H;bcjAtM)u!7nPcx)%BKNEGk(Es@~X<-N?SS}P-ecKaI*F8 z4YZ41H;nhd=Zq~5NItJH9r7t*@f@vAjx`u8UC6Mqr2_KrdqeySY&@m?IIEAJA?Y(7 z*|+C8Lo;(rUfT0B0{4n>ZB7I6-5s@yoJLP8e#-WreeKPqGN*$X0O04z?wur3EpY1q zFfCq1g3-&Y>==LAwR{Ym_11=0Dk9QFNlSZ~Ui>lJ(R1=dD-Ev++-}YgP-D(V?BVqp7p{ZIt5YWwD{|AJ04admw6qJ~HnJrp530*Qs zIlHl*_k>!&I(f@7)JmcQ`o8d0nk?r)h3^*wqR|BI&RUfpE3MbY9ZIUf1oOyjI6Jz9 zp#)mCVDK+?Q}8TmE-q@W2uE&06ykjwdlA&$RUXB3Zq!vUJZVx$bU+~AJsyijkPnz~ zKPf=i%t-T0GMKaC&+wtKD*~Mz9O&>!4XDfa3&)H(VxLnu5*9h%rY(6)KeAJOr&@NN zRaj88A+27RN^tN6vDvP`TqM`5A?TPO`#G~G)6U7?+^Lf35@XL>XSKp(zBM2pnjvXW z=kJz~sZ$y!pL{8Q*__|q026}Y;I_H(9_9y2Xg%_eLRd|}7zE&Qzwi1vZj76qA_RWRbKQ7|Nd!IoF2~%uy-u9& zW%THqBoKakZb5Tw+x2!Jago%*0LtBd>H{TDE6=?ujfxZ~OQ$=x6WT1fp_Dc?gYGnT z=?Zcy@P=D0=Z7c?)yId9Sr6V`@VFOj+U#lmJ}o`FLT>(vO!_haBk&kHHtIE+w-<=; zcGku%HeOu%Gpz!s)^~)eD2*Rpb_aQkGkqMrPKq{--Qm^V|73!_5YJ$!`}wxeWu%Sh zpB#c72;fv2$(yqTImS5t@;wuQ?}@;y)XwDJ%r0Nzq9CLK3aN3fMrv3Nh5fDR%hd_j z4_ke?MH-j?)+EAmRY_m{%pN;Qs}rEMaVahKE^y-KCEhWGCnhyahH@AVBK-$COu#qS zL->PgXz5Hj25P6S(ir73TigH!|F-C4LGb(S_4XQNxtpKEKQjNJEMHvjoDtK<_dpHr z^5eQ5g0h%Ne}FF(NTjXwIQ?4&QEscqe${~G*^^n(b9BiwFDEFR?}s%8b5Z4ma*8SV zE9!*GLv4mW-Xv8SPt>N!R)n~}d@e}}6NjtwgfRMNCGpg0UDAYikiko+=;b#5Bn%Ao z7S}pRGfVWwxo|QIm#n}XClr#XVU#dE9s|oSV@O8AOptBtM%ir(i;t&=Y!eEC&>gP>3aAJW1V!UMZqC`e>C|lsjvNE z>aP7I_2?NWN%N$t1ZwD2F(F#K8fy{K8Xj+KRHTPege%@65HlcrA>Jj`wZDl}eCGXb zD<7w!d01k)oC4vlj*Ft?I& zm)d7t%G>LnlQtYpY1WFj?8EHxSLZWQiCY8-_mcd!IThh*U0uWN@2KO(%v^TGcts<2 z$I}Ks6&p{iB@5F4RQy>!6@>X%mw(jz;Wr-`A}W0xj{HCwJ>3_s(%&P4{d%0wFv{Ut z=Q;Sgx%g~}!0&{_z;wk1c8p!|qj@d&v1g5-tPn4BW;FKR3^H`^f)||H^W%jS_6Q*o zapDHyJrZ0u;PV9v8ZbCqh8<2t)W?cy-iT{miviu&%6OLZIFs1_s#N!_WcBaGBPdC# zNZTLtHQJZaik6UPy&W~TwT7I+=g$TI@P&6*Fu<~6xwa%rc|G@Ts-oPU0052wSh@`e zs~)nSjVlUTIH$2Qa@?+cnMSq%2j1tK=sXFNjBI8iJ9DfURh9jq36Bq3Gp~GzjAEzE z3D$i24!|o|yuZ+;q_S1-)7j-(Zy!J0VUge-cUs^Rb`#KGQWJR>upFG6EIr&;Z9XOe z&ytRoT9+zuYWOmuaq3Elc{LO+5UF-;2r%tCNEt}WU$<3faO!jNMl@wx-4qtn&2$v+ z6L7|DU{XTfzU(Wx!TN3(ftY#7YsvTsUS(qEv(8xJB(})CiCZ^6^p2cADeds6u3971 z#5kMZ3}eH=0K$o!1bA*O?{K#MMCLY5X_mQ2NXxuG!_~GE^PXI|XmOa&v#{(-+wHFR z=W6;XB`#tzKaOM7OZ6syriSdpl3wf`w1P<@vJDd_CQY9zT}VB+J-iUzFX8FYsy|PZ z-B(H_{;9j?(@xR-X5$(D- z%EutAVX-3@r_gA*6B?m-!5Jt-8yc}Xg$X9JI$R@q5b;9Hjo~?y5%|qu_YTSo5guIX zsz_{13JNqWrKpC$JD+*P<1K}GI-&e9e)hx(Jp@YoJTiz~1Y<;!vTLAmyKJ>1P|3$9 z!s{g>(n}=c`r7fjYMVfI4gf}j*Dn^5XyYb2dPGB~10o)ZdD+i9=*(^HLDbAA1f(zh zGXZ9$8^)zvO0(Otr8}mjmt4E3ehP+nPUhxr1p$!j;)Zno!a&*ZpB1?$=`a8 zPnT_BeDmb;ggT`m6uB|zTJ{#R_T@g4q&~@!mcHq)BCgsMiygO9SGW%^vlrgFQu3*{ zM3NXp0H2ZyP!reFi{#^AHSA@Dgap}VptPzEI3`U^t4Pj?6W6^%B5d1k5xfLJ4`9s^w((ppYR<{P12u?4#r|18);Ikzb3=SL6^}!vg;?I6xoD`YZHV02d#qh8{ zL5ckBxm}|`$$Gji+8KK;pp)UA(0US=Ua;$X{OlSKl{!{uP*e3CSA_C&#Le}H#eO3t zKmYr+p99DJuU}5gh|cwX=pvyPdgC1jl4=CGyQpNo-7$@fGIZJ(+s`HGyIP$`glpNb z2*bNunZez7jCmMdM_g-Z*XmZ%%jm1z}wo6-qhjT6FhPlED7wE2=xsWQ!s5_x*=?})i`K1nD# zX^;b;)qO98K#?dWKz}nVG~oHs=?uB>dN}-e0=XVwQd&Dw0F^d$#b}t9ZFP7FLid)2 zyR2!py$!B#nuz+PM>@TGNXt#ItXp=T#GyMpG$V++ylkP&;nwcK1-zfs^fu?3KD_?^ z2`oYgMnfY5Lb9fR6JJX-!^D`DkH;7+;sYmmo<79CQ}fs1bb1r+l+@|s;6L4@5Veqy zMg)pfS^|MRW$6Wt@KC=8dy+I3D?_qQ!_gX*C}$5IKel$p;p^7bC2mzQA^%ck?jh-t zjvK*nf-0(!i<(6U#aCfX&m1p_0mK;3B8WcF^`5L&gZvV z4Iizv%|mt#o*XH>C2znI0v69UROq40ZY(Y`S|SR#WOY!bb5-Tkxb)u{X*ywfENUtV zceYJw6MgAlWC)G8juOl;;%#r=Uk~Bmf7>^45t$iy+{k-8Ak}L15lT#Kx*A(dW;r7x zy$mo#c=Olca-&zivOWTJ;*-T@p^b-09d5!1Cb<*>AVd7NhMOK4=_H8hvs@jF7b)b+ zhzLOylLEXGHM(9s)5L5z1`l=Gp80auk9zWpn!z6RA4Ar|0^?ghV%=g)pFItNJAD3L zw2oaovP-~?pM>0Q*D-ZO67PN|dmG=%+mCg6v)OXU_C zeePb`?2xPY1O4^J`HZWNAVvb{HJ=eAR9YG_wfgZl_yT1*pw~E)gz3beXbRAk6-($t zj{)NLx}q0=KJ+Gox9M>?n-KTPK}z3&0=IcYPJ*#Q^Dgfnq|nDZtX_8nbpWC##EhqQ zY2czJartu*!Z1I}v+g<~BKfWK>Pk>02;=hu=K7%Az|1`ldz01_V#o;pKhCt|>uwGJ zFY6)u9}+%-^>~&tnUG5)=ZFPPZVUnWtC?B8gqXfvg&o=i8JKMkpMs{>8`_=v+UL*v zOz>~s6QD2pVVu*-nsbld=zAziv-!oS6a=rffi;IOj(wK4QvK(2j12zG-&1{U-a8AN zTvpy*x^t4LW~$((KQnN6e-Xn4`KMs5%)9wAWIx*5sR!5Ks3K@~05}e8#A~^>Gx4kNA|P*b_sKeD^xV%F>8+2_yWg^_@i{DOahH z6u4NrEBIG>u#b&F3TiWYo~Q+!(-wTpY5{MydjH}%h0@Uf;=3qLJCGyzeJ3bZwpk?m zG3d7v^st5Wcu}2SetR-3g7oQ3#<1_3!d$-R1^#EEbVBee+QdFQf+v4IpzAC&A#I{S znKPYux1;X+Efe2MKUAXwWyd*Yd+j+7-Jgow_%x=VhDsb6=_Ukg2C~mb&+BR1A(z(O zw86MCwFT>G0jlbFAUte!=4?+r`Y#FZAx!NU-x$d(4awGi$z3Is3#%%a`!ZH=S{x@SNAG}OzdEt-}Rn%`;UJ6Yw_bcXpRJb%5USc{I z>0A%an*Y!u)9`S0KkFm?<@aT!6uLO!XEiHu(4M7Sw-Sm^W$i`r^RlnE$@R8xKWcY> zpLa8|7*Vl2+r`0+*G@I?2mox*OP+R3Fb*0;dK!72slUg*O1QObztsQyrqJk~{D_W- z7oGb^550yB5+@o#Ll`e?-O<)DLtA)~%KHx;u5~IXpo=MouEa!_@J-Fx-+%8??~01jT_*uMv7n98Ik`qyuN`!xkKd~zLeh2LG~Xl6gTL;)#zR)Ds? zY&F=13+mxFTo8<{tU}#Guib<5&Ko&A`8c~V2>erqjDFGKHUtxA@Sjd(~K>!976l?3M2u0Kxwqxn~t=L zE5EdaQRoS(DrGx*42sm#|3k!C2DR0FZ67H`TC})RphZgyMT!LX;w}Y>yA+26C=_=u z5D4z>6f4CoDZ$+d4#f%f@O-kD@Flao1`a zlx{Ipa?hMMVePbAnL1HC1Oh8ImZXnP|G9_M3FbchWx85?m#J3X<^2k~166#k(E(by zSkV9Y=VbV41PtN0=X266E6z8&kS z*#nmQzK1E)zUGHSiqzBQ{bs8779_{!JNiIYE!FPx7RYzi+hH5g+n!ABjjDacy%)H_ z>bm$ zZdJjSJDeb>Se{&)D6d}H*`vF?C}aXCY97<+)<|WsI@BC01f_$;|1%%5l0)_YU(jtX zId%ATZh(pP=w$g7*BkfATd&X83s}?o*A#l~g#`Hw#}LlF2zGab`KUu!Ugq`apOuqS z8>9T@{|EI@UV-$BL@yCfFkO>N zhmUwE`Drc=uC?@R1HLItd0}NP)nn>HGU@M=6QXQeUHufUJs<6S*|NPKbg8`-TMV)B(e5yP!|(t72L|{qfgh4f@d|+_N%nha9Ha#@b$u?e2;4c`a&a zSY3$G6NlIP?2N@MBVz#VW&hs5qB-}bcjRZ+-teXzFEuNX*MNBoT;w#gZP*p|V>64i zqw(K;V0av<{;p4(V;;A_VAcmbeB{lTpNJd^$U`V~(cqwRp8rHBDQ@EL_TsZ$_P%wS zH})?RlB~Kn+?BBmV6|L|CdkBZ!`<{GXm}BaGLc|jFsDjqEwFY&H`fa2SVf9=?^nKu zUfOR%F74(sWU)aie3mBHagae1POjhhPuYfqXY73x)Cpv?ZJ!>He4N;GB>B*KU0dJ|Edc9 ztQX7v!@a8rfR68y^1(&q_IgEhIiWx*mQ$vUuhv`AX^uDG-#&R{pVohi!)%7XJ4BWy z&b*!a+eXO7>1P{NpTBou6ew1>T4n#s#k&t%^?P9EF-ia10_U1f_QQV+c4H06MT39T zyH@Z2s+tSWC1mPa`9Wl=EUoZXC?R|bCSMVU_pH0>8Cb}Zfn=ed^Ca1!U5XZg44kcJ zKwAE|G)3i-@ipV-H!tcFf-FFD95v4C67-h;s@-n`UAP&khJ|^1)(vdB5-~F+eno4s zsm}rO60k~V)z1*mzkB5~1!l#{PbpyhbErq%HEq)qojLTJDZu=dl1plttwN*Pzt^fS z&T2Eix*o?{kMWQsO5Vd#pWTtQ872B_HJwaBd%I#ZG$COQ^DqNvgDw(y}G zZ|zXk`v) zXD^S5`*;+y0O3e?d15wT6=0`Ota2)NY%m{z}W6* z+5UqC(jR)y*>Oy%hwz@8yR{~yBKQdc8!|bq^@XYE@)@DrcKO>FoA?g`n*P8DRb98; zrJD$dczJy(Jq;UQVE~}MPlS!i9ZHNx>-=Tw8yZ{%EM$nh5x^y4v7Ph4E<@%_l;~uc z-C-b3JwEK*!+G5-&nR@~A`>u0ht3Z4r8)9vsXzn*NU)A1L&pLAx1BGdY17ChT}cLC z4BXB<_ag=$4BQph;}h#Q@+V1SoT*U=3aRaDtN}8T8_SDE16gxDq7y4*N`?0FdK+oL zW8{zeYp@m8hg=hzTPe90gCqNnO=o{CWAWS9xc^aho92&TkPlu)+pt-CH7ir;tUrH3bf97r8gDHcpIO> zwW-Eyau*4ur`F{&XfQnE^DdyC!I#nt63#7r0< zcLsiYPQ@sM_LW4nRSKi8Z7}76RP_^t9FdhGXy{^%qe{33N^Xx%rqP+}U(HV&#gOvI zWuFASgt}qLctFpZwt{!WtRZR6jzWPt3O5wmRxr+Uu1AF1;pCz>sxt#Q>((1T4tR~( zh=fW{af9M`kwA^%Z^vjM?%6$cF!wt$g6)b?i}q$qS|6#7^`+0}GCJ`nqfl2=ZKe?=4-iG=Lw=th5Zk6R| zRxu35`;ypO&AYHY<^@+mXbc9+bzff!zBJ&OL(~KplQv&D$Wy#*gav3>Bt>r#fOy&eQyiD)1nXvNNU5c|OIx z&86o`h^ZFUBO0k~AW_dL=37=y=8jEH*euj%A5+n7{qbJEFZI16J!*J2%c9L^X2?Q@fRANUlKuS34C-14iFiP4B5hk*l zKLSGHo4TYzsG3BmWR$Z2_Fi%j>P$~8^C{d_~vn3=waCXGMN@6ei)f5ZYiUO*z)&>4;^=R;B6`ddvsZByU{Ve&eoGB zMg30r&!(hG7ZH`^?K3xa5sre41oMpZ-+%ib=$vLTd z$q*~8y&c;UI?eWu8H9bbMZR!vVc`+u!GN2^tC-?69U z_$>t3uG_5j&~%vdJ)J!H4(&V-Mz~>I2$?1+KVA}v9N4a!Y<(};uz)nXj0!dU^v7Lc=e)3=zIg3&s%7J#+E?^M*F4_O0A7SFY*a=FYY9)P>|N9sC8?7K$bxq95ovUu?NT7kqH_W*VJ*Duuf&HN zpu(HLF>K!;Mqlvdt)sIL(&-J)FAjz^UxVv2ur$}fb5Fq$k@3>@iI_M6p%Y%Aqkm;* zx+ir?esWjit1v1sHVT~0)(f$?J+yG8=ewR7{i%^0Fgn+!(jMGv`sY22szzhtk71%_Rng+& zSo&mNc9I1q59|Uj*lgK~md@mq5l5*g3T8yu|Fa9l_Q4<;F~Hyvz*YSW?r{^~gaQ@9 zgFVi4l}ib`L(Fzod^fn0Ry>kZJYM^^cot-~mp-PcRKnC^0ZX>*pd3ArxA!VC@^ViQ zd79)@1e4i>sIe=^wdkJ0Qlt0+M;jtuM8mWPIq$Uisr)BY^R!k97C<{(xgVf(eYBjM1hMi+l1U_G>MC z==Doge_)y>P|haKaO5>JP+Y|MVD7^9W5mIFn1qx#gR`0ZXk`GY2G`4FC*-EqJGYB=1vjHLo<@T2e+}7+ws=PH zurOHYJH!g5>T~gH@q0mP>GByGqM%^47FXWPo@DwwE|aRv^Xn(Z(Y6q6h=X?z zY&R>+{)KdZRttxLz7t#7=b7Fp!c`F*+$X>CFQlsT?eFu7me0OYF=&ubpZRNAKX(y) zB_91k@hb)KF_85;p}6!%H~q_p?4R+EZ`|Y%l%Uhgke~Kp#%DFN@`2I91+gy~DkIXi z$^^DYQEi1n>UHGEJ?+^Zxhjg}@cUZeEKBBTdF;)LY@&pAuV@PB#!_Aal<%wPrTOR8 z*S|FU^}5rMThWtQe|hCa<|EnbeCzrikg#DzXLHZxY2XUJBZds5jf^CaLsOq)yJ!XD z;nsui#2*>X4Ww_wyJgBj zV#pV}#c}(Fa*sP?CJ=+xqE~W!k3Hu~iYs`BgzUr80JED)^BXQ6mivRUL*a04e8|yD zhUcYj%Y*nfBGpE}PcxiLuPhXdh5buO#%!^wRg%m?-I2HL4;YqFN;PGS_2;lBQpx)R zt8sN?f-jFqfl6$c4@_0x7k1)w?+6*{R#Cv)>&Ty$xcUI}`VcT+M3dBUf&U*(@oZH$ zvtLgLg$r5sVynFt;#AAQtBPeIth>fKpa%Ffvkq%Hjion4^-9O72S9hL1}fn2V7S(@ zOKELQ1k0MxwQy*!h$@?coC?#rsTykqj$wV5S!uICy)L)wZ|HeOpUoN*@PELOm8%!8 zP_rpuWDVGJTDSQtkP3|d5<>A38ulFVEu?uQ9a<^*~eDy4Aw5+?HDiCa8> zb9IiAtoxtadrl!wqoxv}clbH+Ty2^fZ^{Ja^^IAZBrX zZ;rNK^H`YcA~+=#Y6?3c+-WPCl1*tHynQduJD~I847pK`CFx{lmLocyg0*FM12z_Q zz*^c=@aF;Y`jxLS6Pd)CGb%SzB7mBF#kOvVKL}46tZq8`h_+tDxkr-`sb8J<+)0z( z1}9ILl946OgxgX~YX+Nges||=62c7Q{C--Ew$y@CTw*Bq?sitXnTt_syZc=X>U+Su z_CByL)-IG9Lx73w{ML^vdvCdYBKr%`>*1O?O?h0MM zM}Gz5LdoFQd^y-pG05YtR3^;Meskw zYhRZtVg1Ux%j*HovC)Tttf@73&Dq^)paEYtSA}d{?L0OGg`07VZaAfgz z8+W!AXnU0`)jd$1D1RIuvPvOTOAe3xjje~_MsUPboeBEg`N(!;v<)4CF4hkWbaYWh&! zy|_BD$|VJ+Hq~;`{oxXw$N<>4f5{oO#tAW);M>TVS#y}kbvnZ!QGS2hqQ#cz_o!Xm zE)}TS4J7=2F)IyI>{&^}nM3?!J{pk_)v(~JXThtw_Wy`~hR@M^y_oC`n7*i`l$K(k z&51wy+QydhC7toL7DwHF*&$$LtBM9w1$yjW`<2kWb#9zLa#_fT3(7$f=@Kfg{lbA~xZlcVv?61<$KE!PbNa^BcN6cVMNF6WO42;TasQ8y%qbUsqPFq+FcUT z9FMx|=5-z>E>?%Xpf{%s#a=bVhRrc^e-(OT3b?{PX6MXqOdq4#Mn}SeE!?z=^Tc|G zB>7>ntO3QN=;!%=#j%nxgn3RsMaIVR-zVFn^ZFS}M%2RbaZ_r8PsGljm@EU|(V-a$ zMbm&iU*H7%9&EcL?d$GA%RT*f&5lx(x24E)b70q`KT7wr-)u#2J@R^^SS8W2{CA^+ zxF4qbpCeK*;P6wb{x(Nz-mNj0@OT=)=`)l?Wtz^|t(DqqWYx2pYQBh`Qm>GDmySof z<_pc*Oi5NR+8A8n=`JXx$bH6uh+8)d;$%Tl+f!3?z!G73HrI$l;K>4(lrqC29FOo` zihP3PHt-21-OR(t4cO&$VC{UwDaIbnYj1+|A+(+QRE*M*r^O>SM6F9obE( z>1iTXXfJCOeXhS9@=YQ*(8s21(eu92>@_WOIJhu(Lkugq=;bP-FZ6Ng37ds^-k0>f zLFP!n9@d>0U|Qw8bP%OZ(um z?%Y3jheQKUCC}iOl{nt6k{EHTAGCw$Q7Qd0K?m<|CC0|>ni8YRHNLZ=6iy&Q$w2bL z-ScE^DQ-WmGJIv=JcEe=aY(K6K=-K&#_w&p$hLQ{y|CoOZ9cN$fdYH=NaNh!l6gfR z$=I+N$Uu07c-Yz_T0iKfK_B9{3XZ1fGUB8ET6Ek+!!vB(TBW;RpR+hBSe*>1^O@7G zh)d5~U1u<6_6a2+&y(N&BvkFQ5C{OjD*pzq^Og5{O+$msfb9ItJPQggT=oQDUlXk-cH~Rr(oE=dpXbP)li_zEUiWR*5>S{t1MGT zcyO=u&5ubxqqS}cKICEE+Xv^J3c=0oYEksrwu5HBQ^XyE`_NHZFQ)R*CnxgFwbRx> z9#oHWjEsy`F^zL!PzdH4xlZb@85&Wj!F2pJJzZKfgS|o$KmOuT68Cl9XWtS(es`tD zj=i?arB|?VH#(f74F%n%KW$E}X-dId`8@7NQA{^@?k^1@d3vaZ9ZIih5AwvO6@qQ) zrscGa3VQK_lUAzur8#LpfU&DS`gV0i?>L53j{llrT(O;{vXO8Z{AnZ0i_(AhU5C>N zYWCiPC{iEw3JHT@$uVSXhI9k~c+Z{WA2}-4-OJYb`gh&iClD;JxChCBHzlPa^&?6b z>_+a-@mO{byB|>>5{4B`0|F3>xr(HYq7wnY)JeMAVX1}-VRnP9aeCyHmRel4zf>%X zp^I1qVYboV7>gNoCl|SctY&BYSGX=V1t`q$&ht6bkCS;)0&#yn?~prca06MN$os9s zrS$3LHCu5=yWBKgJ|cCH*RX#|vcs1Y<#oe2Y2V?5CS$(lSPcjV9wL+Ik+~DgMPJ>>cJ4@Uw^j`! zbbY)lfiG}gR}y>|gqi|)jkON?Nk%5#q)D_mXywtiejc1(Z_4Rat%kBg+``_kOBfBd z2W}?4ay)>+EWHoN`v7m9NlAk@mnfp(=r2y!vl&{Kf0L0gQgK@=ojQkwU?-K-SLm6p zi>|Gq5lpn){CBNG%K^)v{#~K0XKP-4Jz!9%uCgQpol%Ifb3u4{JG$O1SPJp4$0qr8 ze&4(NA~!>pn{eBzjq>ffc03oHLNa!VOKoLRZSJ89jMv&7g6ZqML2UbSCJY)hxsHGR7E4Onrjqn$c1<(fP#wK|m;*3MNxd<^^dYUf zL}$k4=_blIyS&q;dV}U3dDDiIoGW{lz`;4OXw(fz($={TN&T19afApkP5mEv%&Grw;azH2uaz``{_jN>vJvw87G7<3oJ!b)33Yn;U)sCf4YEI_xULFG*2ZCHfyUgI?x&p;! zR;Vmb3%3O1)$D%uh)?RTn8t_Bmp_YKDRBL7jHfb?_m}4Q){P+z($x}jU^B@>$OO-d+_?bAZFXNM9xRB z6=8y|%tMd*-dnEwY`0#7rF0Qu@P=lvyaT+rcslVx&7&)0cz$$j3kW^UxDzoHg_v2J zt=QdYPuQ>)#K-;MIEncr2#H+rT7Zl-ipzN3P1+;cn*xG&XE}D{yO!5n$F;-fbUFezP@*5^Vs1ib%dBXJ~h^X_$}_r%i}j6zUw?4U*c4`Ru9ev{HS~Qjo18C zsoXrfy%I&9b3iY+O)p0`VI_I_?{b5r8cWkubc-0%V6@}*s?{&}H^^BdixGBi5C?N* zMX^6N1LuO})aia3e87{x3jpuR1u|sD>{%w6Wo7l&06=`f-2a;h?l9G6hr;`$d#_c)LBE0w1W#B^$Df9 z;H@q>%B-g&I^GNkf7?Va49L^`v!k3*o3> z%2CJLINuReKgH479atHed4!=gB9TyF;0{8mIHnMPt zrVthA_vvlYA3K+Bns&@Ue<0XsT6FxA`rhG^7~V#E|D3oNXoqe9KF)#Co%8d7{a@G| zEH4elchB9(G&&aM*USPl^lf7Jq;XDyDobx@x}x|JP2L_Zk-l1It|H7zD+zdnxx|xs zp>HukP?F1_Z{5)mQ9&m*10r8TTPGJ{W%AGCx|zQXt_L@&>uVoXfef8O0K%CG&Fieo5gi~pW&$&iJxN2KC|<;~T{wrIT$h4P~KLPY<; z&1H@YVf~8}bm3@4w;)3CJ-(xLIoU4MxWICGz~$d8?Wun&Hr4|<^QC@unH#h}1Q8Mp zukTDO3En;Wf_0)eC;pzTdlOA1XT}6ra4o0a28Ey636HIXCeR!9=?f$M6G?X{oKl)D zGVsy>_FNBGTK(Xotc9WQ!2iIO4=hiFV%DRt`IRyK6xVwBr21KX(9KbN8K+h;@<{LX zLzv+tXjvaQ<6HY#nn7Us(^Jgm`vM3DCwTIjw~WsB%}@TQZp|X_c6&!CJlkOkj=k_B z@vtN^=xkK=fy?w{;qD(1*CDMwHc6;*l<59k!ZuHiO3xC zrlqB;I{cj(mJ}3vlqU-FZ~o@+YNPPVrC#Jb$L0n&-?%T5MO7}A8VH^Q8S{e(`1!O~ z9h4zq^_|P0GF9#7cmc?E48TRBDd{>y_k2JGwErkpV1tk1haGM7E`Ax`HPIkc1-7kD zl00IpE{LZW8e5+9T5e9`E;tAx3DmuGvWV*sR9ytq_UCuB7wcp4shvP?Xh3nA{O=09 zscAbrDVr6b%Y_Rc9`S-vwF7nkC#$=Ajz5udh71DSY7h6TZFhL>XlDu6lXxDEpfQD6&H-OJLoo-Mqr{MaZyy(sV@AvwF>$)v(<`dJGQUdbodCZ|-jw^> zudd{YRr>GO7HvOI*1}=hpBef}&{FZq{}CH@-heh~dOy-w;l2Iy5mK_xr|ngE?GQ4l zVvwISD{pP~ABBb8#`>R=v#NeBAmgHYVs`du*#EhotN0W=DZ=s^HAQM9ahXHaIT$11 zrOBPev#l<@LRh6-v3>pogi&w-u=Nc`%ITf0|(02E%#8@4f z4Gfr~^p>syM$|BWLahgQ5qvVkdW+LiALin%#95K$)lZ*gE;_TK8rCrXRO(hWRGy9jybM+xU&dHGFUvu}nHc1{0a0c`OMl@lkrLr&Sy z33N>d1DYH3kB)x$e%}~dJ^Wx1X7{meKmO(2{p1N%W0ShUg~ftP&FSuz_Su6~lzE)d9yyHnwt)X76c?}L3uuPdiKk1J z&Tnib%ce2Ar)~V^Rw1OoyLOAXpl4$9GvY!iWXf>k2%n)sO$1neROa83bX*a5*n5`} z7;&sggg88ZV_i97&MGV0So24*%CHgmt0*4i41haWNsGf?ICxutnl*}&7pQhfwTgZ2 zxVHWqx%awdBu_-`kF8&S6e$Fq##xSB#T+X>Z=68eE~ z`+Xk3?S^Cbt39UZnp(MgzKFLo$!gpFRJs`89c zieN;whEuS+7c3t}4LCaFp5X@h?PiTcd0i_sdcQk5^1RAz!}MEPVy&un^>O(e+Pk`` zE_Ad|)ZJru5_*(Pni%kcv4DYEJWxg!<3y#zH3F)E+CsJ0=dN=r4rMdoHaAy|9gF%s zX#7e&P_j}Z&F+X}Z6bt#u6A>m)`oKaiH7#{apA8b*vv>&qUj`=U+T4s2ESF~rGZAO z>OR3xHTfiGarv@hEI!~c;Xej#+lZwVt*?ztTgIv}m+JgFW94447@zt3?&tpSZW>$0 z7V07W?cN>4u)pUgzMWNlgUpGKo6{{cH7hVti<+Fun^V2mmwnYys0GCXX?jM1A_ zZ$5YD-2U*0jQ6c@{wOaab3TK^&Bxq$<(0?^XKwVm-6f{(#&kxew8j4&iut=RIT*m& zNuSrxt(p2|@I<#MiL*ZV)MT>!U3@z6!sR}F+UlaYvOD&v_^PR>xns8u(R0_o5WK%y z*+c1W25X%Ylr}e(++~IB-{;@juJPaN<`FicL^sv#r#z^asmEiz{yM%K_YI?f>-5HX z+<&FmLrE?Qs)nPW>WACFu@4(EsI@5l?}Ezvgz%RTqnD@|lWA+LR99zj2GLH_NuTv| zgH@cDDOj*OG7~Gko$c)RQ-p5!ahiWQNEX`sT@i96yUqhB1TzgL)Ln) z;Dg4!FPw5G;s4$oaKJ;4{;Y|Pc3fDLGE~`>vE0jFI!+=y?k}&tXfAN2- zH}rsW(n$K%PlsP#A?M}k7!`8jmuLUUO837ACu3-DMhK!9Hv>5?kPc>I`$QU``yWG>S z!}{7|qt+W9-dMg=LP@*4dDn$&%WdF?A7ZLOzDetN(ZdlT#o@A-O%~=@RjlldK7sBIq=|K|A)mQzy=Njpzt!bNVc)1g-`KK{h_fq>W`amsWqM zrzDbHermX8bzN1NemoFeR?^5r_Z+Qs9sMgI`;jt9GgEQo^Iq84qlt78sTi-!H%_KR zWaLXK6Q-yXg&iiDGUs90-lO@aOEHHU#9?Pwcls*G&&1@!{|ezAfB;__O`7;0fv!4a z1+*>tZlPcbR!F>3;t%*z5&~2qKSZuqd1JsCAXS*7%@B%L(VCNg9PB(b7}a|+nTa!oHU0kOZX4aX5< zx$C?Bt>bpUsgF+Y??fSRx~d>egxtyri=SY5|0S*vPEaAKybq19)?Lj5Woo=PrnRUj{6#N!OOsZ`^ zH6sX)&-pIP9>G(9oxBZV48ll)>qYJ-t0uY0hADnc0~KNxP)Bc^dXr3=C?x?@Tq9~6#U z;pXlD#EYXT4tFm**U7EKio3t(aN)zS)#g*2nL+(S%b{D-MPeP=`Ppn`_T@I{CGxj$rjRfeVkz`0&ab0HYZ%a`U(;f zL3k}tupRt&n0mAh%_CP&Y3X=}-@zaKi}WCZq7bGSrn$zI#t!Bv!ovCUpdpx;ZK|UE zVbHT(ND8-4d5f^`ZO*&Qq;&4=u%`-bAL;ji77~UrUrbf`CKgF>!(-yDu{= zZ3K+05SC9ByePr5jIUVso2-YC#xi0Q{vdI9qDY$kz&00QHq)B*@m^G8 z{J+2_Yr!^^)#i)b&%i6=2Y%A{w=x?=(s4a3(|@^A5os@-|10j}))n;0HWEMEjp^7A zN?bHc#u9x#+a75TE;Ui6hRSP0Sjv5JQmUcM94>mV|3t_tvCZ%Me4R8zBjVQ5IwcqLKiY12-=N%$3pjy!%ZeCmh;;Z=-Dfu zHH)U}E6Ko@--!R+l1a8g(ncBj%tadnpf%$}66kgd4}!c(XTqDz4aXKazB__ueB2E+I)AMb}nG#?p!0Lj{jnaH&WPNI#~fM_~2kt$aj! zPU?GBP>M=ze4twUProi#1?EA`#{~jeO@ffCM|X~cV<`W59ITs@V1@Sew`gnS1n-1R z97M-y=U=T`3{_^W9%6I`LQK%#$qj{>uaap)p6DgSs@W&cMGjsXZJQ2sCR$*qDz#(L zCKiyDOsQ|hIO<5`H5{3#y9abhDJv+6gzJAiBgm%L*zD7BHyJ1{r)`Qr`<=3oYz{`A{|u3l7pec|`PZD`U*blU*r6?UmQyvK z*~M7LIyjiL9`?EEZa$u&U;fJE3%u-lWsmiG^@UY*TIaeEh6S7lZICDmBSZ zw3vM=vM1(MO;qHQ*)oPBus`(>3A#FO!3=L^K75fdV#T3@e!VqXBT96_un`;P+%2%7 z{o#XqWH>XtC)B=05KJ%H;YpNsnSN-IOTQ-nZiIS+Dj_B9FI4hW;yw6M8an+2y(#QD zt#O#Uor0AJR_nm6+$nxl%d@)((F23T^M}lJ2f*)tW^fq1bS5D+I{y3mVFA1TW{0g^ z;I%$i4a3WDDzdwQLH6QJZPSMst=|(m`3BOqXxZ)Oadg4{6kH~@vBG1Fk74bS>fsmfIl)Ht_=9A`LZQ4= zNpSfPSc!*JM0=cMcxebJl=!xxg8X-a+FLjwCPHrJuZy!{QKymO08JkMZ_jdzG?LW$s>pUkw!mL8)#hBku>Pk_jz`2_{UM)+%0~ zhcCnpIZ#x8a(IO2HEE^|g{2(NhVdvUm1?AG#{yqVgdLHNJcPT&y&h~NzR35d+zrXb zFqXQXKmI*28F*Oqz^{Nl#EL7O#w8Ii!(Z*wN{>5eFiuaK4rNu;3ziDmOD7n9x4db4 z{ghRiNqD7F#e_PV5O7pWM+Sr{maN}~CKWS567+K|qrXrp9e%yjd8eO1Ws@R6|ME;D zaJpU6oG=FG+B&zy+?d_$k=e1iGH7S6-CCz9-OWdjvinr(E|a5d;?P2Z7caac8m3^K@F z(*9Z3HDcn>k`1Jv8PtEDgI{mviz2B$IrU*%C^m&H(Ol`rNn9d5R?L|_jLaN>0$f#HA6?F*V5IXjFg2}0m;fFB_xsO8(|uBMZc5On6q9%3Z%#RCNi4Y z`{#cOGi2RxQCRMc?5ZOW?prjUl#eVj?`GFkw~eJ_u6Tsgv5!po8gDAx1aPVf<;Zc; z)a*WMl{61bV(2IhYV21g`ll_OS$xwh<=?xVD*rt0`zdPn?%NC0xwqu(RdnWtX+KTm z#5i}kHcFIR0kJ^-#zIXbQIi@h_i9gN3b6fPbh^yG$$B=m#vNqnamT%S`&p z*U9u*JRC5G)`Pq&h1p;hCNiksUDTVQlC^wSo}<)fL0s+E1&wN^m~zyjo^lS5>dzlQ zYCG#dnRmEHTIXrPo&vu1F|v-aXQ~aa^^&>bzbm_JiS!uBc)`EtYa*u>N<_P@J{Qjb zmI;**?@z;r+a=S$!0US*v~N{wUMerb<5aPt;MCBb;|6$1A5zb4hhWc>%Zre;>Z%c2 zMDQEtw$+$Q)vco(@E!MOm$X$*VAadKt;wOXycR^=Q*jD10!(2pocKsoIiX-0=3V4w z8aDgLSCYD(rB%&^)&|_+y;svV=bI+@aZbbiN>m@Wwr$6m@cFu%T#Ygs%r&lX0s1d5 zu8^5uyO~yn75*0YjH>)DyJ)%I6VEv}_MRGpmaup|-WL4ttuHC1PuRI;)zOsp!MmfA zxQ4A*Vgx1pREu=7bDCP7jnnwe!8VTC<@x?D4hhYxQ zxi6a#qkzUGLMp$@KSo^v#yzdS^Ai1=j&Vi9cgrL#_sYgnX{?~jwYMHEp)Lx{5V(MR zO9ZlFy@RNbC%U&q zpPWn(dWyX#TF}m@eG$CUjUx9HoU=$;mw12X)t5r-P86<%{TgO8X3~J_I+T`v!$D3Izz}5Ws~1PJ=pAMkYWWX-v%c z>)fjN&-mdv5RORJg4 zfc*@n&NpyfZTB0c-Dw9)Uw+wP8}p%nxy4Xkt!JA>tf@m7k_fpji3gw>9$Z%S&1x&_ zMShWoV?t30)vHup&JaL5wgR&oD7D&{uwEt&U;A}n3388B_|Rw&^fe!OQknNS;pd~| zy`h20hV2*IWYdNjj5bv3cBaBs9L;IX4f3T6=3)A>Or-9bGrN;FHS_yZGONsj+ZhRb zXt<|W3mIs6TNDx=%~jHTi6#;axE=5>xC%o8zV!zs>KrcxYiY_PSZY+lj6?JmkAD!er^dkvT1qvZ*+YC7* zw~REln{cUm4wj=Q@cmSjMGDB_*$ixoNW&C`_>^<>|VpsR6hB3y%ls?UD>m(Lu3NEu^peOF_a4?Q!m*`E-a z&e@O1m3qG?KKm9`2n=!b0AxvQ!1HaI`(CLU2Ye$VRc-Tt+UM^Ip)!BEhFE{he*3qN zu6&-PG}tc1L1;AU`Ew&hdk2@*@^yAr6Q)P*?9W`jvc3tjAKwY%ts?s}5w-L61-qMf z-#n3HFX6HQM2tvk#+mZzh(`2(QmR!|Oy8t}UN*p5QtKNBes(ZP$aIn2yu2&E*L2Ip z3f{xCW3^fCWk3A@GEDlM3a@_5WP(EtTyxz_{+hmZGm%O-AsdU`w}!iFfNb)_v1^!mT6&*gL+DBz!Kp$gIx>u2SQC5|@ zG%9-l^4JSc@;FKe&>l6Fag$$h!}^SLzxULHydB^Y)mt=oV~f?hd1|GBx(>AlyHP=# zuLt4rHn8!Protis``1)^T;jdUJ-CBvL;SI0;S1T(i~1#9sj(LhL+10?=yZ)ZY|{?3 zK@K=InxMd;Wp1JA%nmHu$Mi z;rb`Se(e<=pOgVkAMMF%Og2!+tXa`T*pSkM@5V=)&1L|IR}>DSM>WozqS1`-=%2U2dVJ8j0bXU=D;I+ zAhJ_tJ-s}+9)le}lj4VzrK(A93AZ=D{slPF-^_KhGKkr+xLPx8l3LP+mHJUD8N~F0 zWoH;UJOHUc>RbKVyy|I!58LNm17WT+xOAyHSzh8ayRm3A`=8IR<^#}(KPi+QE+3Fw z-&L&O+oS{xm0d;;oFkmBJ@kGT!Y*c?k^ydX5=!1|4?bNneAkm*I@~pEPVZ@tDfoRN z`JzCNv2ar6pQuMLCj$@8C|IQ7wWlA7lx-;tn4pus1;Z&yO)oYBE2kYk~u%;6B zmI6yZ^U#IjR(J_27pevjEM9ZrO2A=brXWd`DW95Q2(Se$V>X+Wh)!;3)!M#E*3UtP%~8Doxls_;%$-xu%f)2H}r0xug>f7~k3|DCm;Zc3&_F6KBQur}5ezu)UK!yEfNA?t|EXTAETP-?7gTYPYBW_~xNNN1T)W z$eC|wTt;gP1jrw}xWXxT&+hb7aqT+SzHhLZ_BDxG)L)GTBA(@Nq4Z8O8~DGi9>&PC zy>Mv&S=*T6g|oJ@Mu$)Ks0nEeJ}*POEb|=qbBXXd=MPj>si|-pc9SlpKV{UnX1^8p z7;W;sCvV>epV~s{Nv{tg?LS1_eN8F$*IZgny7drVm3E77D*k#|cPFPXiK{fp&1M2F zR@Uku$kglrHvb%)@*48ud(Ex+#{|SG7NI=gS z&T|94l>cMuEu*4(->6|gQd$(GOQb{T8oGvVX%&!CX~_|#k?s%}kZz>{`Ty%xCi-34(6=f)-JVZvOamp53wt)3$^kB?!Uxw z{vkm!`_+vAeNTJ*HRT@*8Oh*rRgto89nw?!-(f?*(%kr2Z~7oh!^UfRmU<-?iu4N= zNX{hUTQs)bX#&cuHxDh3B^b>CC8JtE#hkR~oCLfocz}(cX4i)EcJnUF^#s*YHX_87}o?bl$+0X&8&lfMV;k)u0j z-%T2G`F}#_5ERnp_&0+rgnReSO_&r-L_kZVM=Y2)%NJ5`_6SIw~d=)bRk;h?f^6ZLxT^PsDmt2JRi>YW`F8Pcc zITYUe+=&RNXrUp)PJdmEYc-C@KB$(gizZVLCq2U=aH!jpP7D>Jud?ss`r$V|Ui-D6 z89D0|R3a?SNwD$R_|qX*WxfUSv`!yIp^XdVI2^30JwI0_A4CEO&#B_% z0XJ7I!tSAmkD%@5cCPWyXm#KtzTfz3u1fL`2V!(7KLAm1$Y=UgWdCk1HXT>GlriGW zoYQ>gdeJBl+)F02!}9cZ@vjvcgMcAM!5|eEIRV?=V*drLqntUR-ByFH)%S0CZ|DYg zN~!$*eJzO#0-GClyixEHQJ%qEL1l-r>=)k@A@9{;=z)p_Q>SD6;??vo?$^*-i=DhKY07|W3UoXEMUyXnBU)6f zQ_mn@!aGBy(IW2~3|kDjj$ajNdqa8j8>R(_!o_v37X!%?&a9Kz6OxLsmr2bUV<-_M zN>fZ*>=WIEvu$b0dZabop2vGrcicyzTD{89Lxs@)s@)HUwwLiSVr6+OjcU_y@yTv< zIT;H3w(&shD;nwa6k`054%{-XH`Aw6_MCum_S0^oaed)_jMCCP!3kAsiaxk38N^Dw zWG%$*8^MXj^y5&ED`kN*4D6IRdyA8Ej8#6mJET)hyt)*ib|9C9Xg;cb%X1v?O(yjO z3rGXi)F%PYJoB}XM}mA4%Il4|&uz-s(b(-@*rQ1ehbZ27Cc#VvS6gu0Flwrjp;?0L zM6#q!vW<9?Z1ThO7pMAbI+EwVVaXD&tk8KN=hN2TTZ!nfsq^L&T`lMyG0G-f^fzq0 z%bt}*`seFXB)ngbwu5)wI@w+YSnw6cN1q-(3^3oSs1MP$kjd1SZ;U+DBtKaEwAh}r z4GKNnC--E{dUg3$onZc z4an9=@YfGxOz$}(XOUhN*O;q$BqkSgvcgR4$Ib^QDEg($pJA%?^+95|mq0BCdWdFy z;bAN%kDwk`C6o%-1+sp_3_0sca#WVSNm900kDdGbOgrPFVyD|X4~}^D!k!nlAifp0 z9v;c_>!Y#=$WgSA(z1a$*lAxsH!>=8z$fa(<>0B{v=Ci^IE}Z`(rdvz%-`mMD_S=j zhI7GbBxO|VR`;>XDYT^dk%h`f#l2YH3cZms>(}IyK8MndxTw{=G+!Tw=~tx~dkEZN z&t5Y8qvpcDB+KS3ZEGX(2G5GmS23fA@N;PXw%sOaDvfjha-!;V|DOs`j= z0qFd45`B8{PlLIXd{ZIT`1aT`Hz;j?Vjs{0`Kqr^aiU?1|Mh*j-)xCk+Q__IosD<2 zbX1!kW4Cu@|M}?2x;4*~on(lHa&P5I9#8ohx_$E~-}QAejPW50*tAi~fQxg$c4a3% z=*il*0{_{+M4+$f0y^&h)4&>NF$1%^uc3-A6E($i_FG_nbp>XIlL%u<1Xw3y*H6=PvcT( z&>*hz!Sag7!uKri-mT9u`671j`=pZ-61CS?fCy7<=Kb^6THL>m7~$J>$a?Ch zF%v>yk?0p#IADnSO&Y2}{%^-#^4ldxy1is%TWmE$x$3R#Xd&2T@6!Y9tV+;X-`bnp(!_j}wx|Rhg-c;Yu3%}%`iFf|u@H8DX@#dzya|0w zQRY;=i2`?4p}&$1l38is{0$B;#;p=!hQn#J=)va3xcH4ex2D13;yycmp^psd@tvgHjGnebywZi&ygpYA$u;siqVk2U}k94 zy+X8XmODR=w}Bgr0Ie(h4g2|-Ryg+)kO+h^?`DMa*q(D&QZH1TtYM#nf-C3P*L}M| zZ$38u&3(f<>7b)<9$!S_Rmi!u@cPIXyb&9-EvqX2Wk#O2DaPn0@z0_FX4Hl)t7vb@ zOzsoTwJ(FYe{q!bI#1VBv=BGK2iJM{ zw9H5vE>sU*0m$_G!_Y+CVj@^%;z11Rdq`38kokY?(@qpq88;3gQ!-I#okRouDNfyk zz)y^`&n~()Ze{HJ-5(cd_EpTGz7`|;LuxR~CwT^Sc@?JFY{$tsF6)oCJ-b0CZ4$?W zFR`!A0;oOJYZ{sgPybFOg+;pxBT=uAPH5_rm?fo!pp27oyyt&W_5d-kQjDl6M&#&A zK>+HaXQU{vJXYS9T8=Jm`d*ieg{^{W-*FU`LmJD=cOP2qw)LQG=k{R!2Pn!^kQFt& zG@M2o_DX&olK^>>1lc?lNBa&>*UcV)N&Z*^tqtO@-^^!1>1eid+0g$wQ`_VU;476m zw#Dw8uJrtt{sTHU4)k0?PaMzON4vUoJjOnjtJFKszLn7e9*G5g`5*u5S#@Nr9?6>U zgBi)nX3Cldf#*UpsdZkHYLxEurAvo!$@B9zhSG80e34olYr>Urp+%SVN}X}T?=#)N zrc=M2>kgesP0Z6s(hv7>cVKjFKam%4e80-lxCknNe5?YQWhl_N{KIi6S5R7ancVTm zJN3VY+?QvGe`$9ktp#<{f<`r43yQyG>1U}_-@lJ6%dv$}nr4$;vQ#1&5^WMKmQ+kL zo&>I%Ui8GC$eWZn{R9E{wtsGpql%r|IOq^!LemrJQQz`f=Hew`0nvoV@F+bmd2~V% z0lFXlPGLOTfloFF_IVI1eEF`Doj#%+vz#)j{jH#N2Slr=Bn!S$G#OS;tUTR%+4zi8K4ygwed zT3lA2Q@SOoq1o$I?yvx&$-!*wb=E~!k>c~pAOJ3fq#@djR-acUR-Q0;(Rr9`xZMJZj?NAzwlu*tbwv=-i9njqJjE`1VvKDqG`)=J|v z8j5*&DWKnw!C}EI3$zFK%L)TTH0R5-9Vj>CYBk%)P=Vap;U0I_uTJC_Qjf2!p0lFn zVe-Gnf2)LzzZEemA4uyqcl)?f8ddus`(fd(xV^Ec@k+$=u21i*MO^D6uOhwZ-RQ zY`v|-O}(_F`F}#OZxh}~Y5cGMXIk+H8L?6I6*C-2^~vo`&Z%ZiV)bI_%5sQL5ib3( zd6C==Qgi9&b{lm$!wLi}wBuW(Upv_V{_fIl5|q+v+|3EopKI*ifAu^#i|v5DGM)rA zvmRAO{V1eDW0$=>XDm?n4(<4XP=A;s&y2W{|QJl#Td+ z2Iehnr)g4^S}ncT^`v^H%KP`{hp|yiN(Y;QMeD}-rsBV`y1;MsF1yzb`fak$)mWcR zo4NMiTSX~SnPG>V*GnvvgH9UXIzF=l0(jBSk6{#Iunl}{2B(e@B<4B^YYjFjx>%Xi zTV4%Ru1m~bLi*@6QqB~0oj>HOx|6T>De`?L5&jriwMo*)Sk z_Y;{hhdgk@$5rA$$y~$Zfyr${x#^`H`%TFagv;4Voiu{q->AH#*ynM;WC->8$a<7q z&zgPF+N>y}MZUv|0_B_jKR2cagnj$?6k^1P%w_q(OfHMR=6}MDr2Fhn-%~A`k_2r8 zh~z%-{;uoEO109_rS^~UJbFCUAr6wCdvzfOIvPi)D`lOi zc*$;O{E%DvBPi!!+jCvFL5q-4>{~2a@lkTv0(poOcGl+3C;ij6B6}4K%)j$mNs|EvtlI4Vg8@%IQS7B4{ zU^~vb|4l6pJv{vhWL!=Kr#!N;Sbda)eD#XOM`~Wt*M|+`tI!A?LLyR zCQ#;WV8yf$NNzCTHc{2WSljq)>U^CiZil&nAz(?Y1ikP+chq8CULUPUE1WR!fzyAJ z5+?aq6bkZ#5#i4dk*;hH@xReDl=& z0m(AFE%s$~acN*esUCT|5#y9^mmW9hKC!4wmm>z=|dB&IGs z5E88w2i$zsnra>v@w<#3K0^`>gTvd|B|Zy~X9m93dEQ{F%ByLUp-OV?XyWHejK8LtYY2Pi@mU`% zs$v;OQg+3R>%?)R0Z6(GSd|~t?J;b8T`59dpOw?5ib3Qh6&%hyzLC?^KN|yLw_RJh zMm+P5771RcIFwK%RnV5r4ZJq#Tn?0Kb<9FQzu?Uk_u6*yS>uLX|1G27|I0>+h?AO7 z8)%MY-KTOq^27e)3?BEd^Sb?3lh^GR{Jhi?VhxVy`yy5&IB3`8Sc>ipZV9vzbW6S7 zmXX}M{fHx@>fpMxv16UID7ZkGztpx$sc3X*da)|m)LIGKpBsx1s?`TGe*R1t6-Uye zDh|h#ll2OxF>?HSK+&Vi#hUyA9Br*D1@cbigSQpPU1mtgHaVR7=#RHVPRCRnswy{R#Sgew8I zk^QqmjPk+ygHUVjBq;x{{sPGI;sFG0g|4z!d}#vZ%H8uRZ`+g;2We12Ps^tvLIHK! z`(oEo88{T;dBT6pd~?%ifl78y!)geZp_6U=s<*N*TMu75Y0wuu>Gk~W2u^YB!K$hy(c-NCtpc z6l^HgrS;GuztMZ;OnGZUH=4ZW(pVU*58u5!c&#q{rNsnw@c z{c>@TU&UC|L}yCz7OLAQt8P+exUVZWyT+s%&4*P{+tzf+r$?2g%ydWYVjvBaDNSjM zFhg7pFGf$>Pab;QS7LLaLejBa&x*ak`kWvlB8&JgzF!<%P2*sAe05!Jx+x>! zk6Cy5zj&7x`o9OI_xEXp&y;_R@$iTLPzGDL@*IZ&UQH>D63hzMS|=^r?2d=$vweKV}qU=h&L7VBhY-eNbY5ev^|3|4c%4Dzh5)x;kSZmlNNb zC7zEfIKoQxhQ5h1m;WYYo*cD90AnLyTZ_A2u_mrECBz>867E2vg;v4Uzz>rK9=PVd zB8EP?;=%F0+%Js@q+iD^r-&}UBC}7_`mf7#D9`f-+sb}QsaxF~mPsa398gXRfI5NG zg5ea6oh#MGIOIRq0w=^l7gqZu#T}}`RXWUivC<)Nr&d9~knVbn1pDFwkhf_^e)~J1 zBZZn=BJMOsUYjyIqBXZ9b=vWnj%zIUX_oo$-~|Y-d9i6bx%XbkPic%O+npD z&t~K)X8`L%(rzQcz~sBQs{)9$TvLlrlTAsGNbW{*x%A`pt4R*46yV9{FZ@$3=$u39 z=~({Eb;-QGYd<;5v*5u=w&u>xfqxIsb?Uf|+v$jRI}!0ETPffFva4Ltr8Nc+#Ep|M zI%U4tV6MaQiO0OU=15m-deUS^Ub|pf|2Ei8C}_@3<19veP`yXTGciEJILf0(|4dq; z*qpNyzcS5WF70Slv`qD*%9%yv3}{u-{rByKjrV~ zMf?rb=lr)VyvUS-RKfiQqD3|(le0EEDGo7vAwGGtq*78jjXn`5p>!J`GV4wM#bpYv zo7%qs+z^z?*rLUhASD}Cd1)~N7p*IlCF_p2K{NMW7|JpOmb)mLWVB1ad}O>|QNP;J z#5V-d+oBh#xen^9X!PTuVUXqs_A{E}SdJFZthkos)4J6%kt7PCwHO-x%O^dyl%`kE zgSSg$^^acu5%H(c%9knZeC0TJ8oi<`q$x->$lhyYWKGPRq+H)?UI=gS*QO!P3w6$q z+wV1e!Fs|`TmCeLr%Qe>hIZwWFAsv8$dhlc7SrF_PgX63EE#UEDbtIC5qG)gkfqh^ z&4UXy0o7ZrMvGg?wC?^ljk}L~+UH8mY)c@jAGMOSiH~VQbL*%mOW6l|U11%QnVqCc z(@$U%z9!Bx7XoU*EhRbq&n9U<47XWz2?ZQ-v0nTME#hphOX9A#WzeQQfrzJi+R9#zvAk9NfN}G_Sx!MKZqMftHpVyl zeSg@hjQ*j}E1hg!_tJy1zVBAYCE|rvbFa>2@5vjbo>|21_PfOjab6u-zELANJ9<=| zF9RgYsi^9i$RBPdrI~S$VB}8`~$RshZXP)=s_k;Z>`gWgBaMOeipBwqhOA#cEF z`=+ch5}PPX*2Ptlc~Q0aCqyLm&u7AwoR#;otwaHO?tZjD*2 zh^@AH@>bpa#lh29@i_YgnHfiX@@MvA*^H-cs5>0ysrR;7NYB=S}Ra%~Xr9{q(_gWr}?%71RLkqb*2g8U+?Ia9QL2y0+#CkZ*BpNeM>{Qrb z2$85dhkidN_@%~I;it&Y;f<{Eoj1|NRpkW;Uk7Nn^9kxl@01B|4IN++4~h=r6csCV zoHw@Qb1sLRZX?9uW$Bc!V?>-o>NxV8__~T+9ZKTXOlZWS>XW51b;6w~rh#N$hxjd4(6k?J+&xA@Vx|`34u6<9s|MAc3up*m^7nPlt|<#siJcxkv~=!xR9d;l8)&e*~ zFuX(kc^XcC`66;~^dn*5#1Y+PPRJJrX6=BomlJ?a1~tu-|I`TUdswGnbRVxSj$qMA z690j~zTq?vnj%MI!s8*x#wGmXE2u2;;amJGmzlGb_OT?hwSoj2mG|Tsbb!+7FI;<@ ze2Q&VbaXP9&TW<=Yq*ew+3Rc5Or_waAT4HhP7=*nlf@6S`U|>Qb;J=h<(pU{?(t4T zPn!iNgL}Sax#!$)QO(QbaPLK8B%&zmIjTDbQ>J-&6fO#u-MD&^C+)6ihtX}OSnd-z zbLGPYl{HT=5V^IT+Of=f^SfAa{q?lR|P{m*P4{7IUQl{E2l;l zy4Cj^l@D5Q8wAoC{5KL{uRbG0_mA7$+hc2JO`>p zQKycxMXWfaCeQ*Pl;vi${(`)3Z`DM7bfaX`2i!W&z&Ue0I$hhvGxaAOQBI)1z`n>e zaQFz)CwQb|R~IcVJJUT!FHKUgpkeLRHwl(d%WD^ zIkRd?#CYB|i^enCiB_VA9|UXHSgdA?_HdguHH8;;-qhA3=D%yuUL`^+wr}O0dWuEe z1iZMlgkBR}vCpuGgKq;LVG+`e3n6S?fc@Rgb*FCHBI=>CKrI`w03k~r`5kke^kdYE zMlhOTwXw@+63LkktHVpLQRR1xvCg)#`lQ@JJpH7F^3pyD`$L8(?3#B?@tz_B_JGZe zqdW9X;Q_6Y27V_J&_Tv68rB)RBtlqgga8Y5*OOv3pmj*wEHbS0f87ZSp3AC`hAMM{ zs$7^Gercg;9H2Hlr3}Mg8euj#8zRmJZbXb<8!Neme%L(I zQ!(kvR0d}n1>VF+DWrP5u6;PmVSo;f*)_b>Xh{?rC=b4O=hOGL&6Nmd5;YNx*qn^| zpkR39JR_;ax+(YDf8x~>$R;sTgWi2EN-88}^;Y~RIvBAx>ZuUB3eTl1sl(!VbTaAu zwlu*D2D>?n!?%InPclj1Bx)u&;~O>WVtb}Wr~2%{`MvF)W`SL&)xOsqbHFCzZa7bu zRpZGzQ@*OKV;tyIE+~m?O&O&d1^GDw^sGMkE42X|&hxi5@0Y-? z?@c9KC?eXmu5y52?I9bm)%kZx67feqb@bYY43}#Yh5E{_D&Sr zK<-O-b09-nW89)?kB7OnwYaXu5fMf*Dwi2}|AqveB)T$Pos0H6?7SD*PRQqm37Q2? zW&%Xe-=Vtem@fw2J=6dBkl;lApZ?YwBoIdLAL<{?C0gQM(Ky)2gnjbN)#sL9Ek5yN z*5p5>&dxDiQZ~E!+&Crsns3k6>OTz&Uv#A~&yB|mA2?cQILvS_(=M+v`R`B`@{7Zi zXG7sXqTM*m&~RnkTCfb7TH_p>{j}<=$5ktS5)GMp`)r}7gJJLPQ}!r}fSof&KJ7uA zx8H3~7!v9B$|;2%gPi4h{8f?U^O#jpt2&B=1Jfa%B<#U%7rk{BU-))Keh;ey?RA9| zam}!9=<5xeP*7tdy?%XFF$$FTJj?!`U>`&}bNNepouiBHGs}x{ zLevE8vl1$;6St>W94HmPi`8h#eT_MTlUK~_T<5U0Jiw#;b{f{uK8WW0%TK8dq0Em z-F|^V608N0qXiZ!QF0DPp(KQXXqLv$-kdW=^S~M6Gh2^e3+`Alq{>*;7_M1Ad|m0r ztpW|J9h&iMN~uh?0d^Gx=NH*3g+#(L8(2Kw>h$4JUory{R6rcOuD&G#}#SPvuAG6syJPI!>7Hj=Nr)k|`7h7YSfx#>=PH81%Tt)E}x zDU69|NvNouf(Bb=H0^YbpclFl_Pi@@%`KagjlCBLe--2e=|?gTfH23y?L+0g(cIv^5U2!uSTzdZssdv2=^>%no2nNo$pu)Fut?#EBpLekf-x( zi5Ruizu}+aPSrM{2W(_>cKItI?LW0E)opW6Ju6>bb-lvxDt<7|KFw##K}rSp8wTm$ z7o^K0(LYmicNN?zVADp7a$H&Konfgw&CW^Aln{!7ZXMSsiPh1wfNT9yp|$sJOUner zVP~0p-rxWC#yR%eCNx^99Lr3P*z`wJJL!xPl?A9rL1%A!8$;&}*yt(FFefjQ4vdCd z+5zp?qh8rT&W^B;52pb4zUIWfjOprD$JZpHz4iA7?2n;P2M}{H;8u7{p_0VU>?+mz zpRn<~nP&LB%>R!;!WtHs5n~lmRMlgL=(X5x{+ph85 z&m6W?ny3mF^HK+h{F6unIHhcv?3s+j@#SGR)!fRfChv~5+34p1m%zksqZKt(U7DHF z=d7@SGz1zXygs46Nja@avf~K8BKs%q$t$P@&Q-ri>(6|^%4!Hq5{Vu(M|+QI!#NN{ z9fXQX;sX2b0-t`YbknNYQX7@&pCjSk#vQE`1qz!a{8u|q)~U8%`P0R39#($uuE<4M z;Ex8_tp?+0DI|Fq+#S9A{t``>0vZjVT)n1G0fl*})04|Z6^D7F4LcO9Hp$8dl%t+L zy~ieFryOzwc#+J;|L5x_p7C0H;Q*E;;7hI}B9Gzp2n@oy455P<0$6?9l9FeLN&&K)I22w~()oZu7Rq!{ z&X)3sU02q9s4zMLw!c?*^Fqh&n{YI5sFFYQJ_}t!H&u)MgNtQ6*5t-8xbXr%V#jjX zCHKf=#EWfXsa2u- zCjD?zl<}l~*@uaLq-CLzGWT;mtEMUE-m*#=IQPim0Xh;AidUrY z{xkXLl5iL@I|}o;#I0&ut4Jx3bBMS2QL#c2R|C1lJydE-2s&{^0N7r*X1U?G`I<*$ z=dVhh{o|gd9GWxc14E3yJkv#AQW+;Zrs1~)Jh_^GSRRQ*T)`M-s2$WADD?_H!G$1M zch#bycOHq~LJspVS-S*E(qt!~QP2|kv#E^O*P&4u`b~xJlMI^*8p+~v`vxSJ(OoIK z7|w>otHhmDWC%f?@$t=f0Huz7{VW+(d?Ju;s{A&wg5lUbTr}jrVJ3rwDU)FEz{EqM zz6u`&`FL}!flHtKWs@#*m7yumNTqr|GeJ^WYj&xr7qUamgY*L+?G(tEw)HXnAJ9m) zOzNeWmn@p;G&}DsAZW}hJdI-c6eT3casSqwgZDdVp6Y~Ze>I!%IH#xIBy z2XXP1s{CX!sM54nB`Gr*GEFJPD^KNR4L)E8;tY0acAoU_dQ;A#e(=tsJQ{$ef1$PF z9Qs_6-EZL9*XPjI`=>_fYfupY+027ZyGE%>Ut1I{`_zz+S+##I(@1dXb>~;iM$h^4zlqX^qeLtuU;&PQg zMP6Q#*0$k{+m4AWsh_Ko+rJAY42KC?5~l^LekeS+dYV(pr3dz$tWFjV2Tb4Qc+fPE zZgXDxq$C)=K%Az|YZbSLk-w=cMrNzEtFN12S|FQdce7#F+;CGGY<(bJ7tX7(+a8%o zHMQG{!?}=Yt~)H*inRuI=jCrZKE4Z4R2?cJxX|gw;5Lv|D}-*N&JHlT{&{kBEiq}N zI?Zu4uPM_jv{h84TXAjZ8%o~G#1{N^>!)SK<^6j@1T1Fc__KqF@K|?ifZ1txvmB~da015Tbd#{d+zhC77iWP+-EYNMS$tMc8UUS?ek`K=dS*G_%34Y z3vsSGsG!&18&*&6*J&Ws7RvVf_47~O%w)$d-#VHVMRVWu}C z#!dF$Y&#R6ew5fRq}-6^{5-3A*c>9F3AKGg(>S=RTj%*GV#GnP4sELJBMvSv*$V#1 zhoT#mlL397UVwcmH2cAs#j*1uQ<*VftB60@H1MiY;ZyW=nQhX=ddGla4b3X0sajwM<6GkkN@TW{t^A|&**=iQ`i z)$Cr1<@dG%xY&I!eT4VqBuj#Ja4zlh3n*BQXAD8a@-dCcEqJb#QP;i?oZMIY8|$($ zJl=~J>#N!tNgpZD{f%f3*Tlx>ZcM74sP1`9Fa6bg8=#w%MT60}AE*zJUqxI}zZ z8!$1;{kuY}o4aR0E~!Ur%|u2)H^h^i|H>3r9J3(HTEKkHC2Jm=X@;gH6wzJq39l^K z?@e@%L#44-#YWOA6t7&e28W#OeAM(mqK`*)b0~vjC~MNpAMCB@PI5FH^f;y*GqZZ> zMB?Hg`r8iiXHN=MwB`v+a@}a+SKD(To#jiU?o~AfD?Bi?Dq@Y<>Pgq_Wc~OK%B`*+ zRD*dp8M%?1^YO_yey!c%5TaX=07=cI#&?9z+(sAk-$`~4Z)}f2Q~P4f)5)DSUZwpq z8l9})?0F|JjhWSPlBdRv+i-`eMi@iZ_x~uHXOM^wwS~1fT*T*f`(LxAOeYd>9bdjT z7g9G{`22S347UXBO=od>1H7*cIvFtf>ZY0o*)^M}ZJ+eGwq(7xlfUhe+Wy?m0rV4F zPhv%$|CEvXdu2G3`qT+i}4<-mM+w}WD)a`BjsjDvtFVwIW?Fzr3}T(La@)DXeD<8SP&&$MdgL zgxK3p){cjQ2jyV-v!WrYTu&|~S^kJZmAKYv*Rxv<7t!JZ6RR<<{YXip%MXXN3;kx0 zqF>are9O_lY@*fbU8_rf!j;7xG6VAyz~imebM^Oc%9$wChG$vmY4ZMi=e(~w=$1pB2)$v7?{Z9 zOqar739?LWhhD#lcH!iAWV+$ZL@?C6gsR+ARqa%}=d>ufTjc;{1omT)`u7)U4iFH` z)q%GGF$2T~B(TFJ`B@zoBbBa#OqT_YQ+`-rc(DkcGo`DlmadOEd{q?uA#jTC2KT=V zkpIG#;Uc3EZlQ$HF5o4S+%i`v`WVU`39;nPZd!ShL(BCGy7!*N^e5TRwft*lIViYA zmsEWa#eAP0k*QR_#xsUPpz2o(bEnYaotE^Onbs*OZ_mKH>thV{Y;n5Ed$jJYdRi4a zTAskgluNkd9NAdVlYkmw1IK2W+`NM#QzjUmo}Z%iKsb5ei#FF%>#a%q_7I7ApUn;(m5;F zeRkXxZDq_s*)nt0QU~g}LFhN4jemC=3lfv|QHC9&DBHm7-*2I_ zPS|cK6{&*+AO7Z~jQ1xkt}4lrvy; zGblWhxV#=)tg(lRIY4_InGz#B2O06C^ox5_A%8;(D9dvbi7yHJ`q}M|Ujoin^n)$V zN~}OKUnTAX#%9V7&Q=ns4+Kt2TY}5k|DRMXRq!ocuw|yym>}DzqGmpPMpcA z&hhOdza+!38hrj)p*jtBXAa*J6*UkK6$gR;62ekGC|v&+MRP3MfDna&LY`cy>St-n z?g$l(!NuP?n3nLdb69QOF>Ck@8e53#F?}5~j09}eUM>X;EkW2|vHaL>aaRjWgxI@q z1cj|2z-yB<=vyP4X@wk19_p96t=}a>R^}Y*ZqpMZ1KHd$8-?$p)>O@PL=l}<3 zVtCdUIbZPEZ2E4a=)gl-zO@o3$H=U+TjPIa=g``>E7x2##Nm(x?z@0{Sj5vO5S3#nKY;oq*zRT(Mz`w4uYPxtzljeR3ZdY-s@6H1 z%{r!=Gb`ba?veteQ3dw9@%8(+-VUG2B_9Bw&fJ7Uf#VMQ?hvI8qAoZ3V&5DO7z0N( z5RYe_Iz_(mHDvuhetFyP)+%fkj(i4%DTSU}?|ZYTSO?Z!RKcKo z7?$#%6Tl3_yn@a#T$K;FM)$6~r?rP6?kBD6Dko)|G_3Hi^_Bb2Uw@VE9t3hw;=AlZ zjsTH}g@P(so+sUqgV&PrM;S$9C=-y1|0rDDmuaQoX8-@+1hTmAF)8BGn|*=9?qm~) zf6EZG^j!!jQQfin^}qW602*RfSGbr(D7Cq#)SLwES0q^TOma`%YOrc4qOECgzWb^v zvnA|IscJj5k1c9KYHoARep55jyZ3+g(u7Sr^#b@8OagEy^ZQcqSfEErd>$I`xCE|a zTzive+Tl$O9U=nOIA0^=4Rj;Gd{5u#;~hguXNhlxDC)eiymScI0ZPbcK@%=m049>U zWFn`qf7ox@?g^|+Zw=Le-O67zypy>)Su@LPC2Q1IcrQK*s-Fb>C?fAoul|zWE0#rB z-oZ>hZnvsL?pfTZva}ximxOy(ErJ$}4n4a8;M7{V=j~qqg44=TQ={2^|K>w9EHP#~ zM(+K_5jY0*CN8Ddhr`;<6jkJwI}Gyvc)LAR^ShyywRIZ^noxK@Wno{rmVeV`>eT-V zV-)$1$dM0kTY&?3!7cJ_{KxsqCUph)-;KJ81W}NM6LFTV{1BFLKZ8i)bFLX1{gl>Z zUBrf3rn{$7BUp=mw}2&SwH?$_$foqBh*tyTa^mHob}gn zUoNn!W|!>wY3nAX{gp2C$GO0YC#zDzVNiF!kP0p)h^@r*Msi3Uj;_9s3s+M5Gs!$<1^3p7VZ|9{R?VD9A6pbuqdKGvaQ4+ zSKE@1dD7>|5g(_kQQ)3?Enbp=&kbEKPzD{CfSvA>=L@s{T_S6c!=i?TaQ+N{O}-0p zGNl0lS-=0!bt!B9=ULhsn&;@>2i+$~$N z3u3qN+UGR2?O^%+t*AJ$8GC>Ti1ELf?S{^T+aM9xyN=Sj$gWYKSVD8d| z(Fo)6?k+s75K5)`+l4T67unHlv{$O}@_Uma#o98^^$ZoNj@m)cGQB-=Tdo$*Gy5bA z$aYDS`AUPbCoPFbNQ7e;epftIqKGzXO!nKDiVPdW>w`>I@pCd|x6I z<0wZq7iIORY`q#~Muk7yG$<{g@&hjz$VhB>NClp|BKc-Tu1h$9dh;{p$^Z?n)nZ8G zb(mtfoMTe#Yj-ivm1SpZv7=#QrnpF>lJn~;XCm@Y^brNFv8sdz;he<{{v|NT!HA3uW~6iO65 zD%Gb)0CYoQA`VAqQx@CggCnwz0dbjc&|=r9vH=XVyW`0 zMke1gCxdd4>3Ij7%aqfd{7ef?DZO8-&&i*pk(b5Q>61KkEE{bz0{mD+7wY8b-mpA( zvEvsZL_1B7gCcu7qwZ4Y%9tb9x-!mQ{GecG5m;z{RNws{x7xx5(;)u2hnLaJW%#}N z9kCNpXs*@~pFsn>NUSTt8@GRx$V}e55?7(+voSz%rk3t>&O!2|1@= zhO{pENx1h9d5<-|1xg!w=H0peREpVCjOA^`y9i%Wfo_Vuhw`g18?C1U;*z)CAg-W; zZgJR2Ym+M{-PocyQ4~Y-eyEyENapVjd191DC=;HVSAE;v3&3Io+Xe%kt)-_mad<=q z%VNheU7I-3`NR2+zEUrk!+->^uhAGlvf?15E@z%cvjLJ%}qhU(4!$@3lSQ&{Ifl>yW-9n-l?sn7K z??;)Qpp5cObJ?{J{N>`4XARq}cu;i^c+u;9Qa+MEzouJl{deJptKdx~U<5l+F&W?} zS!leI%Yk38_O13Xmr$TWI~(%~Y04Qah{?Qgo|G&(LU6=j>e!GdF)zc-H7bv7ymHkK zJ2)09nF$JH8m1I1&18m!PA=AkZE;`LA~+fc+ZEQq3tYSI<1`{mZN;wmY9{2^r&{Oo z4?atd@s~`%c4|qmCcpef%|dmWmGm4@q7ubwAxtF$9(jF_a4(}rpP)07+^he+o=XJG z3V09#VBfC$OKULY<80Pjb$VNCx!-247Mc>VpT$FrN2U~%Wzrd48Sv(;-9tSyf(ph0=RGl zXlcOBZNuc)WxbKzPUnd)manwyE(^%#i!SFhF;V9Fq`5v?Mk^5t5_66g8Ph(8Qsy%L zUWm_NGL(61Hz?K|{Ns@U;H$9!3UJ;H6Os&D>byet`b!ty{W1nxVGMy9Qe=#u>jjy+ zo}+Z_x~Ptmfxid{abn(m?{(oiri+I&Tp8YH2YtV8*sX6e>Vj_Sru)0;Lu@j~DmFzH2 z@h4{tT0wlO@y0$fn^}SI2)(DI-*M7aPMS8@__^xfeo`*_6wd&HfY&wafp7wk{D}MT>-2bPau!!=S;2QN`go z+>2Cf{3RoyAQ|u@HV%ZTj)63*{O2@}gt0qnrm|$o4S;~guVfgq+WACrlB0?EZAwwb z;O4jjEQKxU!o9{D9FFI1Zp(K4uMj>}9RhoFrf<8X9enZtp=t5)Zt4TQ;7>xt_qtE6 z^0gT=r!>b;MxVtmJ#?#?X~?ggca1)8k_AN0@V`uidyu*UcCqcym4f_F%fVnW{n!%n z4QkI-^B4b~_c3q?k?!gQzL{SH$kp`0BPQ}cytgaa>)s#BW50AMK2px@@UKbtWFu>M ziX6fKS+LEQ?0~qc`oR?9O5C$4zHX|NG0;8OZc5V=z9psU?5JOSP@)zMbQ0?@&t@lO0T6% z&1IBUFevfy{velGi(=-dD5r{}Jj<;2OU&$*PH1_0pNKFW_7zCWD|3S9Rnu7NTs^bk zf5Z2KY?VPq_3HmH_0?fb{%_QzgwoxNZlq*{qq|#L2@#a;uF>5Bqd{64L>eRn9D>q0 zMhHlQz^M26{;v1B-uIu+we8vV?1|ls<-ZFBT$K0(&Y!{R$`{%lh9B~qI`3k6*hLC_qN(I>d= za>w~DAFU+8#mrJMZw83l{W#oYRFY>S>?cU_vkI}p3Zi>VE+EEBO6W&;Sgc#h#k66x z6!wvF8(Rf7^0g?<*9~Ew5r6F2wvd`E@6m%QCrId?Ia!p^?Hu$b!9SscV?zk2y@Ts| zs_ucK{x{{2@4gis474c@o=&&pNdx!t&2(^#->r3UOj>W)t_G<}(?K0dHt1p=NuE`@ zYGpFcc5q0pZ!CGN=TV!SZ^*B`xxJ{5n}Im7*9^PsRKHj^PxxxYw4S!;jK8HQka&AzR2}t2!o5&xPAMd9 zQ>-nkJRxFMfRVT|*vX-UA?#Q0y}{Zqzzju`zRm#yN z%zDfBK{TBf#%Km;xeLg9kCXn=j$G;ogaw2N$(!ug^*Grzi7-pz{BnrmV~~y1gyt!* z&f6zQB#(vKF*4XO%YuG)hXW)Go#ilS`oDj1b5ozTyw~d&nWfn3pEf0DQWOIA zs+CQLPSxPOE~Sz5G#(XWDy=<{k0ix!)Oq&=nYCwIA1o9IS@)PGtC5D>Jz3o@_BBE_ zMd-TB&wyaM8b0+=+8j}Fk-4ckXu9|8GwueT2igrH$nGYk<0G*51{U1PjfA);& z%hPQg^(w=;rk{g?LCEgSy&y%mB`SHG#ouVyZ17&~y&D>w4!PSqr3gNz-eYXQUFIdq z@W8@`#-YC5uq}dgsNz^t>|DX{eK6im8cBXvP` zrse0q&HWf{S&Jq+(f*4nvkJMjo^$u3Rnb29ZOUyo6n8gCuX8cM33r)pLD*OiVzAu} zA)o?&awJ7B*_;Oe2;Isn`RPYY=A@ui>r28|ZET~0 zWt@8lf?5ilxU%#tk*93VBEv6*7qoNo&p^@n-bnKywbm%n0AX0OG06Q z{&{GS?VjBU@+wHMUo%DnpPPxK)vE5YAm0XmY@zKvQ&?8B?atcrfeS`?9s1Q)MVmge zEz@?K`}r3_^UQ-9p~>#6Inif^6w6Zo5t|f;jk_x^fB#vJFqFTQ z^x@5tEJ#BFrS*%Q83=a3JosC%==#R=7Fn~=z`(Uz=PBV`^#F4A*u(LkTf#7GCfHLd z;m1wyz+T8WZf}#*3ni*wecKj$shO1Hy_mm&V;@g?$CP#e6m|^bo!K}3-e``_qARX( zZ%ijA$NlN>suN+DoH1kSH;HaIq^{TT1h|ji5s-B7h@!z8UE?*5-J%Z?f%XLtpDZ$v zpWm6z*>t9_>iMtdAj_dBo$vmwvsc$4vxZN@>;Yf6BWvXx0S~I#DwFTCf}`B;Z7H8x zYNd8UNVOBAaN()-m!=B%_ zvwtZuIsvFC3-i?&>?9ag1**Shy3@ax`VD@p2C!o5j#~3`l=Y@)v+J}fT~(BUSYYV3Lo00>BY%u;NzjmhFbc`C=j1HS;|AoTeScc(c0I z#aSHmSz^5*)okd?kdb=mxM4pXuw2&+T&mPhhkAUVp^^3VZQKBytV@OeRU;1$3)|VN zeEilaS)LP&9Jvg&7;)&lo0HoN2}tUGnb@aOp7Vs~aEfhAh%NZw^=>9awRgW>27s&t zEnYEy7S?tD1Br{#;0DI)^42VUKk8PZqKeZihb^zs_2`z!3{80}HDc|7?bxZxteUwS z#rwZmsc>x{`-3y0GkA9^>LI~7sOzlJ zajJf-znx7DZ@odX@{avg9m(Se`qYOnUK@PP=yKWLiC1K^NT*Cv$~0Isrsum~XH-1u z$+f`~%hGVyT}BpbuY=6GAq-C3$_5jI9W#-7i29K@Vt$wi;ZZTlWMrMml#*?dG5G5% zS&fnyp=VtM3%!0Kge#U4!$f7r);a8^n zsJK6lx@AveRE?N|e!r$$ftA@VV!&rj>v?{njwhjth*A<)gWNwk#4l5LPA@ z12AWEyWSvivETDQx~Ajn7{L=;$(ymuXn&Viu_r$I`1k-d4^@6*a5Xt5M;&4=ccA4& zm~B1Mxat9zV;vLjmcFA*i<^T@!sZYwpTW7$&!?63u>s51V5_AodCetV-`Aglrmy5P zA?;;LmH&h;B>Kw$+qk_Y^n3o`Iue)4VrvW_e9fc*Yv>`*X0GJDvZ-#~v=i|?y}jrm zUSwVjeI8jFO+H(d*wPHpPeFCZQCz|Q4fWH<=nJN{tv+&nIIkU=Btj|ryH}sOvjz*E zxcARfm@0SCZNp@fng9}#UDmXv)aejH?h;@e_@&v0&&c_|9Ha3E_n8lnx1$PYc zGv3`%90uEd+x46}97{ZJldPD1^JMY+fBO7MmJ`69Jxg^C{-3$P8HLXE5Od-=Q7MXh zFGzZCWqEP(MUT(J!RoYl{I~DiV0^pG^H=^oCtNTZ2dnJMmo*)HwVfUoNzjQP)}wr$ zL5HPY>p!7(4K=qClTnKmFAd z6sIgrClbwx&!!5Jm0t<90G-qUgiLF2-gNvGC`7IMD(=4;g(b03&5)~CUzTCd@PZez zfLS>rVW%Dh2SJK*NsC0{rgpQYzSP7{*feQQ2Ro)tyt6wLEBQ_9LweOEVzOIzK;!st z+TmQa2iz)x!2NY!3!QODX zKeFU3Ffi4CvYBuT70(A{XJffYry7m*bs>>&&h<5rlq`wVp0p zu^V(LhnTj4)ZI@ax(Ys)$O_T1As{1vXEgZ2|jW=pTE&Kf$GrzR9` zJ9d!ZFGLq86V&VgCVJ5R^ZQg`$^t%FQ0+VUx2g_wBkr-M1IuM{VG{3QN40;zpQcy= zfS2WHf0}2+rw(t~G(cY5_Iq)U-7+r34cYUEH~VSh>iqI|WcrvZYT|5JgAh~~>nU6H zzJve}%;YW4-J4Xp_&WU9_Vhtb&a~C=LQJ;{FV%XWG=7Z5RI>QVji}sb<}uRWEXyfN z0e|*rO+EvLVNHr+p;kIuGX|)SBemr-FH|=~YDLsEYBB`?TlK83bC@2)noQE+_~@yb zpYfZh$tG3nB#s%Em|JE#?eirPm{)1^Jt+5H4)EDIP6x{8_|i(Uet4DZ#+Ze7m8i>s zn}sJm@17})PJ@!(P6geV>o+GF_Hu0+?BBI^!QD3cL7L z>ClNQ_pw3}{*k6XKr|RCYi?~W8GbXZeA~*LahSUPeQ0{ElK7KkurmV8aMKay+g816~{H@Tz_9Xe}@*!Fp{J zX?3>nDjV;X!fY?a>CX(h0Z?nw?}HfXt|r=+8YaiH;0R(at4V-*a+Bs|;`MzJe#4N# zo-_E5dVP_Zv{xlfw?X8~<~!DsB&aB_ z=h;BpO4a>~X%WRdY6N;pgGHs9;@_GM02 z_0f~v8a~(MFJ3Mrr&hmEtNOdMg5qWq<*CyvCZXEu_NxCdQL49{=*eF7&F|b9zwi_t z{hJL<_}vIrZ|F5f9~K0UE{xQCRlHvraiZZ@s~W3ERpvD9-({V9o^?#ghN+sbl5F9t za=wNRgsWe=JiZ5)P~*52Z~Em^>TW0g3o5!B!i)X8@b+=b27F_7uf5TO>sRzIUsb{F z0<&3OmZ=>7H1rFPwl8rVL8KPAUj?;ei~)xFKEr+EEZwS5!Kq;UY3h;0VJ+vlWw4BA zwR006UeMoVu%^1ULE)m7WrGUJsq!%0dqnun-d-1*Fxj|L%KXN!{@F}E8F&F=n`qN? zu-1EGHBM9OaJ$8%y?y6+%SkmfP{0%)$*` zkKtV`W{}ODmV1Lt*h%k8P+bw?cRkxycaYXjk~Y}l+OUE%@oBImy6wCE0lZ-OFGkG6 zNq1aW%{8fPA~bLW6iNQynPk2)NL%Yx^XuOn2x?`qMojTB&Q z;(%k^+(s%FN`B}~e&+uh`rZFY#7dY>;_IWcO(sLW%oUeZI?{HRY-J5DFd-TPwp`kNYShrNh4*|_#DuNH6aQm% z{leFHAYQnw1X^L)Jl!4sUh5xcNmXlAnVAg-4W2eYW5)qoZB{258N(q=5&BGl?GkVx zb@7&k&9l*3`r5xnIoNnSFLT(f=iU+5(xsZ%KMxr}hkHwLTEqOyT(*Afa;(q^oh?gh z*|e!0t&NNNm^vZ}EjBlt8SaLr1syCufU}TpGM@!rtk7v2bqN3HG20(%Ld4=4fVeES=&nU?AjZ2sn0FJ9D;k&_Kl@7nM9b{2fo;EIY=h}G}&M?)(xTB?gARcPnbw3c7wnR7=Grx$H+D7$`ZP&WoJ|T%TXnF57$ho z?^iV1l~wDfQy`(QUNO(gJ=Kj&D!1;(wf&IvKebXGHa(o%eb}MmYM52y{=q}&=s*R? z<#tE>`STy?Ow5NxG!#U(f8hn%AB27yWm2szj`s{4sU)Lh zGrbW%P*|9MqTXL?4JQt9Zl>4Y!HfB${`Sr@Hj{0}OdE9eJRh6z4Uc2&)#crvoP6pc z(!DV7uJ)G~W$`5M9czF^ApG+`_xL|XC89hD-z1d_J=+6)C!&1 zJ03_jf2~qlx@!~uArO&XTZ8k%&*!FQM#8B2iBExvX8ttTChta?WXhi|?PLhEpg{$cg*5 zqBdx*Jo|*Ry5I)%tJC$#?zTt`Jkcsnqli(4f03A6dls+KA?QhfjKJ*kk-ZtX<_*-X z4U`F+$|;%QftUUM)D!Evu>;EZ$Z+v-M-HX5opm8NKRrOKWfy zCW|_Uz#i-6!E$V3H(%}C~yM?1KF@jwW z#WDmPI5?+kgEQ<-xlrijcz5+f8C)*}*v9ZoC71?1$nm7fP+fc>k^_AL`#xGJ=6Z*2 z&5Kqj2Toedfm`>DaD{u%r&)-O17!JHrxfq3z1Y)P!kc_wVF7hm5&Zhw7yWEWkcDdX z`RL?l;-FO}$GxCWo{l+Fj@hZs!Z7V0Uq6*EG`>Ll$90a$`0Hw^CiNR`nb|DKR*fN% z{hpDy2}@kY@LiFJjPGD36$K7Pzkk4_%97L@)9|ZBJbHi5% zjr3?qs!~GWJ-TAwy)@wWV+;>j zsiC@T-LE0!t@1^Crv?D-SxP+CWBVYN=Ehwy&on78UrDyp0``ingI21d?bc8j#GMb3 z@h0|5%58K%Bcb(M?ijsAby2sx`gI zRUL+zs<72#8^NU&-_jVlg&%BlaYjsphKIhM^-lqm^K+$2X4J@ir#K+jy>ZH?s6c%f zT&p29Ks0=ltJ)xc(l9={PKr%#*c}qG8GPjfV5EiZn5DI?d+?hKrG)c-qZ|5uO|v(;?|<={gQ#XTHih(QKJbbsh$UIl-OhG0U5Ta_2Ey zfi0@<=9xaN{r>|=#hUD)nX{{3uz9S zNP2Wyu=2OoS-$!)R^boRRP{KS$Z&=YGWo9LD$Oh6pt)y-QK6E+*Fm{X+kZro>wRa7 zYztlTR&-Qs15V|&<2*@4)AVOn^&Vj0=^?QNK6eovM5B(M*4jokXlJE22W&jZH1%gl zTiUB{P;oSxTuWv)X*E$;=)In0iV8|*c2$2OK@oEk6kGKVH0Bl2En&J)4)aY<`3cUG zhaf|@Yf^vUotZ}PsYr?x5s^|L?_%71Km|FVf<>A7qS-Xe3P&;-*N1uO7yrs0u>T2P zvKg4he4kId(;aJX#_zz-l@1aBJ<=~{g}ya{yfZSkMUH*G6RADB5xUv^2t4vU*~`%F zuijRE7^(QXrSQHgyV&$&Jpqm$HslwQ@GRfs2_gqPAOI$ou z+we}T)i>5lCUXiRpXJ2m3jL1D>4t`_%~==O=YvLyyyU3;mixA?QDOgILB1fMy1R8o z=CaR%7F8V+A2XG{`yGJ`PV{KqgAJaL*pT zf8ahh;cT_n*eA&3pY1+x&HNDZZt+asm?+wfIcp?GBF;jNomaEYZU{3ZS! z(WD^1AwKwmJ@^PzbDR%hXL8Y#DLe!b4_^Lelw#&hQdo#_nmS%gjuqe?A*lWa5l8;Q zqtnyMY)DLU7jdMkln{pwH~+?ys~Iot<*JY=G!-&WO?EY|)NCW?tKR=FWz9S4Re6u+ z$XYTf1p-3dpNv=@3|r+7-Mk4xaYjROSgawE2tQ7t*r0-MRVQd*p+Xh=L4(XL{|_gE zza_UR)JN0dz9d^+h8$a+`n^A#CA%y^f0gYAN2@d!lE$G=|3fCMS$KWpXC8?~CBh{N z_88rGhi+9SOyk#+l;w!rEWC%ywe%XR-H3Yk>@1#4$sQiEM$Q(d#!|A+Y^D}c9~9b# zrebT<4jV}-_C`gR_DwuC9AH1)Jmv{a(u$6!Z1~8ngCmMoYzuyFbsd^Vej~gFP%|Z* z8>{i`XX`>c)~o$7*+Av2Vruv@Y3f<$%ZW~JYvnOd1E5Xdz1$5X_7DdHeSgY%kKLzKPsq?z=wQM6 z#sf@&tX!lJwY1a*>G=kmiXchEd$!;lK5W|{sw}hj(|mX3lKo72mevpp{iFs0u|(61KCl z>4;;C+Y;i!GMNzoM}SR;iyWaX%yMrlh2z)XAbdD-*gjsm?bHy320w79q}Fauj<;XM zWr1gBOkVAS%Ri6g{H$tqmj8b=z`w4;YVoDxb}9sm46XN}@A53uev-pB(V14R?}cN0 zWV0_9jG`*%hc!3$6i?B;(d4r|=ej(FXqsB1njif9(puh}L*$i5ycP3y?9QC81M@|D zuIYYk&qD`#`iR3RL)0k-*Q+S46qjnj>I9d44-qaVBWhWY>OsJ*z+@ek8eS8?wZ*z+bY%YWSC+{!yjzc|_U4sABHw*`VoDHa zX7^VY%mx9Q9iknh#sSY!JTY5it!|Xo8PDjxv>^uDuqVlsH+#QPE|B+C-~W2XIl`V^ zKJNu|8*><%fBu5ybkSo$XP#Mt}?#=FpxlH-A+RAC1C8N8=YIUXbwdpQLL z^5pG8RnxN?;`g8mj{HA93FAH$gL!gV6y0!+NO2RAAc_ZpJTr0BAUDjxh#*HRg3J)~ zAp^){5)*648WkYd8)&l^*Vs2&6260KKx33h zy$kfLj7$@V^8Y|T&-_BWNs=(Lkr&#&!qu~sO{b(fmNKGj?gC(%&haECHtEAqhi@Y- zhM$jI+4W78wSH9DSgfeOkd{px2KySbcwiV?7AS{DWyGB zbW&k>3xv0`S<9OG-q&KWo`sC_6HcYt*H%D2_@X@T)S87s+N~;-Faw2=uCXUhsiUFF zS?A(Kq{t-JUty+dBWk_c2%chi+1i3*|lwQBE;h+@ypP`u|1V zyMngcF&T*RmcL4`@)jPCNz%z^5q4i+6*3bj3y{73&%}<;WO5&*1O!QbYc;h zQS82nDLgBLY>~YrEep>CDBvVp%dh{O%h=>pHKpB_0OHKJ(6KwEN8WN9?~3vETS_#% znPDIueA)&BSPBG1`GH-K&B_lu1coW-HMWvFs|-HE+xSLYDlho)J&D76*!Ce$de*a) z+zSN}9vVD0p7P?dYPbf!0w zEC{rCXyA|k(vEhx{&VOeJKY9A>7c=l#z5cvn-X;rah?*%pc3dpx)Ad~>XCL*t{2V? z9{plCU>%C)NQrls%{8jyYd?r>H$PKqG#g{V@j)Z4(MEX|Piq|dl8f}qLwXK&0&a}< zzECnWhijz2(`k5=i*!vpt+8wD1fUl;#C?`kxjZd6fm!%Eg)g&uL^+hQNB;pFVIdac zS1>yb9XdFvB!QDhOgi6S5E)X2zW;z-x|zP^`HeF)M;e+RJ5~1~ux+LPtIJ0suDg{G z1H85V6218l1MbU_>kxzL`v;R;9WkT3jwGWitk3&Nr%AK78H6eOzu*TI)61W6e#Xu& zyxYB;S@>vi9uQ253l<|?xd?4I>C9A!W>0)F~TAQ{edfu%ndlyz(zDe@&KOjE# zw_Q`)PxRjF}z@;FwIqfncn@wQ&B8;q5@h#2@Sj;)%B zmuU8EqSXw_4Vv%fF*BQl+G~e_Efu@lQl>!uQo5r2ok_lnu{bfw=Ox-ceuJPq@HTSO z@^`FSDZqB&7yEO#ZM+Klk0(w|aecz&mPEQczI!zG_n%CC`M;4jlOI2+5e%x^3y281 zF-k^&^Jjc@IEacS#$?w2jLWp}6!CtSQSsd_GR>OO8I!R-9+weeE$Wz-Y?T@>9o`vZ zWE&ein`^;r6Kr{Mql@4E%t3@RtybR|#wTKg`>)qWG^*R=`T`HPQr_4TN|VZKKm3U) z{+#qQS3W4ngiM!*V$G@gObuhe?K4Ng6uW6EVX!-oLv|b6X>LY&(Qk?(VnMbab)Ccx;#;p5_wBisW4|@unkk_#RDy$+SeRPDyC$AE(kj8|%7{ccL zRt;ery0BFdRa{t?buE@i)xM8uZob=tJ>(l^)}>ZwqyQLw4@##h+3;E>(anmpH6%>u zQdc#7EQ;^C%qb9eodXwH-i?#qrV>#OzH2A^2l5pizQL3W*~j%TqSr2Hi`{=)Y6I`y z%n=Jp1q&*shNkm{_*UcXGLbsWxf!Jo2U@!pv9$#JrQBTUfdrSLYi~abu1O6NY|uUD zpfW$j%6fq@W)peNyf$~LaJdMp%F8tK^ZZ4$9U0Jxzh^`cNI07~pL(d}^eQNLl4#bS zWTsP%U?RvY_;zvw-nwuN6qEiT3*tve>48(rYWy$u#{yeb#toF|*bBgf+; z+w$_y87KO~>RSI61wCorJ)3#U`rlQ(hi=AbTy8@>i*z>(YYtS4vWDYJ+&-}}U~Zp7 zZk$SL%ZVRj-cw*4g?SCe2s4dbSA0mWa~bXPO)XkS1Nl_(btmA+avz{fB%{?+nq&ey zEPFK_Abiy!|4pk&{v}N@sQUrSU%c7qHn-v5_A^b)*=R@z7jWOhx7i>kAb>bf6_^;)cd_!h=87S*P+l- z(uq3Kv`eanlG#7og}S$(xkI2qV>6F7@3k!gIPZj7M6q&?z#!?u?Qxg;bM5%u@~W2K zBnl!L8SIbINJn&)D)H<4?VD9g5x3`oo3a&OMJKYBy24j04*UG%s_FH`1<$>96c%Zw zuq2FdHM-t){+pmd3Z>%!aeN>oG6anin4S{Ne*On84Y+rh#0$b}z(lEst|hQ8H1~gJ zqhf!_*UQoJ6y)9Z*tZHb0}@wnc3);bwUEonGQ>KovW5#sg+EpG_fo+|@f4Asq0gMa zb~Ol|l=6sqzyn|9Zoq7%@5R_Due}EEbhcqkWh+~v6&3JBkWk356HZJO(SPJ{uig?H z_qUt7%9e^d1T{q;G0iyUZVJLx=KwnBXezkBK`6N4>{6en z_Zb8U5lp#PU6jv=j7eR%M+~jMOv||z;bjxCPi2jr5`tnDFlb$-)n&+~(w<;x51&py zkMW8S<>56H`U+uB79AC)cm0zE*1sbM6An~a`Cm*p-*kU-hxB7<2U0_tbB2I1uv-!k?%eu@-w#dYJvz9aPR)T zOnHAFyC2c_c3NK?)hdE$++EM4uBClYNz&xoruaLNVcEUpFSQwjKO6ZdW*WRRyBN{X zJA(O#47v2BO+jpB>~Y)(wBYbP9RGAR7tJWPVVDQx`Q4f6VH}-)F^zA6%3fp2eV+xP znqK(PWk&8eW}j6t7f!8rq2LZ@rhiPQIw2qBeiC^iK-?Rtt~ShnKB|gpRs5*_h=n+z z`rr!U+3A)>G)7y{9xKiiu|j0nVH_}t(pL&2{_NkEgz>O1seQ(L*(qj#Ff@o;c@_>a z8tXdrj~iNh%}dy{a%fnPy3B}7vWr@V*6t69#dgrsb1JW|PJq5DKpqgfkmFx6cx>Du z&m3H5V%*b@iuD*YV7XI6Y6i-era539ichk8;pGoS7)#KstS%Ui+UJ3g~i zhsE%TORzx$h2cV<+3>8hAYwy!Z=6p(DR#{UZ?Z(?!XnG8;nd>&^$b9y0lmcz3o}d! zXTD0SlhMoLKtl%6>Ye&c(2-#qqP7*iD;8%tzr<%8t8rPsWbP^~_jqPabE0r#q10?# z;=O6#L7=^#J#kmntXU2O zC+5-dA5dk@a84#h=Il>1wikMk`AP$16DD`a8Kf-nOFB`f$r-9#SeKwPw29jE^!2Bf zAZ(pxdnYA%mX7h8H*`$Ahk2ZGnA(YJOnmOiLi91ve#7;2>DXO%LSO~qf=c{A|L!Cw z1u*7+M8Oq5kMCNvU=Wcviz>PL&ghg&|5@X*f2jk(BK$jo7i0g4G6ibx-1iLNR%vKdfs|m1IGRxZRoiqiPix zs<^8uLh@3ujWXI#snd+Mn)X=_?!k)e5+_6|eXw5+nSYWq$Z?~|Y$0b)_Rr9Yhy^l} zD~8C=w9od}`E9?{=_}|)@Qes*;Kl8N$T`39? zjLkJM@*ceVZl5cbq=)q(hgD@L#U4L8n-}%_ggI!<-rWVYu&;6rc*)*5%kXNGC3C^v91~KTjR<~1!)TO61jsd|xl?wUmmUK5hJ^WR ztcGRiPn?!RV>lON2pw;aPlyN=HE_S9MasQRHd0X4(J`QL{?wk0w)D_-t!m)^->&mH z#6eYuy35DseI7<*>cXm9fErW@iRKF)d{%>lCoFSnT6CS*08wH8VV{7NcloCb=Ar-A zF)<%kyGRZo0v+w`4gK24jw;eJl0+cQEU|Y(?_Vds2$rprJfHmQsMb^g-X+S(pnVtwhst?~o$VigkDqwwwT(yf&XcN|;-R5+6%_1&3DbVXPp6b}8jJyO z1l8t)5>a~B5!lL+I|20`8s7j_2@rc^&CbYiUb zxOw*r7u=GZj$W_(MdbM{<8QL{1&?rZ+CdJ{z%E@%z2>zAFCU&8REg<+D)CvCwooAt z*w1PcrqkY_qUZYrv65Qx8kZ@9+^h1EO1zu$J>40?@tePY0@r*a*(aK0g{`OM96eC! z^!l7Xb21Kk(tS%w>9tF z?ZrOKd}y**T(xKy^$~_^nPEE$74OY5P+S+251>OT1PBq`23KoXftVK|Rnao)uej|@ z^3HpbyH8D=yaiPa&pVkrd3HNUx9B6bob!o8WWhLT@LyGyzI+H^wN*gQctr>yS96>C}i>DAm|%;g3vD<)0y#SiY#d$d13VLZ_L>dXxi z>X7$|8cRrS6Gny3^g#S>v%)LMK&WVD&Y(z2T#4!TzPyDekDWvcsqlBvp5k((tWSPm zpTInipuU?83@1*BCNF+JufPvC!RkD$sJrVar$!h_`@)DRh1v-bf|aA!f-^t82ip3s z&zt#5IJ2A^6l%*U2b6ckc6EDMX(TRz0$rb^z{*F(CiX&%(vFI;1L!$7TxF-FJkXvf-%# z!KiO$Fj|?PmG}dn!;EkPBkMQr&A()B&_aK;s z3n{-A_%Rji*;=8Jkr@&31h3SVq-p z1!Xr$XjSe*k-{3=%U_g@Ht!@8G;ho-MkGdNhh>cR*1x;i!L|A7H}9AbK^9Ml!~cgj zIt2e)bk+VMn4hafyhRC~RR95yqgs?K4=r&jSMgF#U67GRmT1XachCBH7YeJ6c=9W% zB=!CG&p6Gm2*TIzdZO*LDw`4*EK)6u<|-5~T?TJxhWF7Ghu=TAQa~C>E5}iEN;_xY zcBfh)Z@y3dqqcrNCAF))ujF zIZ6MEvGY@^e_l!h(QIy)#?Usk!swtfG=fx9_~|qLuy5nN`{m_JG}ux>bV`K7e25?F z7jchF^@)iGM*nmNJ={7>1kg#0oHaY}>f3A$X@^HHQWzZG`D=>7z zUyNEpm>ATaIldo=h}8d~W!NyRAVnT?yF&#W4}}Bcf9-*KR2?3AIhVaj9KZ0Ho(2wv zpFpIH6ije*Wl8Oy>9_BNJ*9Wyd`{suQO5K1Bo1Sb%+f6z^&AVe$>n}BRlV?UMkW!E zz;h9~3EWFRPuAb?C!>Fz1Isc7)wRgX@>bQ1Du(yFz{={UYPrSl^H5X=W@?)#uR)Et zU&U_{&tDxd5Rs?v`l>zUN%NVwhHfA~z$=dqqx(phj;ueZ`}9NvA9Ci(Np8&h+R1i>sFDVMs>My{>Mk!tbu;+9yOu`L?$9r0to7z79FcZ2lA8ol{s zy2}3zYwt#rei_S2xxhxEb4G$aBGaL_ge%G(c{8;`Wf(o#W?v%ha*`jn^)}IVz~0SE z?nueUFQdQ9u46E*oJW%oc>huqmfUTxad1j27{sH23k^K;!;Beua`mmVsP-CFYxpHb zWwjPH8L9!}0pMEbrw8FLa~i(E`%W~KgA}wLKgJCE`BTFG@(8We+7i5Reg4h6#tdCr z_Wxe2-D;B)JsxQ$YL%w^O|-79x>n4+zdD!rHTyz(%vv9Of2fptO6k{F88WrAR#b>D zrOh}!Y^KdTYCL%*7IK|0*yqmSVFY8aN915q47!T0|G^chx~{MCjwPA`B{x6}-m&?= zq$@hke={Fs>B+0LupEz_mkDs88vVJXT)5DK>YT%7CvxoS z&yN_wJ?P3m>W=q~tcx*RT1B9SkOI-ISF8GN#ZQw%By26X5|Xqx1aVLBAIn5!V%%Qd zq?#-gY8J{AD5rN!T%>tZP3=^B`jzxO^v4s2C^ShX+10By1u!7$G_AI0=bujRk1}+{ z&svHAqz`+%QTt%uBVq0AKaQ?lOP!`Aou>U-e-fsR6}-NwJ2E7Sz~&Mwe)bYd^hI)) zc*(hWDO=hZ~9S* zKi+kAofj@*AFfE$f7Pz4a9xf(HLVqfsyx$Iw(O0t5xpWYOJ6!y;mFnYq(5E;%!5`m z57#2U>#qrIw0zrrw@-Gcakwk~>apiyp`NCQ`iipwb@WA>{r;Ec5VcO3l|E1+5Y%Hr zsRfje#;82e1~s@S9BT`tsu3ZG&p;ZF392zo0zE6+%ODT{_0IfWY);pQhP<6Ak&_9L zr{lLbJGt$2ZY7svk#TMX*39z^zWiM+8qdD+JDH3v3gHpyV23^Gaib_omok_iRf7Dy zTo_8^bK?z=Hz9Ndo~oRD`J%MIy%3{i9M_VWbOvP4`69tK-4RZuEx?-Wnmp3Gk`!KB z#Sw6S?ys}oCmP`Y{N{vh^DdywgI5v}FyyX^E6@WC;;qH$x}2gZ_Y^L9Q_Wi13}Fq; z-}uhgBmXLf1@UHc$azVl<#dwxMT;u8bDsfi=L)h z@udab)9u7vyY-}vhfU|e$QkREBDwkofBVIlGHy8L7Qw@~^X4;TH-S=uT|vA!zWLfEN7zW(7W<%JX!7bN5l8ikxc9)+<;j-K3~`{*O^Me*iEK^JE0HllMQ;117nPNkX_Xuf#{Dq zCw0Insa`bFV|GmtUb3vK_7mBjfuK1KI28$SPD#m;-Fg`MrlD-`6`WibcC{kM1w8-v z5+m5?Q^af zwK-p9XugCKF`U9CNn_Vx00$(pmluP_isTs|6r4>;D$ z!r)33s%^Gt#_2PC8y-E(fi3IAtvYhoeJ|K#EgUkRyny4j)jX|`=#cDd0qRlHtGNzI zt5Ep{-|aEbkHA#u)OMGG`uio+3OjA3&3&IjnX~lR28b1dB0mVhWZd7F6MtIbR*lSh zdciP=!5o%#-lQqtn%zv-MXU0>ftoU+?Mtj27`Oo%L=$G&^tW2DTc=n zlAgTa!IT{F6|5~6lT7>_Fkd*5HvLU>$8!|3)*%LZHrNAAxM+LH0D9%@As z|I-RRD`Hh5H0oTB*zh?cx&RdJivTDaNhtW}$)JQ0KmG^IqY7f@d_O?=k ze0KBk>*11d^<6k{(>SzDQM+`pjOXmtEWZ74B`|Oxs``DBM7wybq`cy!@R-n-7sR@l zo&)(ZlhlJkwNzr|@L`iD3GeHHz@@jqmt(Aa@)!{wtjGC2M2|O3ub~MfVIN*#=sqcf zO*8dy?Sd^&4agg9y)+Yl;UY1O8C{TXoQa0bAG)qL^6oDNo8p-t*vIb-pJGy;7f6vl z%=m-Rw1)|eWqnn}dd9sO1(U$S7vX=_1&J`CguTs`%Ki$1GJ^z&xQ1ZN2dmC&76T@4 z)@=$e4Bl7^mc~6%K@Lvg2U%?dm5{6V{l^SWwu`^tLSe_KOvD<-d(t zI=y&ardy{&M)$hk>PDyeYsy{D9WB#y(x1|3-~9L9_Asl{ZF;BJ6KzvYh>%k?T)A2_ zc*8)TJSFinAn+$2#YPe-s{6f0!8nRqV%9SE6;hrrvj9ZoGOEh(D)TdQ?CiEg5`2b7 zA_c;P0Y>L7_#{=TV{fGo3-msxtRGU=>Ba8Fc?7UsW%`t@0*fydo52Y%uIT9I;`VCJ z=xWAeHdmdJQ_|VOr+DkTmvY5k*4La{Zb@;Mi^bYJA2j@kbDR1O-u@45nq&sXiWeoq zgjs$=ef$h$Z$Naw?bR9bhvzEmaXwoz*^&a@qK{Jb9@ItADRz%5rT1083+fvf4*9;z z(g4Z4;#Di&IaE&Tml9?2mAv}5j8KtXHzBAy&ueUKZ>ZVTIEb!8aka8EM^8R=2APp! zS6(lw8&I^2%MaokSE(anTi%6Yk4y1e4G)zl5Oc}}hyz9%k2n+52>2bc}5Xr1^<5>^0G~F!* zdkGSJ7Bd~D?Rrkg-Vi4F#@`DFIKE$|03VV=tGOUm_1rZ)qDSh;2nuk(FN#c!zb~|1 z4YEGYe&m+LoH&av_IxSn1Y_g!qyz&#pqy_LCDxuNZill54MQ!X;(Z-jwqeFUpan{N zkQI98*8!X1?7JE#f5adgO_)chX|D#_+W%=l$^ikHvu&BFTETk1okIVhd~c~v^Ii7o z+=oI$4pQcSgrBD$cq*Vn-N3jo46t#v+F$MQwn=~pyiR5ZwG93AG+zrY%KttzwMCRr zOMPqOo@*H8%*5Kv#5z{VqD)VJKo;FJ$Uxt&l3sWa?f&8b*&{1KIaM+K4kK0_e+Q3Q zD~$8VdPm21ER{AxkJ*S6I=Z4mxl&Kp zVs~qut{7*KAv>!{_dH-3>h=AO24HL(?A;W&T>W60ewHzX$_=fUQ9xAQg4fz(yoDDw z1&qoz0VR4ErYr(GXjMYV2diO)$WdxUMgonQuR04-%XynU$ zxY?^Ky+q24WzG9qfpfcgo8&)qZ<;~ESBn3C6UIw4XgGr@;BRJ%fM`+m4>L!;`a|Jq zgxz0IiwQK%r%VM^b11A8TYH}9V|md7IGt`wo6)tCmI--Mj=o6t=E%$+ORBvFqiIWzWylOE(+>MS_&-GcO2H~DN-^qs=&z3hi5U;*~WCA zJU%@wCk92)?Q3KMVwUdsWr=`SLJ4< zA!M^8#ufrkD($a14}8b93pil>Wf2w3;b>FQkb6? z-6pS-Xsr5i=C&dqywRmSmV@Hr3x-**EUV z+2vhXvdv|7hCV>me$z-Ob1XJ<4pdg+?<__#$bTAk#{@@!L04lAnWS1*IfRzAUR1c& zqN^Up(qyb%cV?<{`ZgI#DuT)*CXez55$_1@=MB?TtEw7qUlXJ3?mCn_`0V|`re?1En1>_OW_Aq zOjCUar?fd&fIn->p+WKb|@L()+}h zwW-Yi%XTyC0r1<_Kt{E)sb#yF;tks+zD4ntUI4{kDuqbZ@Up{@!uzX87{>px zsxT}^!50}<@SHocDg5aao1t#5?cj}O30yI;rQ7%M`AtkSkCE8mRH;GDnfNJ+P=E

I2ITLh5>JAG>@q8vhOcO4f!tVS1NCqc^j6o%PXI#mqpNBikN81|E>=c zBTNf}+$BTX!@{27f`1Cb(-JuIB{EVe{oj<3LL;2Nx9Dv-5Oee;4PM(P%0GdsH;no? zGV6|oW61eXl#q}qixcy*_t%v92jkSo;THyB`2pDyK!rFS`DRRZ7|<4_iJ_9U`LXTd*BSE`#6irUOQu14cx;>p3<(Ep{9 z%Z*q^KVerUc9Ph|8Naa@v_0U#N)9snus;Dnrtdg(1juIc<@FA-4_L}R9Gizo0@mOy*_LjzrBE_TNtdJ{Lrn#a+4@t@k>MvOYzD- zcPVY^{whK+sn^@a41;H1QZBliQI)bdXGAZHd5>~dUw>494s;jO)m^D$VB6ZH`OJbc z_H=GRx#yIv-C-7{|7#WYT1%6;8cUo>SY+XPTjOwE3E2*Mv!*5i&O`S;(k6t*Fw_%3 zG5-L3y-1-(=KNN;_IvWk!3vF86&-M;vyuF|F8ik(LhT2QM*iC4dffV7RFnAmn@^@( z&*YAMGM&|bC3ovu`Fc)O7I+LtV}3UssE%5bZyq_xGvfbrGNAZc7U|9FksmZbr|rTs zzLurF@w08bQaM7rA%GvJvhkwz|_{6mMs{6doYHK@%VKCBk5|)8iGDHhphb^g5GG}PG?<$ zKis<6?LkScF&Y7G-F&-emThmV$QLZ@>vlCZje7Kr(AwLDD);XAN#GWb(7U~sANwa| zPsqAHQ^3{QVm(rbZ1QzO;>P(yH%h|-!@oLR``5&#gVc3y{A;v&f0TShzgeWyM)ST& zhQuh2P;q4HMOcu8P<~+7+@H_7jQxIDLV3HCO*$vIe-f=8H;-3+!EvL%dNbLk@JS%0 zM&OzH2Ll7U5ntt(z-wVe>}SO8yVsotCL#vJm6% z+_W&Ump9D8UF|$F*|Zrn&4`VmDls@Lph(<$*2ji5o#W#E-n?~b&1!dqg4XC-t{79; z0pO~ytVum=Ub5y~Ywjh>*ZL{Di?Zsb_#t4~d`U8!*?GI`>`D)h4LaqWEH~z??0f+U z7JTr{vOns3(G+0E+v#L5=cDv;xWoM5&w`L6UzJ0KrVOqxFUJ=u61ikC0#J2!42t{z zo65QUQMyA0pIY@w6OP0_{r~+A^y#+T*STi}Oc~(&|TXZ-U{_ty|A@qYYFRNdrmA?G3RNxluFn_Zxq#9bre~nSz zsA=14FU4Z3Oa=%-YNcB(-3vbWJ%28ZmK~M(9-*v}n1yWPy1F5+t=HRc(pz zRou0?A%%M+TdWEueTy%)OZ@t%|H?l%y=n|)Mxm+Og*jt* zyW}>3qyr7o*+YywTK;ePOqbiITb_^tmASFU-aoC5-_>@{s>InD8mszIv8xu6#hhvq z#}9?O#N>(%u73xtabC*Z9_{@XLt++x$2z~IeLt#D2UPmoChym9vX<`L_6`}v1f75H zn1nR~He*qZeWALpgto{sQ<%&+CF^qv!FXF8bU2--esG%U9azoM|61Eia`d#O7oldR9<&6`DG<9nNC+wdh!F2|U#^_B>ItdW;yqbta{0neY^r7cJYMn`N1TA3#yvQ{E^^3rnQn&f?>IO!G#H7!-8F z_2Uk;;8gB=_j_;_}K4l({WrE5UU$sdXbe8@Et77D~0s63Y_t`F| z{{ERoy76O#{y{Vw5uO7k%W2ttrB7aYO;C6o93|u4P$wm#!o(+j^7|s~jvD1Y860uI z|Lu(TjGs8a8l`H(6w;HCx*=ae|{I}N!ERpXxO>zQd2QkQI)~QKf%-Aj06a$*=r`v?=KG^e| z{A2rviSQY>ZR|ojYG?ZP#K|!x2pe1DLz1nLb(QvvzC)6nT0EJ6bGZcc$pgu%fLF32 zh4;HyN1IH-!3y?MSJT&00iiNt$)~Or%N>>h9I>@R$$Kko-3IH`(SK&ZY9Ad8gC(rM z8rB235$A?u_3)VkvMa?crr{*uz*;lD#YIaZnzNSNaCzExlNQGAgoNKkacJIy+I*k+ zB~9I18E9{M%ef65iI_=dG0S-R@zfH4H0rjEHgya}`Z2C8Br5bP8Z;UxK6ys=SqGh9 zn4}VKK2@(w@xectb)a?!nAR}HYm(q#1H!jVgy4_PUVw{v}^0A6O^v47-Ve2{L7|E+-8_*h={i7&a-&5R#=IUPh7Yb!>ZGSqE56vM6{4RIcsd{?Po zwU%7OT)x*i*0DRCk=V8HfAUlEU3xYqlHC{!_F>IDmRMf!YGbrfyY4KDOpZDkBT&ypH%U^yw`R46ppQtl@6-mc;?-JquQAe5hrWc|F!2dJAX) z{Fl9Ue*w2W>smHa}fF zx9xg_I~Bgpvxz+996Hr|27O`ogt;(lcA3SsI$yT$ko_ny&sA>?FM+y~KY?b!bEN_bxS z7@>Fo_3&f8Qj{J`_OY~Pu(9tKpQ2zGc1o|=rr+MZ{qHls|5{7lU`njdmHXr$UdN^L zXpyAl^Jok|jX6B}e-FoeCD>Ec?j`qfe$Dqc#EU=W zZ2MdL8HG#$I)Pd8e&rhuootdR``aB&B=q~SS6%32w~_|MW$+!bm+z3I|I-Jt-e3|l zZbY%EUaDyZMtO?9=s5|3mPUW$SMsU!s}yUar}PsokEs_~Abu0IC;ANrLKfb7G5HeI z>=K&%Z2z5g5isHW-rl##iT|l`H)Qc$-)+0tpWRQt6CFg2Cjy}|B%GQdCeL^RH^J(w ziPm+`t;52KW4_}=$B~X~#Jd3D zh`5-c#(^1Jt@}ME9Q;<*Z4xhk0hAh;YZuX1W%yAAPU&{H&9V98n!BfG!{OSem!^(I$%LP~t3#7nR;c9HbnmC!t02!F9&qR7I_7g%yK1oUF6pZ#mH{luEN?$a~1f}>Ce0biF>uLjSw z>0OPi5qCmU?)Fy#Ex$b{p6m;U3x=$i5%4=aanO&Cl0Af~m!+cjR?j0pfxSXer6~ir69i z#)gN2r%FdREHe}g3Y+1IiLOPTHY%`Uh=(h?a>m6G%X7i@1*vG0=b51Zz|W&mp~ z0$26imB#Bq6XMJ#Kfri0Ep`+;qAt@vZ3?ceix)kHO9B7B7wA%)*)LIf3XG>Y_b@dv zLdxY`8($siNB#EyCbjwp`9?F`0mxv3?CQr$TX#8yfZv?7Ixt4V{)IDdF>3+%a4KqD zP48$4x~aVa@o36|{pGrU)?YsNQB|0m?^`n@P$hk#QsmPhMi2s-7? z%XVP{dCJ?;;hXX%pm)|otwhVG5>!&>Su^6BclMe#=Tll2Y2HSAZf1qBdB>g3$A0c! zt!O&|vkHnH8St-nX2yObOhteVx0P+j%bWwA2`1~B)fqK}SkiDP-$uPIv4hM3`@f4v zrIk}Y7q!3Fy_N~v?+vk8)mVE5wI!bs8V%w@vFm;@vs#m+)Lf)CY8_#%dG3CtS*q%o zmb1K+$az9>(PaO?eT>sZBlCrOsfP}JXLw}J+iiL2(HJcA?@!CE6yxE)QMX!0Mou2$;16UdVAf{H=9k#bs5x{HdsUsv5+`=DNIBK0 z_=XAar@Aq-it!%nM#J+S>uTKXqr53@Ct$rwAJK5jAXu|(A#Bc+r)B}CfKIZS)}nZx%EAov7lP{@q>0<{%Q z+`Ok8SY5a{%yW$`!gBbrDM|F_@2}3w)~BNxASy8$qVrqV+fMf?2ut?EtFb?Qa;V+= ziukSrq%UjGm0|nZxv#-{Ae8;jrclhKZ;`T+f1TH;a1GYx_9l3`$z3fBShA_2IVzZ6NZ--(y0HDM7aKoL*lFn?9Ps9v(KZoT}X-R>f?#bFos~t{-|22}3Dok64EJ23#l^kA#OR+jyI~J?o8;qmlFG`;PhKGQKm?P|J2U^QZyRsW z9hMKR{lP8tJ0Y#CKvm8Auxv}s7HS3d9e@n=q;Jb)m8YHy6XN8#H@T-WTzIr@v#SFS zZ_3hZn}jaFeVg2fzI~YRzGlQr{jqo2H4|3=3t+tU-TtUslBnl%y`F&_kYUFVC1UvQ z{R9q(W(^TqSAD{FIqyQ?{Ce4*7rE_z+|l$W$nOZ-gWp;TFt>+ex+WF^z3^Z*SE2(u z(Tjz4>cNzKumZ~ZgG66nDgf*^3lK4rfs zBDfz-K&Kz`*~YTdQ=<8=C#NEhyi7Ai5w_@Sq5Sw*QLc7)Pra=wkyC}19gMUoErLqu z1&hv3zetR)a9e?p^cf;;uQcFQ#9JmUGl;?#ZQD`riog(>a_1{#fFsadJS@M4Jk4zL z!ymw>4*i(f*IyEa-XI`uuN8fad5*C)08#Lu{utM-v16p8^EP8LzKH?d6k0kUnV>$r z)b8c;i35G7>GKIcA~K;RRhlW_ErXtu@AJ_Td!bt5%V3v|;MPJzf2o-jyUrBmAeWBM1 z@r3udM%0h*(_=PctX3Lh>WWwX^wZz{vCYRpPJL&o@o&{&3y4H^SQ2?zf~m?JRijQ> zve~=!IZ;u68TN&eEfeCFzw&;S=_AcC(`sy14So7>{CqM>dLo<4roIY50PF!GB)~I` z8{#WM!e@wEwklsg-}frG=%!6AJzI-(VS0`#(KV=_XiHVIZ1B@OIa&Wkm=gAmN(F!&~;93-c-#R*hV%&Ru@YlCb?&x z(lN0+6=NE!Rv?TVQbNVqSk=;4Y^j)l`Fxsd-jBVOc(L<*)1JY#|6Yd zi^gxl5sF`9_R~YiirDjb!fW!49s_4(U-QFqA9QbB46T?P&>9U|Xae-m%~`Xc`^f+o ze)o&|*XxG9rS`9;YiV1fg2ns~mygd>6NLzR>=Mtvi)Guac|n>VcaO~U$(Kdvo5-|J zu%c~}#aNCQRiv+5RAwFmE7^^ZCz2$`zt6c(jT$Yr#%mx!VBX`&T+3yB_onmsLbw2nV=lc^|s=%v5@$iUWK;)pir zbzcW+M#-0eRWq3B55CXY33XcFrilcCu!?;5v4cR+Cdth!SEM#*E@eZ~tEt^YBPs7P z)yTuA9)Dgn^6Ql6u?ZR)#c{3mNDtwsl^A;$UyyRqZ0cnRe25qTpiw_E(OE$=W?nGb z@p+z>;(hl-6rO`HochFuJXb2fQ=@X9bIYbDnS0tK#aaqK{PJj4!oncA8KKs?}Y!T;Kf+8{%vr8Xw zw0r4HD<;#DGpz1kzh~zn=Fm+i_3th&laXuC{D#b0e2RJ;{k-t*OGFQUrRTxW+1g@( z-MT+*#dg)lw?d%ro}T7}NAgh-cRWJB*Dp2G&Hydgzzz)vSi*R^^sedkE^&PsZ4>|d zjLK(5zaFAPWij?6+%SL4%YMH*UH*<|w=rTir*sg<33lIS-ZW<7*qu7%JVm!2@Jjo#3G}$# zDFaeuW};XN(a8c*GaAF>e#QL5sTKQ4ezmUtLDy>;!HLh_aUI`9;q@IRyYm?B&5l>) zM0W(o?RN8PCZBg#5J=gq0#NS8A0$GCb5$UXy@*Gi|LRhHw|?gtYWX_)&-+d6ODB$L z+FM)>1UAqUVOH)ogEs~kUln-sVIXjn$;_TW_~vTA7pL}?HIx`bavHnq>!1K8wTy*T zDLY_u0gbN;833ejR)jADX>{H1L#d0p-v_3G)F+>X(HMfH?seoe%&P+`Vw=VOplHtRqN#rH&7g z#RkGUZr}Mz>wb8G9X{M{oA{Jijf78Tn}7c8@sQlAC@=W3o21-xM#6OX#G1B z>qvoAI+p_k;_<2g{%;m=9-Np9yW@reuPQW(&_P59kJdK=p{rJcjN@7hvz9T1kR2EK zP{58-)MiKJ=U}9RKPE!DsdtIB)ct#Bwne%S3d-vrU$~|fRhKa%ky$J8nooNO3ZDr& zsi%9_|H(HRvpB$q)t`7bLU=z0gEl@dPxya`UY{;^m}t;RZ7AmyAni64`%omiC zg)il#wY_E+kcD7cXHztQM?MbobPYUpe{^!Mox0>%%(?kVJlpqHH$^FDJTgHkM|>&i zyH3Z2$BXRt950a9GF?shcjk>)cWED$^Q7fR<1>qoQtO8~z^tS?u0SR2{ev736#K47%d&9+5Kcch(xtDP-sk$r;p2Pu_fu zA^A|Go-=JpOUQ#M={k#|;M}hJ-M<)jJ1IVW+r>y1_T8J`Dm{Sxur}H$K1M=%pD2y( zEif4a(_PmalB>->y7T^HA0M5=9VMTs0;~P-X!@40aI&Xko@DP0l4if%IiRtrCI04v zjf{T5G?l-P*s+lh$TyMdTlgyThl}Ylh-h$4fo?NEYsqw5jm^Zzj(&>>q*zvPTpag@su{H6FyjRC>N}I~vp3Cyn>Hu+c1x4sYySa}UuZQnq{Xval&xbyyIy^a<2A z2oF@53^Wdx#s#Dc?_{Rg#%na2kPE{n5A@-KWE!UG&&%7m!Js+6qM2}0_3g#_GuCqT zg&!W)>ag)JIZy2_@;j#A7cpwt$IwCgboJ#?Gb)o#T<@oO5)IV43I>l2ys%SaN3q8( z@}lv;Xf1Be0S1RCS}%?7W0sMHjs>0(noc~g0Rq14!@zI0%&k!_ApZ&ZGj z=~mFGcd~#Vin5A$N8X{Z$~4ANuFp{PrC3oAMrQW!i%HrXM)apRV!_`BU;8)qr)cGn zeqgpCOjn8{P`YC-g}mx5%HY;Lv7?^=Ahi+rFsF*bW5l9gm!!Fxoj9DNaB`fazBVW6 zy4TAJ{wKROvH?DITjOrSsFv1nngG09O>)nV~Y+f9xRv;jpX_btf|(+>?h3B zDG$8Wu&m)Q?=CEip8m_k?aRqg zS_&A0*~dZD`u8)oqUXKP%E)ndh>J8X95}Vs5A>N7z-?#0cgVL-L<^tAR7ud3L09c% zdURGz;qH(xbb4y%GOK+)put@dDuJ`%2`@w3Rs#ZnfKBK-lF*w2wEqW)i^^q&2-Hj{G`t(3*#_v7~JE^|MO; z$ra<-66i@EN9u?tmrs;H0wt(1QHW)|nDOqMf3s5!Y=443^_}bcN{hC~yB#|j;5iAS z$t@$;EQQ!*OYW9M6p6Q^ms`TNQsiY{&?VFD9m!Hkssnt&mWO#%s3N?H;u9{$va*bs zX=p)c%c`SIe+G8IgJ7&_rsvCsa&v41kMhakI=j(Q697BbcsR1Rn3U>Y8n+wFiXk{g zOZ7Q$^pX6;*J%v)G6Q$t20|a838jSv$tvWQ6=q!xoQG2jXDu6tEV2U3=&43X$0Iot zoaL}!Jq}AYl#e8kM3%h?lIK{t9J`=)rw=OhkLZZs>wtS^u#>Gh!}cs-A6>Vk^w$~x z#GiIzr3+iXG|XRC(G|P;%%?Oh6m0Nhyq_vP#y?6lyYdm^=jdU&4+z#U3?985Xiq}< zG~OV)Vg0Qqii|#0z5C{tkd1JkrwH;SXp!zr3bZ47Hl=z`D#;)~jbMw|-iFjkA08y# z(J~%amnieQ-^X!4G8eF9vyVE)6|M64(tg+IpMUFBo+B|c)SMxYC!jGWa8hE|wkEL| z-ES;Dl~-(hGhFTrP3m7AlaxEb>m46(;v~<61`^O5=!NgE>bC{|{xp4D4#{cuM6kC# zkh+blBA<`3ucu=lhqt|@3#vbDm*H>nY?yUQLThyBf`mwtY{jn}1 z#_oFi?fP=Oyj7~@rmeQT&flWoDD8zz%c_Y#*w4$$eSQ6|{OSQOImiC{l>e8dS}{XK zwUJt}bW?K2W5AqDu7lJ3LDU>ZbxIXAPsaisR)tlWC0r_|x65#~XD9dqoi1NGS{s*M zeELIp{IhdTu|vJ4xQ`BSMPk);v!B@zdzj7aeB&=L|1GMpH7;7XwmijNvv0aij8D!s zA^E#+kIWP|UpV`aAzjT4m~R(2CCpf#rhNDewHo39YDF?${JyV@SOLC9sqLJT>?}t+ zG6ht5yKabj!wTlTIL&+{!Rz+-=@%Ie9vy3yh7UNPi@MmrCGYYs`rT~ovsma^#$8F4 zC!xuBbIyt*@(X5qZ@W8l-aYs9IVZlg5pj~mxjQ8Kb-k~)S{;&XHz4uqM@PoMaa9n~ z$nt%*7+?SLMNONG;{o*zToc}+1`GC$2zRyIgFZ~U>2_~m|y>&pSS$Y&tJ%$7e|3I+&THtQg5jESdgGpzj=^G!c zXg>;33)3Qlzo(eq1oY=3D;zuG6lM(TmQNxr|wjJ)J*mGP5xqx4+FEoD&FX&NdH#~T{JpA24Tjk&! z@%2uVwz2DZ;Z}JPh*sPzREO_?b(QkRnMq)uT#?niJ0uc36jQ^p{6XTCs8=Z_gsa+~ z59sS@Y&TzqYX|HM)gxTTAL6l{*>BJM1WxL6i0c1gD-lX`g?zWG_J2FcJqw+FZY(;H7WGROZdl* zxJKeZs~@Y%2~zhG?Vs%3+ITd2F1Gby%-smc2vTj3^g|1E2(`mpgJ#AbGbZy?X-^1f z?o2-y(5Dg!FKVx-o2pNm=Kdq{N*5UQg3(bK%(iq1v z6Ueq3d7iZb;{=}BKEEwWvHLDF+uUTjoXKh5L4EwBM|ukTmQ+w65i9uNk=zoC!X~VL z7q2+fSH=zT>PERe$N9t39wPmOyq+-^qR>nMDO(mkoa++*QQx*{lGq_eLF1Q)elPQ2 zJ(H{}#+p?@^?1XfbH_NyB;#`% z@EE)u9U}=lz6dWg;U7^27dR#a5WJN5X6>uud)Ao$9V_(MTtpvme6eO(MM$=wj@vE% zA~0MEL5!R6{p#f<+(|6f7|eHwW*>vQ0&W+FYkCvz{3Ct&Qj(K=XWlPULG<+7*&dt! z^X-aNj+J8zdUTgtn}cMc}`^CE>rFyq@S*9CQh^F=+<&dbEJif1BHih zRU9hI9yV=cFb5td{PWa(^1BUDdgfy0<^PD$cX3W(1^R-+?;bQwFPIq@Du#1%2HYo3 zons^j*1(LCFfUs-?BqJRbF*o!FFigB?a5fHZCY4@63+LKn=Ypx>x?mbooZ~+EZ8mw z_rV6WvavNjZ;wKN_tIp6x6(Oo!>xssNaYT>yLAg?vwWTOG37goy+2sc-=}J0W(e_+ zb^-=eAk3142JE~L6XqG;*#T1tzRDfPYJ{dNJX)t#!!>uyhzej6Gbap+`HGg!1EH>LsEad_4pc+Cp&Mh{4h?(%Lu-2tTk&2x^g|DL|#<{ z6y9%0hHwlC3jO*1FnI*ddv{-w1g4R`zGgvwtKwsq|C z)(Eg~r2_swLy9Wf1(KiKD9#4)x<#*_50NJ`o8jfM(#&yc*vh-WrVs`vCDjP+j zYWTe37NU%amS@F-*X@n0of&R~DnR4cW4UD$sHs(%h$(f->^X?4KGz2OR zz~1K-h5Y=Ybb2iwfwqR%5`nXd0RAz6^a> zYWwn(bwBdvZ)N1AelN1Ab)bFY<~I{8R;*n`))K_X{Sb4#Kz8t#N1l3yJa&Nc({ppD zWb&#=@&#=!Wh`l9Zt9=^IQ2Z@fTtO@t+{8tJ89b^NH?0L!d8t;9Ow$Sqq7&m%^|IW zA@*{Ixj2VlfAgUE85}e>-m6c7coZh&aHa-Rp2)@`+Qy3s2Qixuv}coe4w#r27VIb2 z5z8pDtizre-db)4>~xwb(><51A({8Xm)J7@x>q97M@51Dp4Bhk);}$u4jzIqB`xo*T$pIE{Lk7I^B_Yn`(lJn*L00jZ`_H#1L_*Hx)d-9 zQ&n9HU(rn=z0CR^u}l3^Z&-znrM-1}>q(V|V|Yt7GbGTgP8Id-Dmidpx?PIOBf4`f zeq+_4>WX|J8aE?tUh9|N;5;=}UG_pmL!*kifLnUUt6&^Z77;qQ^`CwBTAHP7XdgoP z>srTAE88HUG|iC`{*f$hGjov6`lBiDyeB;ooPRdD+lTR;wwPT0-D~(i6i}Zs*1ptQ z@9K{<$coYL%LJGoBU9p|X=~vy7GB<4ra#w3nFIYacO{slDXdA+vvnv#EoG9S8{Rmb zb98lkzfIQuPUwD0Xy?l<3$W50r8s5d*nj3z4I%b6e;$kf95dKk%CknaUz!xvp)&M$ z*FVbgnvn{$@>JHy)`eJ+IpIL`5x(>j^Rv0{n%^&R4XD~s)!9mWOg$P1l+hQ(x#X$j8rQg6V(Qq`;F;mhtN<@o}2o0gj=x6*WL`ulo?s)y~Q7qw-| zW@bi{qo(5efFB}R2DZ}(`}x1RH>q81TAbpf0MjoUS)?70Me;^oXHXx1D3iQsi3RzJ z3r?`8F|#t#A9(n)Ag)|nKMrg3&o-+8@(&ZqI2zQ$u6|Tir&#{^-X75gn186gd2!}S zse=sN7>Id=^$DMInAt-0jn#XGMSLp@e0&rosq@#kG?z!A4UwD~4r2|3&zY5BAC1$GIoZ!GZS1W?t`d(2`!_}S3pj+hAG!K& zb8T}~S$x}EZ*btg47>lfJTW+`UnpG>0*a4YT>~_5S?+f#2=A-L7lfb-P{HZO`j^KAw-q{c*~nVdQysvh>NF z+8_Xh3L|z5d%d?RiUC>?x9YNAw~@8?G=*(FhOU5n9~6Syj$Zo=#Jjh7bemsmhI5;Y z_B{n|lbRL2%7g*RU?eWV1a3v{*IT0V=et>{kF~~>CygL7)IYuh!`9GF|Fk!Lpp#iu zqtT6iScgagl}o){Y<+bFuW z>N!J_@Y&4yJxZhO9i$}(IRO~nber@#QzT+Z;@=5O?VHM{{@fIy(M0mQ;(1`l6bcCc zND@1f)uUe$tQMi^Z-aaU^7&I5WyKACJi`S%snTsH8+hQ)?!wo=d~N*)+GBF7X%j#~ zUxUL0Si3vaK+^kK=bMO6o|_u8Q6$Q*3+;Ne3)YONszZr2#EwAK~Z(}6Rq8~$MsKEq@-+V{CvH{%mEE^A2$M`@?VSImK zd%_Vot@n;<&wh`z2Q$ELm_9f){%k8&wU(%_OjE$AQ;kjz)+%&w)YbgaXAt^Ws9BhI z`BBQxv)wrksnaYUa6A3uM9{=3%3X2jC*=(jFp>0jAXzrTP!Ke7nK~6<3Mb6H=r1AF zONEnDn-LyLDufMx*EDK(6(=DTf5g)$gTyQVvHy%J{5TF13;no@OYYHvL58^i}$oa!sV6V9>CZ?|rXM`1k{~SFhbK7v*e?iU-^DWYi1P_jS4Le;qXg zyd;U1=ofL%WnZ8^jv9K2`rK>_9n_VNBtY+xInkB_)iucF&M_gWKQ|42X47Ysg%Nnyf%g{>zF;BR(c0coFV zOkv8a;psV@>H`JYtZ}Pmrc+n>2`<#D=95Nt?B1i3+F0B5;2e~}66_T(&b@+zI zc>!(m(`$ps%7iKOp$1urRL)I?D4=S)5F7k?s>$H2`9BmU?bfhzPWAX-uKZ~rLJB)v zVjrcCEaqrcvm$N3U|#ovD6SZYlId83YEV+UG#kDfw+UYSoRn#jtoLdwkSquxp9gofW{_g+ zSH)foQD-}nH|xfx)p71#It!egCbPs7a&ZceBvzq~Ac+TE&*iQcA8!GkVSESqi z$;n^Hs0s9Qrp2<&zZ;5WG8Qe|9E3uARzlW!$ZHT(q{xbo;(m*4im^YJZ(=@wr8UL4 zoP5KXD;|9+72lKwvqp%dF80N_Eu+XJP7_RA`Sh2p3iZ0dBI~AWp&SAcRq>VH?F-^z215O5%f!0QQ$$ zwUqFo<36bEmOaLy*H-%nvtNLr00mq)#7-|JtNosUdnJN8-II-!zm!F@LUFF{D__Ne zRF5;fIn{S4)!qIicmGKXPV*t1%2@*a)ABfY;1IE;9C&J(N<%1))p5OmJbTh{B}v@6 zXu~Inhi^WazyoCuhUeoqBfD~TcOa%KFHJgDgi_M$>N$(92j+tqLap}@H?W6opQ>_N zbxS6{gAb3DVt@)63>gOux;}8~SX=hAS38CbxstWqY)#(3S#6F;3p>b4Fw;_q#y(>>fO!2FCbN6 z6B`6Y$Ru0x09ytrYcZE-hOm*gfXZ}N!fnM$7&lF*gbuf)mtp~`cquI4Jf05yB^Jwk z?L8ENzc55GJkmPy=)@n{)cWELADM$*hJH)Z@0XG6z6c7gP83)d;G;Pc>o(Mn%S8w+ z@5sHu9s42M*Y9OHK>aY!nuL@wLH^GK(1PE1DFAl_ zbA==Q(7iL1;aleZJmN?d&jv}njfTho4no13?VTWO-ve}oE4pXFWQxAUjWIYs9l{}F zMYhL4&O_QjK53rkU4d>^GKzGW$ups*Gd62yMp%D?K10V`&QFgxLioXdCc~h=@2@Eg z-}pqV*v^hWTe<6==4uwXFVrs{NBdB!V0ml5NkNxV-G_cv5m$b_>Y#%_k53w+BT38R zr;AAuZMKLgs+`^X<%y=TrspS7Pp=`qrcNv4UBND=8?}-B9E4JQMS|Q_@)^vSva^Gy zO+DFj8&&qju6}=8@UW4wNC+dg%B?K%OxI#-B?8arE;22!T4!2vgj#hHnF*2$3wj?% zB8xRYm9Al)xQTQ^s~NsL@uFkXAfnsri(zfpIG<_$QUrUZz^4ygCC{L{LPZ07HhYdG znsb{z?GxGw0R2eCX#EqY4-Te0uWfHY&dC9Q?DWo-PZ>=il->SLR$LdyyxiE(;HF%; z;NP&qAKHhlErQ5TybBy*>AOyOU8PRsFQ8|syPoDC1G)&me~6C!fEkD{9g+V95lj@|ub;PaoZ z73F?(V##0kL~9EE0%N)$_#23-7lDx4G}Q~e=%Z3XAL?^*e0l@%CrwecfGX(g?f%|A zJILpV3sM03WR5W5JSjJmVSv&8)}I7XIMSMr<=m}Xy}v5KO3oW49`od3oo(zPgq=aI zBxpL+{9z?OSZk798_#BO?aG;TH{Z}FCg4c$e1kA^%Z7avsfbaV7ikWXVrcK!?a64g z=Y~%}wqX&k(8t4!6N2uI#eFl_{diD0O)+{CxBg%^I#W-LKObn)U6_|V3Fnt#hOH$c zO110Jh~{VO0TPI#ub{42(pkNM*o~9Yu2YCVU}oDJT*;lk*Y(DTyu=~>?uVOn=X%Vr z^d9qgKwdjO8yhU%BIu8ZSE8AJtdFn6{nqACkeE5nw>A^qbMslM_qw#?ShpM%{{xeD zr1@~o3tGf@3>%b)+}$hx6mV1h{SCZ*yq}2}v;L7oz{X92Ca^33*gjXp`qj>P=>hhW z4IlTU9J4MrI&v?0GZT_-l4k6F>t!Uzawlf0{~vMR`Ri*@wLWoI$s-9y(2M`n!qIhqvaFs4+LPj~F46O1B-{SepKsDWA)WqAu^7*ImA`MVQ4RUT=|tvWA# zHy921v;-G6^b61&Q&4ObHgq0}l-v=_;a2xS39Y(R9}O`VTjc9_7AbB}f$j&UIMN+n z&T7M8=UqGfqq#eyg7XRS+F;eG8OcYe970!g31woS>)2x8>-bl6T9!73`d0Yl=-1uE zU|5INq2|2t#ns4D4A5xEEcD;LA@e=uc5jv*R=LA`iSg*K;K`-9g7Y-SSMY_%kPXtl z2G(Jgpx+*LkHM*x&`NLU)vqe zpkt#dKBAbGr8Hyf$pt&uVa>yUi4Th^HqIh7^}z`Z+y1^ z41Y_gt9B!NfE8gROxe{XyjroR%ZGNm4XhMUBgUr>Zsfw>FO$Yc)BYOqP7sBFvq3Lh z4MxM`F@8cnCR;`nAv_T#ry)+s8y4U*RW%E_0U*hnj`XVXDM!N8B6!yz3?T4ojN7nvWUP&+B9gG%t(S0*o98@{{a>zCtO za>kfttG0n%0BUNF%)xF#YEQL%4vASlJeO+TAg(2P%q@FZEkP`%T0LI30DxWzIk# zUui303qUfXKBn!b$x_Hm2D4XUnlhZtU`??CAV=%5j4zu`v4dRR^dr=@BOFe>?(W_T z0ev^j628z@f04S4I?V9fwJqN;$wHn?cR8>;124-?p(Iu4rI4~FFAhMRkwZ5U@vfvFnq}@;P?7) z@TR`4R_ab=c&D*`kF`{|%GLM?u-2*Aab)AhLW?x|nOWhl*S)uo8SnmWa^K*JB?Qof z_p<%Sw|l67YwaL-MNUp}%lH{0qk3;9d)kf=w9ad`S@%`X3*YSpLpN8#dPdat%F z-0F}GlgfalpuqfMy3QTWANUIX4m_eG@43&*Eqjgnt#_p#?AFZRC)h0jzIkXR`ZZDh zsPqh@U;1OOxJ1-}n%nHX(2xnGnhdY7CI_D^!?9>@%0QA+GGwc^5x`A;ict}6y@p6kjhvJ| zzhhT8ey88dJoS3bmN-eXlOnP2hy;!xEDdtm>Y) zUuLgiyH^6CIpOd|fK&{1U_*}~crvHtKFxmnip9LcADJC=$Fw zoY@j=pyl-P=Kpr>8@_MQ*g1ZJ-LSABxcbm_xepbWPPqVOj-99UzcJ)F%y<*nPb8M< z(lvNudI5`WsRs34j-J=3jEdtG#oJpzcEl-PzQJCsx@$+q!XP31-n^u!t z-sH<9vgC5PYiG~z{eHZjVKkjI#(G3~0-*NJkJbZ5(oJvF*W4cF{$*3bYYV%?T)gq5 z=b&NIe?krF7(1xGH%=hQtP}8WuW!Qj4>QbskxS2X!by0I-%Zf3 z^AiaWC|(=UX1wKJx`$i>F*vdWclQP`XjhTXrSVyN?H$lV!FBO9-+k91))>?hBI7P{ zdO~wgB0xZG*V9fDN_=t+;yq$259qJmJe~hXwas9W;wyRVUA47*P2Q7Tsb-w=o&>-S zkNctC9f2JC)w}<*p%1t>Ak#mx$TZvrS<7qe5SvYPVkeb+Ggb*W2-9u|LhUXNpL6ZLv134@B;RRXW_i!JG6C5|*^L*qt8@D-3~3 zXlv%a<}i-?tziWk*0Z~u1&Q<8AzA{<_{-qNnrNQMrUCYH$&#UAI;f_9%E#YgNWV`Fm(S#*B$VQ?ghx%q9({3yc%5dV1m-Dup3B3rMQH1%bJnA%+^!8eNsRy{rT z=fjY-2BfOC$cACVFfbPYt-N@Xut@GCz8WwRJUX<@P;>3Gm*H+~Vs;Y;*E`#k#yu!d z!R;A^OatW9m3%5RV`0V%Md#?BR->zI%FiSPGGYCDel z>KT00fwYWLTY-p^ZNR1jjMyEdZ zjB^OQmsI;mq((5YNzox`ik4rMVB1q@%9(TPdL2wFdf|Zlb=NTzU~*8r_KA+y-f>&F z<=VH$)0R}<{oJAlN{lO%JoxP6n!_Qt9dLzT+LB@ zEh2Avn#+b#)sHuR@U*8Z>FyQCS;;>fR)iycddv9uV!p^R0!m4LS)#31sUof1#_RwI zvMfeG719nOJve`tcI3A0s|{5|Dsz~m;2&Ztg-xy_GE(KV@U?!Mf92}QiHC9`X@kOn zA>eV|CEt)Ufuy*SsOI4;)#-+Wb$c=w_@#Ev@u%sr$CsMjSiw7=^bo!^e9^7f5p*H< z3wUVS;F&-rq$(%jCH@4)=1i+QA}DoGB=CQe`hNe+Riq3?hM8I9X$-V8cw;z9xBR26?*HIHYsuwdN80(SEI|tsC3^Q z9qC_T0C3P+pLVsyY)UxgS~L9*fW8BBVoaIX_3bk}Y!m!hFcttEl|DtKP@z>n&EiXm z>h191b>g>{XQC}*J8^1)NDZY7oTRkCS9ud_1&UnpN!Va8bZRZM>YJ1FI4dD|4{)$unSM4p)M?? zpzYf7KkcSpAXy;jnf_m%S`i}GqmUp3d=oX1aQj>(5vhQb`j|L~;6WlZ9iR9UP6WlM z_<9h+_!}v&elG=_fm$N6(#$mQD%d!6JR_C)05OoBEA=>$x2cR+;R##ra8sEF-xyET%b#9q>*Cg96*#)0XO+0jk+_{<0(<8FbjlJs+=nR2DbeIJ`I~K zPQDB-l_1{(|L68Ve#VkTe#cL8%AG7VU*%?>vOP^R1DA@C`M|a=h!4f$l1q?#0~OG~ zC%w<8O%#vGx95vJn*+k1Y)b@%z3{vsL0!vREd;jaEID*rZOR`k6?X$SY1+?Hzh19- zVBfHKgPxh^nT2mzz7jj*^UbqFw1xjhlTIRLj8-R;8=MQ;bSN8&Iz_Vp7x2>Vf|GLE z_q_HPcWDpGWNgXaQ=sCWe=?0;M|@8*Qe>3`?Y#d%DSHDfpVqPMVgM2SYp6@;d0fKR zt7JC}Dr-hP=-Zp1k6{SeesP1x7<5CbnKsTn$<^NL-q<_)wK?Oviy#?*13@BycW zu?>1=B%DvSNG&3cwiAJw!PK#J=GDK%OAADWq^h+u(0ho#SaIGZ7?82w{SoTAY$F7{ zs#A~^ldx{nvc^G;V^g=p8&U0`6cH0!9qfR`m?;-0Ge{16QQIgc*oOGjZFP>_A~P55 zlRF%QIe2U?eS=N5&Lh!tCqJ(_f6%&H9DQu%%ZfdTdiisIj~lHufnT|j_$w1>TS|(J zxjwVD{UJ8=)^5K*O=;gBHm`U|+IPMC6?Y5lCg)^uz7)w?MVV(tRGl(-jZaHx_G;zr z7A6E~*Sr79baBSmysCXL(e!HLc+AWaR$eqP08Hgv!x`36rb~Q7a_d^pw0a??(>&GR zFfie)u-z;N?}>~G@^fsQ8s0Y5^DN#r&GR~6JVN{#^2jPyX`IVp5!`q-- z$_xB;sq%u(heW}ych!5IQt6*;!E*0Y>yPF5WgOo6c(~Ifa179?$_FC@oIq5vQAcAv zZ`AX_L6E(n&9W@v0fJM*7JiH9?RRt01YxjFM812C zX`0w!@dt8(lgylADNnFd@dEO)R|Adv!SXMNvu%^p-aS?KGUNo?lLXZ&Y+|ox4Qw@5 zk!K#SKl5UbRpOhWaJphXRdL01oawVF;#$bAXPKxja>RO$;!$wCxhmrMDmKP`A>uyR zf!84O%_=yAXl+?QzKY2Rln-5A6GI2so(Yx_Z1pG-)mukV! z&hdA@M*MZT`56v8y^fTE-JXoMDhVhcHGEbMn}=_YGIwM;g_gck);t}KD=i)P>Wp`I zn2*nw3oyFEw2_1T9cTnlqc(e8n3mDym#%f1alYb4URL*b2ah?vDd@yHOP5Xr*~{sM zh?n~b$Y5>wg9hA%MZz2YaIS}C7!~U@&e6Gp$X!IBFM^Qj9nI1hzwWJ2rlCWuItI-5 zk?;Rj2a5lI;uooLq8B~a#!;b(E=lCpz@02rJ})umDVFyRh6UD6bZMaS0JiJbPv9yg zL$-Ji%{psSoiw9$hOgY;q;$*zw_(i~^9+Z}U5l^)1YI%ZP|Uc-Yd=xz1x`HnnrubR z&(sPIni{6vfehrNG9^ld$r``q)bN6-%vKQbJS_&(Zl&QOku_ma+@WX+?&rs<=vkt%cda4fYH-O=mIcRt>?J3qPsRZI={+01tW`bK3D(2X? zoAhAc5oc^OLBIIDJqO02whuUwnV8rxqpd~#6l;VqYQ@A9}Y!^l>tjT~|h&JQX@l^Px%=^Tb zi4t*<%iN>KB}R%c+g)l=Gy-4Ly4{59t9O9Z`HHAm_iH(a2@d$ zQX6Jg_g5eE#1A%;>PYLAmv*fCEH$?UW0C>SYZ!WrS4Z>KtlV-3M)DX%2DY)=V{1C% zyUURu&I%}{`yZIChq%IRJ;^xtzUcC8IFW?nVM(mzRY}kP%6w^r(-Vc>h6dvO7H>sC z#bRmtSC#fydM80@+Htj})O zF;TX{nD_Im#ui?UX?8`ZVH4ujJe6WGasIu3KwE;1thbOd1?b@=M2s^x2zi9MqC7~l zW*-Z6caj9B$Nj>fm3=MZl(oFwn%7+=pYeK04dp?%dMul8^}Gk1u7%rN-6fPf0dJWu z_8~&^TQ2H(EyYK(T|Z!}#bAAYOzD&C>btVOE}b-3wR5xOz}NBpa1Ce+o8zz04U|}xafYQMN`XTUkRa#WbrrOl{0WPMwT=W3ye-Y zIZ3%*!)KH|?fi4__UkJ7BDsB2$Mo2TYWnJ>?hDl3p0u8+%S)A;1$+?#cQvb+#=0rj znS<->n1SE7UYV4p2;5^G%quK`MlQtn;DM-<_{R`TvdK*ZMVRf=@fR|AE7^BACI1X| z#CY(}nG)^+oSVs2gx&S1_aHReALzdhDl;jKNI6Z@11m_7tFU4IaQ@4-eC)^jc@ok!yimK1-XKxa+-`^d{Jk_*g1tX!6|xf@cm7Ev7z*PB?ePM z@XyCvm8AG(gPt!W6&=0l)p3@PJ6X;1HH|X7hkYK%m+e-2GZ;Ie?`e@7e7*%`yc8tx5 zBd&f2&q|csQ=5LyT{eR0o)e3uHS7v8VC{_v3~au#@#H%Ysh zI?UZ?{wE-A!%>+@Z*@d_2O4H!9@%)<748t(@9IG(^M${R0&GUk$WsZ;_pm%9R*sxC zFKL^fk$7=UUM}b7@56*#?RwP`l>+5EyN}tVBHSV|9%H_2Pt+T}Za+d=Hwx})@vuI+ z{a6Rr6%Odx^KP$ui5?wfHW9Y(qcf=e!A9K1?S>VR6H7ViwKDdBNRSb+_kS8ntoiiG zgdi*9Y0B?DGa{1ING~DKCmzTyp)durybH4@H2A`@&PM?tz5PWv{vPR7d$Mh+;EAJW zUmrM8&Nnut%XcqYy1N@sB&3l@hLcA;vbg>rUu4 zy|R$lHrzX;LY|crchQa+{L%vDl8m-ZtpvE#aas?9G<-D|w6`BxjcEy+aX@`^76sRa zk}ruS%9_c)dS28dz%pwJ+UCsD7p}{pJ|$MSQyVX-75d( zR!v8Jt2@&Amu?gHCodm=?v#(iS7y`5UP?&Ln>E88@kDLkp9OA}E#CqLB9-Su-enAn zs6WVI${zBvEJA9m2~{O;2A9$7|M9ZlqT0uc*;aP6n!&znr2Wx);}lLfacV-hcY3US zBP1O^m#Uc@q6p4#Iyiqqei?mq$|pIIU0jEE?3MCT%M?l9TVCHarWQP?GsdS|nYUt^ z@pOr7GVD^p_zOAboJ=j9N*-Z}>x!t!bsJoKb*Nd#nr*bMY3_cj-c9_YR@IEMo~$w( zw|Yl(X6y%H&@=wWZ&%jb7BTzg(F>eRG##FbX9$hd=dnd?mvk_0(#eeWa8sKNYi6xu zYv7y^s&sHoS=ZY$I~G+7TPv0Nm-oK=kJy`bv%tZE8bd#BsSQ2+rf)66_3lX2Keuwn zZABqe#fRyC$r2rl)7l%eB7LLDx@zzPA4yEUcO!YTuq8>2ne};&#*PtK!QO&+334lz z>uLU(NnS=x0*+IVD+9Nz5bAgT=Ftr|MrQ8@sMJmDk{5mkLz=4rEVuYrvn-D)MC-Qv zCQcQ5E@ur-G!)xSWY6;*1|*7+oGYo{=Sw z0^gHXk`7j|-Cs5# zO}vnr(!t{zLkQmXs{%tG1DD^%_u6f8*8L3)m(IE87xVn_skY>nc@3Sz6XO1E6En>` zhI1M^z1`|d%8-fF`WnZUp1tGkfxZRQF66I-i3s(f6Uu^(;_X-9$#3H~M{YG8V8%vd zxpK!V-o<#XPH@Y|BuNvvi-*2PU9OMz}3n+2^jnY8HFv%C*|Wcs>IbF*F+ z3@!4GT~YEnKh~(oz24ZEWo+wV(;M6yoE!C*F{XeRD(t6@YHeLaSa7MMpVb~2Tr$tu zt&>OJGh=1V0yhPjvDV$bd?_bLQxT`bHBfx1`0c{IEc*>K^XvE*0jgPOX!ebFJ_(^m z_A7d!0x5$NpA9b?X85$2x4&MS{uNK%w|HV^TUE1vUs@{Xfm%EU{n9*B%&OwZlJ%t@ zSTo-JRCCFeeTkdv`M_iSerrY3X=>~2%!xMT-Wx!{9_3{LCAk%=+HH2>3D)hKLxU38206MHyFb}W zmn$uu1KXd)32Xn+D`^TJI6ZmkAYx}_d8939XL&?zaY^exxg4w6aNuL*W$D#o?e%tD zFaTRXTdK2jA)|sGQ_Q^Me`!VC8*@pAimW#g626oCk;e!r^cin7Fuv_QnjN+^liH`} z*x7vB&Mnyt1kLp#zKYhKc{T6u9WNWi>ov=)gLwbpgG>N_0yk%1Y4OU*4URo8djxzj zN9}l?gtluf_tVM-;vj$b%^RT?c*!EzxQqCnG^Pi_DvfE02(OuAd#A)lR&lMVc<+Q_ zJMvXb+zql1+ifkJunxA&jv8o$L0Q?Sv9&^hvd92VLNPl;X`iYk5(xb5sf?G$)`|o+ z0dQ8WH4i2B_Rj5}2;>L5NSdwk1->)mj>zDYu_xL+sp!qa2O}q}69nf=?tcDpo zOZz?=qFK$o`mcKHoxb)IU!ZVcB$&ht(LoY<8-aIjmEzTz;+#>ey09I*DHfftcQoP;dAAqPVm$+p~0=|Bnc+Q*S8I#h`9b{Lk3 z*H2i#!HySsO8Nn8`Ioe_Zqt<6PysKC?>hM7s1kkwlDw-tRc}s1C&+*IdHFnKGX6d8 zdHl5e2YNf_i5bR|QmATQn>M|f&8UhdNi!>96kZfP;g5jM>ITK+Rb&&cddkX-Ib-NL zM0sq69wU{EQPtT|R2sNL$5LojpV<*tJn?ZD1rJypdI>FMQ!tJHfLSvDn1EA;69b5u zkWHxSb)zE)I_ls5QLsq?7A(#I{r5Y&(?I?9fMqf&OGmt!&w`!C1OUi|>}Xl3Zo0)$ z2#)3j+UTl7dJDsB5j{RlQOhYlio?ZZZiU)b>#`cru)2HJKm{LEY!zWN#bsStxI{) zE`b@m5H;Q9J5{Z0-!4XrZOaj3uPw(}v?Owxl;X=0nD5>UWyRUtBANQLea~tP5pd}_ zzoT1-6^z1w@|Ii&SibOg^TO-xQ4-9z*!Cc{jqI;wZta$6FKe?8wMRsq8G#A)i?|D( zc;c8|m-ANE3ZlTxUVK6Un)tUG)eMBKuzKLqT+ENOuNNrMX_V+|B~8ay+BPZp%E~>1ZfaXbQn1hO+XbZCD$|!Sl|oLr@(mc2yX$R%Z+t27Q3 z%Wr$I>@hyAgs;_rwt-rOs|{E!x4y8O_SNYv#4tph+&g^fx60g$BaDNaJw8u=JF)W3 z%me*R2^dztSTW&KzLbd1M*TJjlUcd+w~gRv$h*{hF08Q-fFm3}P-|5sRvYuJeG;NP zp}jSSzvYwbBNd;cFqM!Yyi1Vc+M>X2BSzv}zoZ5EIL1H~f(E$@wK^bju^ZxnqR9P( zER{+l*YIY;rt{P5jt~=Y)TyO?_Yjc*s`1P@{E!B;9Mme*YJr$?>w0yg%Ac1J^JC;= zV6Yt5n(Jm-k@1&rW@DGYaw_M2?2;6a0!}r^{RcKtH9thx#7i&xO0J3D8BNO38e?PU zr54V6)STN};7NdVpaI}yYk!0wf__p7!i`+#Y;99$k$VvwoE$8V)O@i404sC0nmEzy z!R0T40mvW@Dh2O>VBBb`VArkbZ!@H$zE+SEZh3ksC5H~~8&+&ryGC(v0cVnIxS^`# zi9B&Bg?HNS|7sgMNLvTC4`(Tg(*kcAK@DPWH89>p)P4snUNnpfp?L(>_EH;T;X@-x z2)A5l{qU|KrEl1wi7TU7S&c4Y($BW4!O(U-b*W5=Bd5wCz^ijj&x;(Fsr&1o3jpD{1-h&5q8k?Vs zs9lR#?}oV>wE*oVg7#7M3j{z9<%%a_)9JyKAlr)Dn+vPBBn9!jnewm8->O@mW8?b3 zwKTxN6`xx1aDBehde`8KV{op?s_^iJtiLbHvREeFPNOYD6>CU&_q+_fn7i%ep5U9E zLmNT`Yn7sRU*#(O^0$i^cR|N&U1r5D8g;; zMx9{DBOQ2?BYB2l&Imm=_?J8!n%*|WPMZc1vniUb+J;Ts8l-Wj()V!XC0gj>QiFqx z!c@<9mHq9Ppmsq0HN@YK8D@!X%zu#n2>^rIb#IUOblQ%V1q&**kb*&PGayeb>DZ$Xv zyQIGC6O>la%AeNyF30z;8=);$QHE>p+(QPsns9k_^8KIRz|B^kj$UiG@|l6LxQ^0u z3f9l`OV#E7yHT-smeAz+ke{*P++fV`dep0+vqyV|8K=b9KR*f0C4MhCUShlBmf?go zt^I;h-U^z0o&7l+dmvxLs2PjMl zT{B)C66XeMqO9+RSlcVew3--ATg<9E$Q}+LN-^W=Ro+ZRsTro0prVRGR79v-&1Q zron#JmFe$}Gw|r=g9d!%YRf7@8B;@Q*1@E_gZ_2Cm@Qf2OZ9@Xm(@zO+_1H`#s`qw_Dap)Vkjc#eRABn76%HjO+*=U@x?GNDLG#iS#ehCOnom zRHyS3rtLJsI4eXMqS6GBSN;8tgCS;^1;P1MzP@vF6Esx=JGOH8r=`ln=f{}e#8gR@ z%{F~0c643R{R8ybm@52%aOp?Zdau~%%+?J>t7(bS(Ov-NomQO94)e*VRkNPtlh^u# z{c|zT#ebT}W_VbrS=YYLlg@3x$3J*?I?L#E;CD>jno?EF&9D8BXS}v<49c57_*E4! zs2^3I>CRm2AANI{l6a8qT;lYs&8=22dnr2ZEjvg;ozY(LvKkdE*xXm@E@7ne1V?dK zf&EDhQ41@2-5)1F{CDwWE)pWyGr8$d`7!P}i->;X>Ug$N)Wcpx>L7t7+D zf~=Cq_rbrrqVR4Loz;(W@>XA~i+nZ=^U?}HD+Z)naLG~4E+f=rsW9^p97z3CvfkD( z#aT>aJA}3whuGsQq4-Ob9Qg#C96w)rmga;3*t1XBux|JEH(a8Thqjh&FiI{DZw@6+ zbQYXm_#>8(r7UiGTg_ikPH{e_FeV)-ys!A)CFjEihxopslW|7uiZ<~=U`j8#@ZVDP zt#4Z=eJ<^tVV`+>%>?;CHa33W-Cn$;F#~?FiMI1DHl_6MN27kF@qn9F#p)LxYATEf zKOKy{^*i1QzDpiI)yAH)f5Ij%I0<|k4ro|wu>Os+9gcHT!v6!ddad2&8TKB-6c557Lg4*G%;3DOz~%om^X~>6`N+F5uFi#TjBxFYE{{SX0lP zm5A!SgGsdY&6FO}y-6?2QiX#xc%>3P4Iul2q=BWUrEWfkaqtX}xd(0u%)TB<8Uva0 znB5bQx;WB~49(*ol!`irv^(pZS8bJAtcveREmp@1V~51auds3F@VVHyv#z7(D`bH) z?4;(Q*JC7)2`qU1y+a}$1MS6liifNihyG_9V;avxT0sQiRx8AM+O&#m;gBV7v%_Qm z#=GiNQwv$vubB*LO5n|VY1Q0ZB7&~MIN&>P4q1GLtil|s($b|T=C+EcsYyTX2lBCn zuWSaj>1p)5zrsGNT<`ttPeb$gUP|%(X2WPwNY_3<DDL>0^S)ar_!(cj-K6l03 z=7e`>%NSgQp$IslaX*(myHw-)Hg#*`(#$a^+EenjE@Z|c5Q+(=qy%%96%~~g*#`2K zwdUZjV>X8J+Fs!=lodGy%3uyn3?#^Lzk}59ciyQUE1BtRc}5@dhlg(hDm>hSHBk>< z=AI;nMlx_Q#uc5@bGI(_B+&Dn(ouc8O|!5N=J;u2Zswf!*pd!zPFCLp{UiD~>{vS} z-e526KSQv~=t*d{^uu|c+^o>NtSh#fLw6Gc2hL2qxjymzCIX4IdH%+j6kI!>;<4CM zex+1d)nkAm3^UooPeV3EeEc zO{$OdPvrwt8}|Wcq+1dtRc~(cDDw(YL?rC>Z>7{Lz9^}EdF=wyzE@>GM-etIq8_v! zR?>CDk)*wC(yr;+dw-#-%T@PzovzhGpLlSK!@(V}qKlfQs8`VS_HT2C#ltS%Kun3p zx$W`HP=q^m#PX=2zl45e{Bzq-WIA*GBvIs3_}s2hZ6mm*SpDGg5M<-0*v^MdU+YHO z3Hsd^d|fOE{(2T!onMNFyy z-{DK}C|g-;?A`ZAT6O(BIeyr?FFcain^=2(s_L!Bo`E_aVF!}o0s^lU7VPgOMNVV~ z7?i;+f&9ts>Cv6+HL3b}R-%7u9N_K2{$Nb=7{jo1aR#ZJwfVvkuq*b5OW>B+1?y{$(G_fR;|OT*>y2z9`fVqsjvr#`c#;+ z&ffN2+7--8L)8+O&9i$2j=gp}Id!Wi@xl&88O<~I;Kl;pY&kk^APKYxEseg4BnaC7H;y>G{e?J;4(vxpp?Mk(aeF&XpTy0@)^ zRLPmM6ns&{_$h;Y*I@LVih~6!28>Z&Xe$P&CDrUM z%%5)ai$PYze-C`&wbqvWcYci8Sak;q*4b72`BHf#(1#`s~1G3)Hk9qjKipurhC3HA&Uy>xF+GMth2 zgZ&_&ay8Kd!&YS}mV!z0JVnM8mj>6B<@M%tk$$dr?SNrxQ@@)gdH}Kwhid5U#}Z)S zg8bj6fBPF3`qvYJY{>$cMpa1B{{=S9wsm#qY76tqAQ6bq+)gCyK3XjZtDkRuhdxR_ zX?F7b*m)@2&L-BrSB(5Kwfy?edCB>AV|hCRL#enMKj#gf43;_5BvT~0p*e{&?adx8 zq2l0XaQ~z(K=t8;ho{1^Hei%x@_$=;L#rRjE+cZwRU+sA+l772u&u2wX_%>Fh)#xj zi8l}bsI2X(%-(yKiuL2kvDV+@E+Y26BRlmw{Z5r_GU4J zb$&APgXfhZy2q+HNI@A=!Vc$Xy^1I;th+X$X1XTvG|IDeGt>4QR}iclXr_#Rg7uOh z2VqMVOg2&adnol6!Ln=+xYs(YY1N{-5EHN+Q@}eN{af=);7Kr?hXw)1i;xvAMX-Vd z`=N$QQ0

3ceFi4njJ9gdGV)bB~!(iJjTEjM7;VKh>y@tm1Ibz{3|~DD%`Iv6h@% z`}rl){Eg5usKknV9x14s#JsgEpj_N-5^P1zv1G>?9sNs!y}_BdEoYyfex0yxP4>dP zt1|pah0Iay&$P_DLfGzAkr4xFE3$OVjC}0OmLskDW9uyhENxm1&q(vs#1UTM7|Fx1 ztOz|0Le87+=H8)Ig@quQv@nY9Es9)D zrv{xL`gz90Z3BkHwK*-$gt9TI3cY=pG$ZvIYOU3cqt5U;t3LF_8h(8!u?iyBQMB8| zjv#zpcm0pXLbj#48x3LV+co9yh$A8E?i=ooBse{$GOn76DUE5#3kMu#MY@40w2;k~ zy|$2>M(_;cNK16lUYm&eB9Hr_bG0fft^GL5!X6be^(d7vnc zE3li`(rA61*Oud3b$a^Y@7wIWL!?^*W~`&!L%Yn(Lpw;3@*Q0owJ;eLuEyv%)}IY> z!%Gu=C*FAqH`?9wZrz!w7H-T~l!TZ(W6Cmncf+eDwC;!@CW?%JoqC~W!38~i@E{b& zm?nS{MTP2@&`+0yP~UI*imo_!cVJ+g+W%@rc`>#voA@FbCt_x<11QHav8A1+|F5zu z4~MFM`}MTQ63Lc?5FtyH-4Keh7G=*eqU_n1u{H{7zn}Yaf4=SAshdLWEoCb?wjYSuvVo2C zP}(-|+8spSZL_(Feo_>6i42}tkM&UjH`d(WGcMn=LEgI{w?l0Gpbly4iF@N?@!LHw z&nI(q*$K1E-TvjG#-qxh_*RK*gop=ylsM5Q&oYxB)0|&Ry!aa=9@uf8lIujg_NCE3 z>v|^i$#B$aRk2eE9=@L58|}{oWxuzTfK4U=ae0O z61w*hOwgXC-Y*+3i4|ASuE#BnaT6of0s*Yh<^-7j|ay{>GFU*QtSXs?wEN%b+xZ_dJAYNyMzmY=a3 z;+V124dGbz-y>0eUXM;2*1`N_H~GdBj90&)I>}@98*@&hmeQ!JH7;E$xYlSH=rchG zTOvvd)KNo94*bueIAEl2feDGoYor_=HROl5P1IqtSkQANwHavO7{;wTt>UAw=Jn{! zscfmefVutUn6L?tb)}630geNsZ(PfWr}Sln;hb$IgQ5UDsawVHaXvdIg}q2q*jPv% z%bbFJKYN{z6+GkyW0}GNZxD5K;LK~42_i@23jo{ro)&mu3}|xAO&Ufx4EmO5(|Q7v zMi_tAn*E~>2F&>!r_cwey|BY%%l$tUsnoE%J&g$Cz9bONaH69Z2WJNOFaf6nq7V3E z1WlSoPO}P!qh}Ygo2}Vkz53BrYGc%}TMI!YNqyx6(kV@;Ta_ zJ2KjLbZUCTZuHIe3iw7KwxhO3g;QfnVXsfdr1_axKB`NiLci%!v1iuy77{yIg1x=@ zUdrN%mrvW}L+vTlwGB-2Ard$u6tG6vLKdb&t=Nu?4CkZY)wy6doX5v}#$%7fi`sXP zcX&f?YX{YkMHj;0m8EttCOBIgzm*E@8JOq_prQUXeKCjw8r2v?Zbh721{andXhxX1 z$_+M7+8(yoy0`$dj0$Dr-pjit5h<;pCU`f+#?sDlOYONS#=qK5cIBD&j&wt}72xOc z1%$)%f~UZ6UqiWQHGb*RvO1`|9;Liz7L{(xZ&ZD!JGT7W`tfxy;}jm!?%`C0;Flos z79Q7l%LFObrAMQYC7h&L2L)mq+I;VoiyFh45kWiPn(n?R9DSPM2_o_Gvh$Aq^mGfLHluy%l!c5@H)`BQ-X_1^=1582{m_v zLF@o$*xvL>Fp%Z#w7d|Z?3K4>S#3f!fAkhFLN?}e9MH;j)V7`HjU02M)b;NnyoVk1 zc7rL~6aB3}Ad)x=47eo=sfJ5{&_-(nd`?3LwyGAxfURh1WdbyJ+pOq$5X~YCjw#|o z&Ku89D42LKEpcrrtG96=l&najT9kz8kyx3?1PZ}yELd_*g}V{vTigGdC+$eB2vsIn zrS}5prTHJq@hcr`+)frzwyR|B6deyW%wlzH zk{S=~waxDkH8V7PPa77~iQ{L<_P=WiOBcPKlIQ2b;3d1u6_bU} zQ&e*-SKboR87!PuYN37^@vMotno_Nub*~Ky5%r2k?gVBi$oZ1C?xFebx~yrKbxLEl z6rc;1`*7o;L55w&@$5R$xX6E}4WTcq2!w3_eN$m66?QaRGW?!4q($E+YP1hh?y{-) zJs>N-JOL~H%XzBn=pU$ydvU8qBmEW3sw_|9O>?NcOLM}=a9w&GJG{B&fSDP(-* zIa~;ySe)sL%J6ZJhwdfxIy1rJ5H<$v&9e{}+tnNKw@&W_yjCO>T=9VG63+M{TK}j` zRZZaF2jQ`Uc^%{9lR=$Zd$9-(Qn!8bTYT2Rw*7&kbz2)gYgoR{aUougt9}oShxMJ}r8h2;>ypOWY%L)8a zE&?{9xTIASRCO}zIW1f(Bb7F*C5FCXN7mFG^B0VpF6O4T(flLgrsJkvOgb0Eng#}k z55gJ_xM(93HMs7?22FqAg~q*2F-P#8{a+#!sjys+hTc|ULjpq-0=;Yc#~{n6w*EX+5yU+pe1KxfINKMws*N3G zXPkgX|4&;5XXhrD7c2NbsK&?tbi6z|7O((S*_{EzQ-IRyBu8-G(1xjc7m&O@#plWc z`?SVbNi9D!Ik@PbVNRkt`K_GaeV7a1T_HvFN1T0pFetQ^NP2(scAm%c$*a?TcFkL? zSo<2Lzk!DM#MK`@;%C)+a(w{643*xRhkbF3jy*m*5;CpRBpbWw0CqVI0BD}=yt{f0 z8ACIAP41u*Z!e}bNSduodxf3fO54c9q^0HE z0OkNS-_ktC(3|TK38P`sRa|H6pw_*ShCtAWoi5(3-sOO^u7;z9zpneQt0D|1Tqhd* zJ?}I!kn2#G{jZQE^19XyqICu_RHrf{etAcj{s^x-2Vfr`^fvW2t2QqNn;Pc5z?JFc zef2!pBw?+0eSBo2?`3-5i#l7^F|7=-4J3aoL+QmNiDFC}AB2GOd{c?oSnKk2TBAKh zZK6CA?y21t@@3Q&2xZt=IV%xQy@pI}t4YOYvPDClQr_KKGhTCgy|#u5Z@=t*#pk9f zX&5MU4{?)i4L5I`hm?oR?!W3j?4JHap7s{;6!F}`O^dly<3d>>vIM1GeXOUH5(+oJ zjzij97OV(KE|_B>w^>0!)kt2i(^daB!LFC6zw^JPe{VB_WqYth@N1{n88oTTjNd^& zag09NYRtXEx?Uu(g@+qiCw|;P!t*7~*OPCTr?OGm@eKLoolYO|%z`Ng{Qo1K4Dyx3 zUH&_c#o8C$wvN0&C5o<>R@#g)RVr6yO%&@iXjYjfTJf)ut_D<{G~4f;P5f};#uKH z_jSLyyFO1{lCiu=1eNSNk#!G&Eu80apszp55%*LluRL9{GJUXE0T})EMQT(exmy+mFt6Wd-i`yJ(O;HaYl7ao&Sm=cMu>p4+paR`|7u>&X3 z1+?af^>l&%STi4$!*ooS-Tr!}yYG`<+Ufy^oZHQu&);n~eDKQ*b?79%wLkyG{+{Oa zP+q}EvessNoRJiUV$nZQ#mQln93jie!MFXgl3&y`2p<`v;LsiV?&Xh5@*BqI-jU)} z$I`nM9csB2A2SF&swuvBub)221_`90x3gsCgPO4T#IOo3C4_r!oJMGvI1qOZogmua zECh3dKk=ms3XW(ktq738EZV)-I1Wdt$f4*lwwL?GI~I=Biui7 zEXM`ajznESm+zJ=iQ2zCV0Ps4at9;M9RovrfOe1>vvMN#R!q4m;lVK%?il~26*v(^ z$#0xIIceJMvnB+;cFnmJP>lCI^#N3)xWYigs+uY>qk1ZJlf8Y`%nRn^5Wd{yn z0a{1-yqbS$v=A~Ra-&&{jp%BNK`rEV&>2VYe-sqE_n zphzPtf40NzjB!WD?lrI-^2R|6<`%Vxz@7l|-2G1ZJZ%)YIYIsnpy^vWEg`iawq=Lj zbVxF~Ry)HV`smjJbRMJj5ql28t0?>zBo*>I&G*+TyW$lDP(_eBW(S=BXB`VRsdZLX zG+(L0+ezJ|v1$##K2OZp7F9@YK0OEnxHwN{+dEqL58kToFB#)FOW;*y` zmM9m}CCUMlqxo;T7R=!8;u$(y`t`dNm;!rQPA^pj;ewl%s$cxt7)YYU(oPBSUxi7kBSdGlEqeZj#`pQ#R$$OKY&(fw)k#F zCQw)cD3kYfR$P<;!ci_`F-te(0?OeU&|k+8ef@3jCwhX`I3(C#-Ke>XW0`R-q<#3e zlduxasiAkXApodqURwRAWT|Nw`Ow7F3I}=OOMmAopk~%;(^NxIwZIl3tEfRW11wV! zJk3tR(W#23e~}=*25i)|pCXy1xFWgIbbE~Dr>IBTNYtG0GvnFRz>;-(;<_!(PGh?( zfbpb;85C_Tcim}_{H=TBU3ymThDA!!s6ho$+&^~9Pq#maYvQj)jIn@sN%l2%kkH^u>+4A`{t#xl|ntJX>|)w8=IpQR0)v| zOfIq}8GeI(XQMoN;dRFck<)W`yymDtpnJ>`<=8;tUQavfRyd;&A%sxDDnx`LdLDN} zpfxsml!3&I#30P)?4E+gI^OAv;U7 z)+cN2giug#YR?sIZ)9Y73#R2!m5>Ln(4TG0-Z>vmqN?p|r)%r?MGB?$RJMe%6(oHY zb|yp()0wu2D}!r7zd+|WZwWwfSEC!VBhZi!qzrwBne^L^M!N-ggW;5L;``E`tYIle zFNHZyTbW)}x!#}ZDNBDdf1OQRr01)(JVcE6$1(rY_Xg=K=*?aIgFXFymyQ(fs6yQa z2;FgC@~6S8{PH~ijKF@n3PuU6nXoO{U2wR>z@rNVWtjjcWg`UB#_|8KVK#Lb6^OG^?z0F&1TIZuOhMMz3 z^oYC)HK)epUFQ(cs3_+$vmR<~pAG?)5NR{S1Y2L@ct}kg>+SL~fc0aRz9oi2tpRD! z#7GTLSnS%i3ZMo-mh2X~$L3viBwK_x;B0u)cEQg`>PbzAOA2^{?9*v?x8;l%X1b+n zg7I}?n>P*E{@6!h4)OG;ODl7S=)xT4lcX7UBu%%PM<(ohIU4nD&=L=HfAQHWIiAyg znP{LO)D_CTn5OpPd)r)cBSfRiEmRnt+IYSl?3J?ND7!GQ!cs4Iyr_DG-2kvOyVJB2 ztGj|zZa3vMvWYXP#JnW*GR4%HZL%vSG97y+=3wHf(P;?BDlZFJ1pIHrtl%q~KTfa?=!p zl!nFfGko8?lIouBpbN9|)-X=wHmQZPO4wGK%6<)Qd(+j92?7VMkVU)MF|T>I=C-(g zza3Ia9}kXNk1arOXTAy)BBF2i3oT1F5X92*PAcCse0&lDf%fDffKp&!gvlO+u$Sgscm6lh0k4 zd9-$_F$Q$j$bz_aIlK3Rme{?f!N0c(-FvR6Mudnr+n##BK@MI!-5}Xx+0WD;>-$nT z=put1bhER{zj8?$a{~Xe!+2jiEr#%5dF&d`=l%8<*5JhhaIh+zpXC9w|K?21+tYe~ zwTjlU>Q~(!oq~wmvX)NV%l|GlSNn5j_jVqN=j;g2+xvyESf{a0)N>B!&tmN)%ngCw zrrrJ5!g%30-uLWXfrY5^3B&h;M!JnEB*vw+Ki;NUDCmw?L!6pse;;z1o!dq}GALO8 zI{NAyIaD}m#HX7STb2LI+U-6}7@bj#8xf0qrTfXOVOICM#;Tp-;CnxQqY3NJJobfU zCT@Y6dGCvUBE4#w9{ouR3@-bN^*rxRm)c3Ov|eh7-QSdFK2avMHNPMG)bQprpELfr zTmAWG_1Db%)ov>>L5eMlAmeK`rJuJWmj7PudGgd?ak}=Ko66(m6ImbbXTE9t;XUj7Ulp7DLz=nEKwCRcIn{k7 zXA05YuOVmC1V@z#@3lcM+beQi*fdzFpS6-ayd|DFIxmde%vQ)9%)KD%aea#UOUU>a zZyC?dtsN#wcokF(P=`RezJTP69O^=C)j>(0o&kkS89e_}`)ANut#?o+{H zkE0+w@raYMe19{f(A=(SKcC37rQR;Rv+=!@W%l8DwBM{rd8Gf^@GR=;HIJtv*Pck! d{t@zcJ>cu`Cf6*3>NL@RGNrj<>+oOS{x5yhB+>u? literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.vpr.ammdb b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..18a08c41d3f45f9219ca7856982ebb0b0e97ad49 GIT binary patch literal 294 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AcB4IY8hGp}?dXRIY+CDKQ~o0$Y-uLRx}GQbGcgae~Mk zeQvRN|KvRi8)gWk&U9#FhwSK2SbaR#{)o+|r>qAYo1^U&D?K=z7BfDvH~6f4V}E_)zvdt8E=)kn|NjSCnv{^j zk&uvJF(LIsqo9W|<3tDZ)S-1W8eeCzI-A8s?7#bEZuqHAr2@vS;{@i7J`EyXP R$fL)`pS{lbfPC=(KLBk-W9I+> literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json new file mode 100644 index 0000000..15ccf6d --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/DecoderDemo_partition_pins.json @@ -0,0 +1,41 @@ +{ + "partitions" : [ + { + "name" : "Top", + "pins" : [ + { + "name" : "Y3", + "strict" : false + }, + { + "name" : "Y2", + "strict" : false + }, + { + "name" : "Y1", + "strict" : false + }, + { + "name" : "Y0", + "strict" : false + }, + { + "name" : "E1", + "strict" : false + }, + { + "name" : "X0", + "strict" : false + }, + { + "name" : "X1", + "strict" : false + }, + { + "name" : "E0L", + "strict" : false + } + ] + } + ] +} \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg b/1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg new file mode 100644 index 0000000..0ff9d72 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/db/prev_cmp_DecoderDemo.qmsg @@ -0,0 +1,130 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1668462005146 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462005146 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:05 2022 " "Processing started: Mon Nov 14 21:40:05 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462005146 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462005146 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462005146 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1668462005241 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1668462005241 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Dec2_4.bdf 1 1 " "Found 1 design units, including 1 entities, in source file Dec2_4.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Dec2_4 " "Found entity 1: Dec2_4" { } { { "Dec2_4.bdf" "" { Schematic "/home/tiagorg/repos/DecoderDemo/Dec2_4.bdf" { } } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1668462009856 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462009856 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "Dec2_4 " "Elaborating entity \"Dec2_4\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1668462009881 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1668462010158 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1668462010370 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1668462010370 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "12 " "Implemented 12 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "4 " "Implemented 4 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1668462010407 ""} { "Info" "ICUT_CUT_TM_OPINS" "4 " "Implemented 4 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1668462010407 ""} { "Info" "ICUT_CUT_TM_LCELLS" "4 " "Implemented 4 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1668462010407 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1668462010407 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 1 Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "398 " "Peak virtual memory: 398 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462010410 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:10 2022 " "Processing ended: Mon Nov 14 21:40:10 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462010410 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462010410 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:13 " "Total CPU time (on all processors): 00:00:13" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462010410 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1668462010410 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1668462010962 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462010962 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:10 2022 " "Processing started: Mon Nov 14 21:40:10 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462010962 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1668462010962 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_fit --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1668462010962 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1668462011028 ""} +{ "Info" "0" "" "Project = DecoderDemo" { } { } 0 0 "Project = DecoderDemo" 0 0 "Fitter" 0 0 1668462011029 ""} +{ "Info" "0" "" "Revision = DecoderDemo" { } { } 0 0 "Revision = DecoderDemo" 0 0 "Fitter" 0 0 1668462011029 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1668462011053 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1668462011053 ""} +{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "DecoderDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design DecoderDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1668462011132 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1668462011166 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1668462011166 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1668462011241 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1668462011245 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668462011294 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668462011294 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1668462011294 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1668462011294 ""} +{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 27 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 29 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 31 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 33 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 0 { 0 ""} 0 35 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1668462011298 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1668462011298 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1668462011300 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "8 8 " "No exact pin location assignment(s) for 8 pins of 8 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1668462011464 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "DecoderDemo.sdc " "Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1668462011528 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1668462011528 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1668462011528 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1668462011528 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1668462011529 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1668462011529 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1668462011529 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1668462011532 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1668462011532 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1668462011532 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "8 unused 2.5V 4 4 0 " "Number of I/O pins in group: 8 (unused VREF, 2.5V VCCIO, 4 input, 4 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1668462011534 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1668462011534 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1668462011534 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1668462011535 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1668462011535 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1668462011535 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462011538 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1668462011542 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1668462011795 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462011811 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1668462011819 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1668462011863 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462011863 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1668462011977 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X0_Y0 X10_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/DecoderDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11"} { { 12 { 0 ""} 0 0 11 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1668462012210 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1668462012210 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1668462012235 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1668462012235 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1668462012235 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462012236 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1668462012307 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668462012310 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668462012397 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1668462012398 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1668462012591 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1668462012807 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1668462012957 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "941 " "Peak virtual memory: 941 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462013056 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:13 2022 " "Processing ended: Mon Nov 14 21:40:13 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462013056 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462013056 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462013056 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1668462013056 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1668462013569 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462013569 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:13 2022 " "Processing started: Mon Nov 14 21:40:13 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462013569 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1668462013569 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1668462013569 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1668462013663 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1668462013824 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1668462013832 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "352 " "Peak virtual memory: 352 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462013883 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:13 2022 " "Processing ended: Mon Nov 14 21:40:13 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462013883 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462013883 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462013883 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1668462013883 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1668462014024 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1668462014420 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462014420 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:14 2022 " "Processing started: Mon Nov 14 21:40:14 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462014420 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1668462014420 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta DecoderDemo -c DecoderDemo " "Command: quartus_sta DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1668462014420 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1668462014441 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1668462014478 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1668462014478 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1668462014516 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Timing Analyzer" 0 -1 1668462014516 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "DecoderDemo.sdc " "Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1668462014612 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668462014612 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668462014612 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668462014613 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1668462014613 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668462014613 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1668462014613 ""} +{ "Info" "ISTA_NO_CLOCKS_TO_REPORT" "" "No clocks to report" { } { } 0 332159 "No clocks to report" 0 0 "Timing Analyzer" 0 -1 1668462014615 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 85C Model" { } { } 0 0 "Analyzing Slow 1200mV 85C Model" 0 0 "Timing Analyzer" 0 0 1668462014615 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014616 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014618 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014619 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014619 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014619 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014620 ""} +{ "Info" "0" "" "Analyzing Slow 1200mV 0C Model" { } { } 0 0 "Analyzing Slow 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668462014621 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1668462014633 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1668462014834 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "fmax " "No fmax paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014845 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014846 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014846 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014847 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014847 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014847 ""} +{ "Info" "0" "" "Analyzing Fast 1200mV 0C Model" { } { } 0 0 "Analyzing Fast 1200mV 0C Model" 0 0 "Timing Analyzer" 0 0 1668462014848 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} +{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} +{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Timing Analyzer" 0 -1 1668462014882 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Setup " "No Setup paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014883 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Hold " "No Hold paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014883 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014884 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014884 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Minimum Pulse Width " "No Minimum Pulse Width paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1668462014884 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668462015083 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1668462015083 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 5 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "463 " "Peak virtual memory: 463 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462015091 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:15 2022 " "Processing ended: Mon Nov 14 21:40:15 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462015091 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462015091 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462015091 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1668462015091 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1668462015560 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1668462015560 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Nov 14 21:40:15 2022 " "Processing started: Mon Nov 14 21:40:15 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1668462015560 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015560 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo " "Command: quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015560 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1668462015678 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "DecoderDemo.vho /home/tiagorg/repos/DecoderDemo/simulation/modelsim/ simulation " "Generated file DecoderDemo.vho in folder \"/home/tiagorg/repos/DecoderDemo/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1668462015705 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "600 " "Peak virtual memory: 600 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1668462015714 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Nov 14 21:40:15 2022 " "Processing ended: Mon Nov 14 21:40:15 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1668462015714 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1668462015714 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1668462015714 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015714 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 14 s " "Quartus Prime Full Compilation was successful. 0 errors, 14 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1668462015772 ""} diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/README b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/README new file mode 100644 index 0000000..9f62dcd --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info new file mode 100644 index 0000000..b453ba0 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Version_Index = 520278016 +Creation_Time = Fri Nov 4 12:15:36 2022 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.ammdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..e41bd8c0cd1f45d29bd37c64382a7d4a64d99518 GIT binary patch literal 263 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=Ah?BNr?#w0t`uZ3TX)%NeKx|#t9;V zH52l77%4E%;J#)ku7@srED>_dHWcIPuR5BSj}hjl{@sG zqwIYAj=u`eL1zE|4>UC?A%!C$A;Dro>W4-_4`arS3;=FJcDX6DT(Nl8f&4@mD?DXG|9 zvD`&i0@ zrRGm8s1I~%9Pi~{1r?5Aqpp~$Es`0-^U{P|B9Mwn90NY1873zuv#sb^@0b-OzQR?~ zDs5y?{X)O6z_phC~cgJ@r{`Jg-k@iNb9X2dC#;4<|WilCA1yHaBJ=N&t#$r zJKR*x$(YC)OJVDq2TKz+SK1ehcSQLnf=zZvK}||<4f7qSp~h13WR)eMa;XJh(%B0Y zrIJfi=$%OT8yo)fQE&ANn+Af>ohNCmt~k1S4I5fuAf*3*zE7XZo_0#G3isWc^R27- zkX?Iq0}_W_?7dmk?`{9z#mVzSm8w(BuoXoDgPj-Q5-a`8StD=HtX6@-;e}Ud9PUN5 zT7GqB^~I{feN9)DTaHy5hax&~FJc1Z37w>$-k){&*$+SG;{P^5@mLj1NM}5E!@jJZ zT{+#3q`jXYSq^UnW!W3jIsSd+5QCl&L|lR+Iyl!DX2i{wH8oMp4 zp5~%B`KYqT>hV5t^;g-Mie^P|94p6!`|Fn$rzJ&=gD8OL)W-J^q{4kjLV8^wDJ`k5(PONnlDelAfj_~K z=L&F-Fs&Q={s3;KJzS4nA$zBBU;P!*nV}A7;_}C&l}OEWddEflQw%L(*e@czjp324 zq*szlbn#cgXrtUdZjO7BVA#}8NNc-_m_Ov3ft(s`n2p!CJ9dg>MRTZ4rM?bnCn%22 z!^*tgH@wbt;A5=O;%{SMbP|S8MdR7n0PM#3%peD`YC40fcX_px!(W1<@WRTMMP3s@ zc>3w9?3AkM(m0XyDZg{rS|$jtG($*eSD1gr45^q%@VejHVKsq(%~Xa$H_z(pHY~ZQ zh~nnUBw28b`-bq1#?s;R67MJq7XrnWZ;N(Efo3-egIzWlSKqAp*sGg?0MF9`P)iL4C z6{3-8M?P&@|yxj&4Pg|+Xp9@*QS0J%#0IID$e&E3E za9qsk&0eye!T#^1!cUZVk{>sR z-hyNFn+08lo)?QK7Hjw#-1H1*No*}wQb<}kUo}1RDIc1Z%y7wm@%QSInE>PO`c> z-|0&;&++>c3vPs`gvH-;d$y_>1bpluT|lnWchI^l%i2VHbPE^_SYiGKU+_n;2cw%sAY8{rH)s4>l^U z2MNc2yMnu|S{u?q$SVtx#aaklJ)Bj56g%OXSU8kL34gk$?vOKGsIhDpDv&)QV_|gt zfuhcbmaxK{IxnS^qoU4J%!e%_Fty_ePVGJ>>s}_&m0@dCl*0`dZ#<8Ua0YKH{RN#e zr%``=!)^uGPib(kGmZ`qy(og8w4N|eBm@Mm>**))rkI3IDYWl%rAb3V_V%JP@bCa? z_2zz!s;!sjWlB-g_5hfB>{NnrgGx_ zK->|DyfN$79$UT-gtBwc-4NNpP7cj+Op&n%70Jz(1gUhny$L<}<&Mvw_MqC5hL=58 zSmrL}?0D>nW)rMtgc`IHRu=@k3kgbYjs#vUY>OfOL8^Yhk=K_SFkDU;b2RnoVu# zm;cl)QE%tfGl~-Z!Vjvjc6cAPb1ZFT4@EI?5^WO4(bNjaag+g-J~-ok5tPnHdnl9t wT-saYc0Tl)Xg)F^LibaB9?lX)0xI`^xdY-2A$^^G>+n3QyQaDOjz}c`1Am!m!~g&Q literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.dfp b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.dfp new file mode 100644 index 0000000000000000000000000000000000000000..b1c67d625638bb473b681fa4acb00be38889ece3 GIT binary patch literal 33 mcmZ3(&&U7*6?3%pJax1>&--+q_tkmeb=JGlkg@C*Cl3Idx(b*8 literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..eebbbcff3a25b5af4205f46212fb56f64155752e GIT binary patch literal 10548 zcmV-4Da+Or000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*C(s0RR91006Bc00000002u20000000000 z001;800000004La>|F_%Tt&6+V0_O76`uM)Kxr^2Dj8-blMNN7Gt-$g^z@9g1mb&- zW+s_HAejl12_%4#CG7hmDj+JdiMXL6AfWJESXEFFR3zx<_nymhL2+Zr`~N$)y3f6R ztEz8RCkCAQrl#svo&TIur%qMfTX*S~WipxFr)4rbBlU^98Sq)uG3xlo>(#MJC2!{C zv5u#ydMdRwacQKgDrr<1N;CtsB- z+&*Y8qdK<9QFJN=H1kTt&XJ{K%SOgKM^=r!3WseD5s=Iq5T_wth1iMsFH`B19j5$X zY8*_>1DQpz0pql{;-3n=$PA>6hk)J`6+hB2@R)g9O4@kX4h4*dA#!rhWOl_l;7fws zpi+NiA|E%{}pDOz%12~!nh*#%+YOOX=IV;NZ;)k1Nr z>cx_vbZ$sYP!O(A8B=;0Dvt~eqv)vqw9M7Pe^r)b&}!Xej%sX=SZjLL)9jO6Nw+iN zjfmMW@>s42;C73T4`_9ckC|)4lPqX=^W}$ zRQ#Wb`9xekk4^m922(vp4eKe7n*n3zR2GS6-`J~51m?rUn3r5OGs{%29 zg&sB@|7bm`6E}M&Smjg`!jv;8W0jH>q-NW82=Z6sKN`C^i&R}8##7y_sLB<+I*zC0 zEn~c19fK@>;m0Bdk}&Lu*ohc4=^vav5Xx_YMQK#(#8m0J6Y?X=hRVZZJn2|AvS!8M zqvbX0R}GJ?L`~*l3`qJR`+_jlEUtO%H5GUwaXk*$OR9`1kCl&B%2~kfV0d&g2?Q*e zMG-1Un4;ov%QqJl3DYDpmgQUOp*o~Ks^)rlEorTbsxFC3tP(l0SB$Qiu*u|#Ksu{f zML4Toj@srb2As5)%-S%vc4GBft)3C$)-=Q|X^5NaB&M_HTQ;m1twUwf9@3nGwobmZ z8@xQ3@y6H)do75E)=rF-4<8vF86TP$SypCu%VEL%35YUq@!Rm8!Cc?KV1K!zFP|I8 zcb2Wup3PP{ zvK!a~%^Om;2S&6TP_`!kRNAv2W_k9iDP~dc64ff7Hg%EJNQ}-FN`|gpmFwtK`IbtR z{6?or-$wI|^HXx-{t~BC$8kE_vBK%nI9{huoX&RKC_Xkhs$SPVUAe+3JyoiskC&&V zS9m2?9XC3S>y;6Ki{k6UtIx0coYH3s{iYj3_@azNzDjx3)@_0Kn3j_CQ2F6zN zXcSP@kGtYH4t=p4^UhLybB&%}(?7#wYe$z2jju1SSvoWdg32Bn^R%I|$Q&Hdurm-< zr^Hj+`OA&KGAcTCtkNv%WZVbGjEY{Df}|3@4L4=W8F+Q6^xxLf?V%g5J?9~nc2sT5 zqFhCmDI2MJVpP7KRLM}ZiZ@eLrczbM6|2^+9GX}$7I@oz z=q*kK3{8mJS}(oW^;rN9q$f^CjQ1jnD&cPQ6Yu&l=%(C-;Dx_{59ty&^CwoUS}}S! ztM3$DPna_GfuTwVpe!3krP|g?XFzUJ^p&5)lVysp8dz+%47b5n+{0tYB6cGFGoKvN zWlQjrwDw~N`Ll+W0Q+f7LhhrX_EbwzsKuL7#CXd^NmWb z(%F~Q|+JX|0)09dh-D1p2gE9PrckB9BJtrT82P{UBoV zCh$f!b3NkT$UKU83-Y{FTrvy)Dw|RN3v$Lqh$jLmo}nT!nJ_5hGtctL=cgn^7Nv{~ zET4RKN>cElCH3G^KoJ}$iq#PHIZ*T>eKh1T`OK7}V66(wvwTVL;F6-a9t}_w%m);K zluamRTbfb&HN;-T)riwkrk<1HfF;c#h$=9z9({_4iYudt0^{oRQADj|AHE-kr~8|R zmo1MTU5Vb<12nv3|39e7aq>H)^gTYgsQhKH9goi~5Z+&Lq&+uD}TZ<*8FHZpJN@KDgbq-7XhcaHkzzvXwe>4t_rkI5sP?ClNyGU_pNza0hR`cnqWyAZ5 zmkOJf4zFukw`wICq^57s=mNchF<6-b%d7t4FyQ+f68Y}H!$_fS&(IJ&p2inMc)-ZZ z<;c4?I1c*zw;&=lCBmHppJd7y_hA}G)iM1uBDEx*d6qHYuqqUJ<*Pa$elo-m$3TAM z%gAvP6d=!VA|hwMo`nnXKQTx+^D>--0}uGge(+QL;Ai^5&jOtG=qce}VlY|mJRm$Q zcM;%b;dE2wP1*gc`dxzT?uae(=gr1^GHdSq`EzHt&1!0yGiP4goLSAyi1X&ntpcLC zc$+reRb73q1F2c5I9l?)9tR#4*$oX&3vaK=e+S?kv;okn4 zUT8kd?k;;@pc9O@1Bz9sX*kX(R2^?2xeI?M(Dc-NDYu}QS3Kisjr{g11$P8Fn=c$J z7OSPv9GjxX1E*b8dk8AH&~>a|x^irEBwJXVtzQQ!6$W0#B$!ZDGp%WYP=gl+R1fQ1 z`0ArXs(cNHyQ>X3%&q_^sy0wOk>21A{ggZOMnKshw+Zw|cEL5)5>0-}5B_H_-T&f+ z{;L%Yg2aCQJ1STgoQf-B$U&|HK7$ILj+c7+x^u;BM^ATep_m&exOLrb=orgT(J8XK zJ2Y#DnjB^ZDp~K==EL$t{Cir2f z#0eTnz_NJ&a0^_Ad?LElrV2HX*>+^UM6;BY@NE z>X$7R^&|aSKI#B(uiE9ifO8WoS1e|Ga|4U|t5tXfl8p)j=z=b;tnI1-=|iYweRRx9 zv~Hex7%)q>>!9CD2^A*)exkmjK|yaOwe$x-+6IbF;YUJjN3O5_GasSUqhW&`ef+U> zjc%_>{t=L#tCBGOkHco9xfB-4{KQ|GYRfW@J18UIKlOt@0l43^`J|r$zXY7yBb@iU zah}nEX<&(HUnC1!?F{g%Mlt#|7;ymAzkmzZdJf<;vq^ikeSN^CfmV^3emm4;x&b>} zH=_)?Ll?V44|azhVuzYcRR)~((RD_2MeQOlyyHj*;q6uCM>)W&=*(Kcx$(iFmR(pF z5LJ<^sr|=*2Unlw?C6>ZNF12(#{%y+->eHYNOhtpv|I0j7kzjf@c47xM?KyF-d+pDAL52D_0-3_9V> zK;tjn29A)>=AgWQ&$h!OnzvW6a{#4XW@^v)BgiT+GZ#qw8QZMG&P$6G9lc+uvHmPn zC-t3Hq{&0x1+>Mrb%NgwDC@KvR65}P0OHTd#s{Ru3L7r~*0HS}c4&>2g;zb~2Y(!J zve!F@N%%}WbHMu9+Kw`z_YKjroY2i)=yw5a=`fS(qki!B`N2>2gJ0tZ{{i6m%e1sM z&E89RVkB!a-Xp#Yeh3B>;O4ZC0?p?ROT4a4absDu?=i6O@SZ2F`s?PmpVpVpl(4djYt&wItg4r+<@dZ`1v+NjJ%!0Bx% zCZP5z{M7X5DmZgmUFai$0AYsj+RMjU-i7Ib&Xg-T?VG>b55B(xT=d#%*xWJ_bhFn~`}L_J+nRbMuwkfVMQ*B>X49;tbDtK5W82?jT-t*dG8-*>+*NryPU} z_&t7S#`pTc@AHG-?+1SXaI@jnK%vXZFqTd*pI4PGpMz9%TdMsGA^t=^_(_19o6TC? zNIlt4_$h$z2=;^h`E0H~+n4VeEav*M1*a!eHi8)+aSxgG_Vsl2<+{5IrLJsm!Rg83 zQ^AopbovK!rOsSmC$fnFWKj!=@qC&q4;sKtb}5*>nnNeo-(Ogq&-Um03T{_~9|R}Pa)lDUbzBObAT2E{bPe{U zdxo^V+6Ny3$KVN(jsXYN#8mg;hBfjsFO{lI%Us?tm9A(Qr;jwMaaT4>rH?j@)5jXd z>EnK!go9rNPHC4t;nvpxj=wZlBf?ue<2yZ#oDUhP%fBE_(9b^tuc5eO~DM{ZxOzOZbE7(W2AthPUknLnKUU z*@FuMi?Rpja|dO6aZh6AV6QM(Bnu6+)7;M?Ai<;MDZYawtLZgMMWfQ;&3rIaN}D0?3D?83Bd05`vA~sJx{dj zQZL~j1R8&tg$ROaBwN$;_G1l~igAB<{A}-r*jyu^4}Pp4 zd>!EKmRs*9{CL3m8hYivmY9MfS!$@8Fi)`Sz%g|xzN1POS@8wGqfo%R-u%V7!^^Xl z<8!?@3IZYg=BD{4{s`uiHeDq?Iv)VVKWZ(*N{FKh{XK(y9eG(3&&QFg0ONysrThTj z*Dv>!^xsw?uQ+}bc$W2yvQ`)3s4<{|8D;r$9faj^*wKKYPcpOSx3$hn0~`m?7UIIz z0F%CD6F~9KYG1y$n8S~$cl4AN77KVTsnlgsATE3@*zjvcgT43-a%V2bI5pu|C)7Hi zY$4vt^}z7M*o*LFYI#Air{kbscV1i(0gE5n)k#6w)a z_qoa102o=N8(Z&pLY?S@I>`xjvJ>hQC)DXqs561GI0v18=N3FA-XuPvP*Dm?C5Z5klg^iDmO_Wy; zjZdslzl}&qM41;SQY7hB-y)?$bD`pw)`Mx4YhoEsw3qSxlWA&=FcWsFtXd^-ObijE zps1`|`uiz5=xVgUavB|hiqi=$eC*N|eEq+zdA9b0+*Fj!%rX-O+uA2(ZsI{0D(hcr z8#%0jQVFF1)AZ}0j#FhVOx>KydZ6KM6y?a|%Mk$jPQ=zgtrk7b+A+=qj6Ixj%QzI)YWol$)wM>nyteB~hl~pq}va)if zMpjl&bBCUVbqdZNc~jM8^;CX@lv{#i+1lLH(xg2_<4`3PqgWJhgfH75^89_0L$kudyQO8nw$}Yx=Vr4d+*s;fknhVjx9yW{ZEkIivs1?r&lPI& zCEqm5h~kIzC_A*KG3{0SI%HX&V&_k6e)5ObEqd1ougcCG=Lg>aI5z}F)~y~JpC~UI z7OW##lMe3(rAHGs0!|%{SXv%iJF$B0L`1MSsL?_EWXIW{;|2R9hw}0jD@WD{Xe3+1 zNBly-g`lLP^IgNPVh?`m0@x9kkaS z`guU9aoxWeBARj&u(Z4Rv+_Enzu<-bBGB%B*@-4o^LLf-TPI?Q5sgDur+LXfq;$|8 zK+S6Fki8*t&po3`R*!VZ_t@2?O0)+f^D^}L!TarSo#KP;(8cc1CGODox zq2f~QP(bmQY00kEE~UEODTmm_Sm30;$b1AV9P6-@Dhr-N?$BXCxtCDt87O1%?-X1k z*@)JnW`!qy)LnI69ngOIWmu>5(RQJx(Z9wIz83H%=*{1+$RE;??;YT;<}4_bf;XOV z=2h(JDs*J?#o#+h;Y)tn(=c5dkFhJMgC1*#n#{A#55C?HejMODtzI)RzIN%v+VK(L ztdXp)^{3k9>!7E(Lr-^yo?(ZYy6a59xozFsH+g5N&=oP0IM6lwEV}|a=-GCtN%wO? zcw4Xb!db$(l*@2B4m?i9--#`YSA#`&0Uu`JT`J@KQe}cb39;U|Y;v$sVYmlrutS*Z z4!$|lJy^td)|Yg+ebw+@a3gd5oGR@p)Cjb>&kKFO7y1D&^n*Zqc*0Np;7|C$H#xxD zfeFKtNYl1m?Bx8y0j@+N{TpIRk87{O|BkGO?$abWVMp54)(epmL!X{UIza0*W#5w9jXPr-SMxN}9JOxO{k+U&`76)ry0#UOo zC=zXSDk}J-2tFg-IoN@3yyg4q`^hfF80l&5;!k&np5YEX(;a#ipuBX$qcFKrNBSFM zXM5t$@x-6&i9gR1f4(RF0^qT~p22Ir>5YG(r|^q_4<=CjaH;VBNERfC1MOlD>?I!9 z4+85paevqud0B{Tn-?*SH~>MSl`apFv$QvEbjT~5ksk?>>9xHwM9xip9{W*e1xVKu$Xh+Iw>fKkJCKeiRd+ZGz0+OjSM5;K$@2G{b^g9xFennIhsWHZ zkGn&E>JELv9l9xmI^OH}4dCX**wnj!wCinx|H%#qMPe5}ov63GQ=&uu&0XkA(HO_E z`!9gnCMuJuUKWaW?BFWXY@t8N*MYByjy-GU8+NBQhI@Natmb~@$GD&_TDyfnA*Sbv{ z_CTeN>GlMk{G zTC8aCOkh)Vu0S?fk>nd0f1T+GG;Vsew}clg2YOr<-BBVi7l!9({V;JlfK zuTmHAwon;Q@j89qpaedAS%&Ycm0RY|pA}J39GDFGs9#(D;0J#ea9X#RUz{&$_sUKB z)BRcBw6ADzBx^$NfJ%>^oEr+)p9GqO&qF0=+5UwcgAt9zfeAk!l^)9Pmku5u1TDC~ zNA29&-s**(<%RAD(XF$Ep(9z7)w{i9m%Px+LbTgE2O}Zc?bjAo0*%pXzoQj*lm+1! zPw{HHc)==<5yGEB>mFM+va)~0s+qZ!6C>k8*}XaIy)Dvf;(&@$4|e3_KW6Yz0B~b@ z3S9!+%;S5Dn_nyPl!r+&!*a&oB9h0UNR=bA%@PjcCgo zta{k(5ol`c>^C+*id~Owg@Ox(uNMwmdDPijqZbA|(oqDiZR~7U#TvnMi}SEIKR6xO z;(G9e#O!0izJtAQ?V8$o7%6yqW!AJ2>l{z~%u?!fOS?T@5EN9>Y>%zur~h15-=8#V)0W z!hZI7p4IBS;5PS5ua&|+j|Hk5n{U@6xIJOyVZUm$pY^@j+S=d&45J?Q)@~h&I#&;) zS1q7G6f&9;IszP=537(J*? z3Qqrl=~TK!m~Ig%jnGu`2*#W&2<-9}^u=O!3c}CWFAJaBym`OL?_~9!GraA{H1a_Ow#i+w!^w@OY#FJnWkKP|)%WpHKri zI3qy8!*Cw6kq;ow*bP34sosAv@d(;9WwFZ0elhj!R9m8x^wzOQaE0@N7*Q`AO}9?Z zZ5=67Gj8KYrTrTD#bouTt!FuVSSjrLV_Ro5*rnKe&OVRXcWU?pQB!A*)hir(zf4gy zejb~$>6A^wd5H5-5adRLeJ-5xpeYZ6+XRjhI#6Vz%Dz@M!h?b>9O|IeqY<9m2p)}S z?Y6?>Qg*n}>f4H@FD5-)y>JwrrS4h$k&p6n(5Su7-Dn|)t{ev}xG9bF=W(WwKV#cJ zZA8E92GNlzCtxa9G5dY>M(AO`3uu>Ozpk`DMPQ!``LQ{3XFqSHu(zeiso%k+WY6iP zD98QQ-$G9gh6N-BkQyGcAfS{5!Tm~EFa$nkm$FN_Ks8+{MxGI-$q#c#J?v5f3MDC! z5SL=7sIf6g7$k1^gFelnrYjMv6LBxAX9%2%bQc zs@JJl4aBZT4WypY7HJoVT*7glzwZz~k`Q>UXz!l^<{7c;hzA-1iU za7vHp37Xv=jTp#wDS8U!$^Xemn|Kf?q*7TBd~sP81WaoRJSU}OrZrL=3hatWrhyq` zf!>75Vp~r28a+G<0*%wz7mlVi7e&cV=h@jW9D4+NOIy6PjWBYZC#^^3v z*y5UYTdiKx+V{pGflC=RwO;}TcLF4j;B_cja0kUET8vZ-zox2*6f9(DSifvBDXJ~& ztgl4yyH@7b2CX(~dX|-k{p$_(Z5R8*W}lSaYSnbh_O0rdzbJbK#-&mW(oY+qhut2* zEefT}q!Ow1uzQfbE&B5yWwA%NpDYdZ60S+IAdvD8D)@f@00960cmb4`Yitx%6o7B( zL!K>AC@oNEfnr-%ErmYVuG`Me+}$16c{np$x*=vHRLf#gDJ_9iO=|p84G9_zi5LtC zNo{Q;g0+~C_y{%rG5E{JKLQCq@JHh#RUiR8=j`5nbhk~MkX?XR zA6|VpvKD4UR>DAGHkVOa5o?DR6E|+3hlv0LGqgF972-}YtB2Ry_MNzfm|4WwiY%;z z)=z%$#mwlEgqX_Yy235Bn=47Kq5D;MY-KQT zR|bVpDMNj)9=vo6CKA<_ghE_*xgOQrU_=V}GPZfW_=eUzC;A8Def=->*2MdV{AHwY zZr}SDZjx1=lqK0A9kl6=r0U#SO&PeP^wC>_TbIe%T(ab_yPwC_up_V#cVF8v4I#V= z1HC{~B->U)y2f>9E&BuB?VY<^Q3x|0wn%5&h*~lSwVG+@K$f#3fP6gV{|BHf^HS;T zs+t*DM_T_4|9KRp;Sg@5IsCPrxSpGn)wqt_1{i3aZde+Wk?lx27=UaAdlA;IZ>=xG zvp}{21_d>S6_rdJtU&BQwyI6u;)r4`0SrOfFGVcG$2SQs^pyDmspy(_X=@G!-P_NfJICMPT-?xc?v+s=$3kg+#lGXEKi>b7keG99jlVjzA z-m(bo1`H)uO(_W!J-BT<46@+Zq4;rMhAp0q-~KJ^E8qt1el{jlErY+&FgdK6TS@9v z|J-$WNsf#9hb&N?m>W?8mSn|TQ&O!Oiqh~q^|uhlV2UbHIOdoe)m5jKjl#lrUr(G9 z`b2w5NZdxp8##03XB2{rINqQdflzak>k8LpmD}5Mf}X0~L`-o<;|_-PIC}Gew;1LU z7{aP#RwGrHQ@fo2Via;~HlqM5o`{|=M+|vCp7WEe z5j>V3%p2(A@jwui8QohTTiV zI^7i9#8;(}g<<*E(U!+Bku#!DvMTPWRPSA$#6-T#`?&0e-s>TiC;xtohe9$%)o011 zuK6?t^IYTfN64bNqJA{Vbd6wk;XlK=fH?Z-VyfCU!`~RKe6%a8&$3ehT=c|$6Ir=2 zxglg3Q8O*wmcv|=nph7k|8QWu0VcA1Qxb>HEL+^+=^dCZyQsg_RqQ-fo8*P{*izGM zLVEN6wBFuLw~OKn*A5EnMNKKZTb219s;QrTzcVe&6<>)|pc9%~D9SZ=?qe!avqVs{ zLoQ^jL|2*w*ve{Pp`)m;8XF;M#dAh51Q&>Ta9d99wgQ|#cM}FLn6d3t@&yA!MQ9>^)EN`@Z_dE&aDVm?F01`R34UoXJ<{Z03i z1>FtM_4f43w-ljLBx!mx>6J+@9)$q$NS?Q2n!-ll*QK}Lc!1Vo1jKcNZcT7Kj^aJX z<~f$4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Gbe00000003+S00000003M90000000000 z005f?00000004La9Px9+Q5+#( zBoZkSkxbzrVpC9DkpfWw1tlc~1qB6Aph!pvx^$$Vpa3Za1tpL;aS|`z_h#PC+uJ*2 zgl$oEZkPUGH34S$=b6`D-k|=oadrq=ecNNx-!y-wEuqwN__w3G7$D zO4AC+i%XZ6C;xziF6uX0UQxTw6j;L&)xIy&bRY;;F{|9f50EPZ0Ta(cpEy?w&V38K zxKx`~(nKINff%A#-8=RTV3D2dVpynohW8Pb1X_C?K~Z!eh<+R>vvp?YVNkTRjrr!> zc~-IBk(7P_PFqStPo97rTV$s_aLGAV)Q>?-jHu}dG4U^+l)TVyjoUY_ge+gTE}c7GKDRfY1QCwo8nJQd)PB zdc;VXaz+W;4_#_Sfi|)%Y!Zz&p|ShXLwQAE|}t*v)?1l zOJ7go!LCm?BmiFb6PP(u_;0mSD_?(P-jH_gC+2~JFtrP?1}!wlks}askv|v(!mVJt zK=>#S9)MK82>RjO0EAdazV-BZ956@D(p^~jeX}h+?+q0#X|Vhk=;wPcyu`zpG#1Xk z^~&5mAo)+tu_;y_^1AY|(8{m9%#&HWDVJvw*dUw>grme_6bPU8lQ`=IWKRUpPlhzr;%?xIga`F)%lSJN@~i@?KxUkN5F?ru6F=TaVJ*V4qmhg>O3 zKFTTeyoLhY&4D=jc){v%*I&ok?^pAd-==nD|)yMDlol^=QG%h z7((ap=y5AjZh%kE%@NC!=cBT$BChqcD%z^Y+4l?*NXcgGGjr_(u9_;e21dCsQlXt~9O7M<8>xtP@N{FPGu@b!d5xmEucz~_} z>u)tf*oEt<_ihLtdGGvw8x-&OX@#B7+hnZr0CZo67Um?XAAle1FZP+m9(T+TGi9+; z@)1%m9+9#Ha5OFhM4z84V7~))x`5pc40D$!(7x{GNTGdZ=`R2P0RR7Z0pev~WZ+<6 zU|7DY&<02`Fafa`5C=KC#e4dNxCXg7I=jY)2RQ}=xCSvX>;TG7G+cR#5vT-&d7w(6 z3YbyM0-2)>Re&%n$Uij1HQw3ZFE}K~5hxt*=NiJo5CBw{|KpX7D3AsjED6oKV$%j=rvJ3@kt%41mny1>(}Y;>`5C)D(rxyb^Y>4ImX+KxvQ) zRv=E!NG#$&aep{aR0W7p!ve!a!6B{zoD3R3g|dE6&BA~*$Ve%uMy$%YQ0(yrDh2t3 zfIZG0{+`aR++fe2nql!z6Q~7b6Ub(eRt%e*9D_ZbVZva|JYdBt=dy(q32An54G!`2 la}4qH_lt+v%*!AE)HF4#BppbBZI%JjSZ#)A00000|Nk|*4#ofg literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.cdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..2c97874eeb7bb0e3f3a24528836762e13f082a33 GIT binary patch literal 2498 zcmeHI|5p+Q5J$?9wq{e)GEPoB;p;W37!f z0suKy3%2HinT17IJPsV_4+($-fcFrvxf$S)K!0#RfS=U^{jFtEEI1xpoC)5Wfi1RT z(T2AawV?l)0LE2s^P70r?rmLaGdIb_xhFe=(!;i$t@e%u@2LfDwYR_eD%vH_(d$Dy z$r`BR*O1c2;PCgDQE@}74jgFlwzb``0ld1psAQ&$SXok^XOq~_Uk71@^_OSKFD_O> zx!v7_06CR5g?d&?g2_9CT8#Ud29-+mV_e-ly!pOlP8q>r|6w{-v7+O@>>0$nN`#0k zTp{iW)(jRludAuJscTn>QlOlY)Xw4gX6b7_pAXV#`iQfS_<|0((GNx55EMD%ci(Nu zJLY}D0gxu@lKcC!`kQe0rm<|&H4-M3Vds-FaEjcMp30?nU6|~=*7L6SZU^sl@PE67 zVS0q;eC(*0Li4;g-JDecbDxn2OH8*7!T0|WnfxtfSk+dc&cCR z+HSkJnmeYZsGLrNQg3;WGg`Oqw_Jk1%2wKWz?$IKe+P+pvPR}aTmVtyJ_mceTx>}< zE|^u{acY)B+L|1Up5ad;X)9REJjgS`wSD&QKHO9aThELl^KM{el1v&iOf`aUjSCaP zK{PwnOOAY!dze*7NX7*n?61riw{;hKVtgpIuxVIV;nBys5hhwz`Y8O`L$mtXPQmx} z-Q(pWvrP5HtRjfUEiL3BSv;cUnI#?L{o=!YNk%m{{O4Y- z?n_zSXO^M7g`>!_h0LWiTxo`>mp3u%Dg8>fmAUVm5u)VK=wv&aWB%w|kCI3l-YwfA z--wSlJ0%)>S!Ax(e!L__tkD%ijLc;*%s`e#q^0yut4}WQVB|B7Cg*vB(%ePvz`yMD zMKtUTh`!$Cn?wKXQebnvSZAMeUZ(6*{8TX7=unU$V&9(Auod5z^L`}>wD!5|+Z7W} z^N_`ZoYZ>p{(L;*EYhVT3q8n@?VaC)wvM$o(IP8aCts<*(T1Z~U!}!TYE#j~%W;lc zU*qHFG=?UkQ9F7R`v!KECS)K(_^reV+_+Z|XGdL(*B5uId<9~p<7&evwIF!a^C+!N z)f$O(PCB=*mQq#r>RjFB3?k^>Roq4Kw1w$E^BGa>wy1Y7zNxlEimeCgfGl zi)+L=qC}z!phsT{leH6tPf(cY&O@-*9-rplk1We4+Ry{oE*l>TBT3}$>Uu+94Hh__ zc%`xdTDa!?0TRY6*n8~rgO|f0qH|Z6z#X>m7e_*?1mCE0s&-NTzJfX*xEwr>%2T_x z1qYoZ4>+|U?9k_j6I(@)7HZ8uz8JDRYbvRGQ$;&nfa=`l8oxb0)}!IzjGDmtMWrv9NvJ^N+;a07)%QkkRUTEbnT@to6@-+vyo*100 z9wq$#Ky~TFIR4Af12eSJ>u}4Ec zcn_E+e`flq64fr)UATX&`-gnm`VqUza>|TJ(b{`KNZt{g$X+pTc0Bazal_>yU}lkn z#cx+ya@F^o&DnNK!h$)8*VB7S}h*`4?p2B4z*p literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.dpi b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.dpi new file mode 100644 index 0000000000000000000000000000000000000000..558b6f3ae1f9fb13ef6f6b8ad962a0342fedc4ba GIT binary patch literal 662 zcmV;H0%`rM4*>uG0001ZoaI+hZ`v>re($e{c;0ZrC{W3DMVnM=#Rj5Km8Zx_46$nB z%yGIQ?Z?k`Xc{*GWse;QDZcMJ-}$pI)&*Yu-ZJZjh>Y^&vg35^jzyA?M>N@7c9x6V zucOWtI)f<|l1k;1p)*VU-hsl_*oN@{vv)v)KPmP1@zG=Pw0d^e={nYRPFZ9Pd$#2` zK>d5R8a~`x6Do=2MLIkL)c|H_nyh(AxwAVG7%=jdRH%&_k2WVlJc~r&z}54+K^7Ln6vZW@05?hc(3o-OvOxUx#x^*z^0{ zAX-CUGR?E)E+uI0O~#A6M-KvRHhT)fu%s`fp2uWbAiQeIGPU!8E3iNM+Gt8 z5+EtwaIsMtQ=S2}1|AXNk}U_-^_Vl8qca)^EOzJ@7tav9Sx45s@ScvZH;hU6oaI|I z8V=6~1P8%799;~C19IN)uP>Z|-6x|kz^V*O*8}+krZPjz2XFqxx|x2T-Az1Kf{$+?j44r~L-qI&v}AS`&HVChzU)YmlTO0|+LpI=CW#8S+ZPZ7d$aD> z@`PX`5#AH2G)w&S#MCoU;0-(^hYvvieo;r0=~|yVCz} w<+rQ-PbR;>o4N$m-mplJq-jnwr#h#%ey`du*4o43%Vz&!S1}a*7v+Ct?wr|D{Qv*} literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.cdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.cdb new file mode 100644 index 0000000000000000000000000000000000000000..c21113e9383656d794c5e12b0730a4c6bcf6a53c GIT binary patch literal 1600 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AA(hIS1`W0;@j85DB*T7&+(6|2M>>K zC>;zxB2(;=k|Cxd;7oJ*Uy_*|NHafx6}Qf&;MKT{lSk{_4AkSe{%2b{gTh} zJ8M5rpMJSMx9;_)UxnX3%I|;wqwn%_|Gw+x;o@n%8%yTwRm)we0M!yDg$?5?t4FU;CPO zDl2Sr)$X*rd55^dHY~c%`nt+I)pT{_-q_7~=83G@5f@)KmF}IrY1Y*>_tr&jpA9s8 z&5P25yYBf$p3Mr&zrNU2QM7+M(wr zn{$Sq#)Sjc65QI^y~mCmJ96mW_6MRpt-WhEcZU}~oOCj!eBb`Lmlc>^GZd8Xf9|ru zePh^$uBA;HVJoyZ2+ywW)!1R!u>SEugNC)O2U)hph&8jEJJ-HTE@Atjjb`feat#ut z#8P<+-8^;I?dZLD{CSDqO8=sCg+4p;pU-bM%;x>d`*_uZ&0Y!jZ{3`s=iuC=-Do-W z-^-_3?Z>iadd^&s!?C=;rOSq~G~`@}oZgbgHK~@zRi*E2Z1J^N9BRjZyW)3P)%1kc zOaB7pI1RYDKHswcad6_HHA(iG#-hST{@l!?S(Ua=Ta)A}8A9QT*&QiBX zbqV2oenpQvYqp=4Eu-M8$x}qawqL*UHShhdx7#mec|QJl!?L%1-~HU}e@;JBi_&vq j&zEzb8{aue$noE#ms~vMr*@bvRQfMF=|8Yk|NkEVLx?i4 literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hb_info b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hb_info new file mode 100644 index 0000000000000000000000000000000000000000..8210c55998f9226aeba57897397a9e541102c45e GIT binary patch literal 46 zcmZ3(&&U7*6?443e9xch_SZRizE|HvN9&}{$usA>&--XT^E&H&P>qM-_Bvrj0EsUW AW&i*H literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.hdb new file mode 100644 index 0000000000000000000000000000000000000000..83795eb622b33b02ba78bdcf36e90fb009707e35 GIT binary patch literal 9929 zcmV;)CN|j=000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*C_r0RR91002rM00000000^c0000000000 z007A*00000004La>|F_%Tt&6+Ao>&$!3_n327@AyVYY0Dz+|Q~Y3b>iH%lPA_h@D& z69^A+>`lhDpR-ONxQ>RW<-KFmBH>Ogl-KL~c??x(#yIAmP)G?a)N9)zGN_F1M>&GUZ zw(_aqLEa`B)-#&wiH(bjUg@Y(6FV^#)N^yOlD92p~I^s#cH8Tj*h}5hj&wn80(yalKd)l>S>tOi&Om zR~cJ+3@R@T4WsC&{*=@;!GBd2XVCGwu^iRd7O~v)tf$$>xRQ?UcOzmtj67CxYM77K z@t8EKUeTLUkOrn%v%^-klz9sx&3QYb3M^;GuiNm-n(u^#EYkyziF+9>P&9fy^NNP~ ziK5wh0%fgwK2sW3i45E;y-swzx5QW?i7SwU*@-#*A+ga6bpjZ|Gs zL{rn~Q{{?Y9Y<60)?>6@9fK@-k-#Dbk}&Lm*n$`|>0g}Q8_NFyQW}*yF;%+mi2T69 z-a_9H7q^82%NHLtSXjP#Y2VNi)THWRbqu-UyrP=Lxs|=90vD_saKK(tWlVXje6d*0 z0(J+(V`E7mU_k>lQ$dF*Do&A^+MFU`8b`*mOkE{ZhgC*ZTM4fP^%YT7ByoXL;?@wh zcyRfMOD1O?(mBN{!iGvY8k?&aaB^7CaMIA|$g)wRo+ZTf)ezTJLtI-SF`YeAchcg) z3REWTVYOAzR>+rjgO|rMUK<%SLr z6Qy$ZD~d-89Zg zC;MTtA2x++qb=-|TM z;njuZ{k^n*@n#0|>|JG%$C0ODry{COiKedemm7g)RCMZCrD@dZabFxWDtc22l47Vq z53}VAyzEo@Z))kb(Cw#)vk-MVsy3!kt|H5njZ{4`Dql&eWGGt2o2e>OsjA~~Tz!;R z98O`0XV?yr-nV@5($OWoBa4RuZ`(VZ3P@3dxT*Ehmafk)VRL%o)`-zwL{Ty9fqvp` zd9q`Efj1 zrueFX#dhmqC)kR+Y#7prEr^`tUaKknth>X1g69-}&`I(4pUs*jd~Z82;E zy|)XGD1T$mKa}w*BG0*^dZ}ZzUaDi2s`6+GR>klJw1>NJ82Dg1srGC&W$eOv8}L;2 zcBRxog%@zoj(ZIm$RjDe6rXLNA8!l(9K4ZDad*pJ$UK2~3-Y{FToCM)pm&nu|3J<- z5AkFmwaqFdCJBQwKJzRe+o+;bq*2Pq!1A$8Dmn#sho~JQ0Yz}2D3(FgS3uE$^ofwi z*ya>P!CDoVXZeC)hlrxM0S!0fZnaaTzo?`WXR4u^8oXs*DAgDuBw!~Ur$DCGR7 z=0MV=5ogVuIeo@JZ(rY{{#mnU%$zYWy|Ho8?7A7XjRUj#`+9>eA}vGT+;cC7szDps z{zH3L3@jQNUK+mOlE+&vH7iy^826N_!51Jc|EN40ub71#P*M#CnpttEtDXh-JH87n z7WVC@y{%Kz-?yq})zT$skeY%)V+!n9Mp_?C;619Z=*rw=jieY32nH}4zbGtYK5$Pe z5)HJ_U!f$+NyrFK4BUxs$Ek~A=wj^p7m#v#r3)&?$QH*S1yNDPD_O2|#qr6AoNU_X z&c*-Opu;s}Kzj=Q3*e{9;A>>?GiC6z0H;0L^Y|AVY?fOOgurqa0&W*hPhgLeDh>BR z5`>HKe>cRs*|Vl&=r_!qJ$vT##)g`@88c=z&S1BYI9#t|{M~X`x1O!PbI(!Nb4((?sYR5qdwMV~n>gidC>R zoVx}68~!1n>8Y7~)0|vJ$&02o`JLdv8F6k;E*HhAV>U&Nhtz?p6z3MG;Edb6x_`;g z;6OS%KV7*F_l%FL!@(!UgyVw7Ohgsp)HnigmXEC_I!e!%89`IvNVfu@7#FVb{k%W) z3;xg>0rmHqpSlH?Sxam3b29h~BHjNcLjT=~20?5;{{t1Q3(kC%G4w%h0=|R_p8e+A zJKLIa>E`yfj%==}JL|Xh?ShVR3>A|iyZJ-YZm7*+rlOMdeofvJP`0ULE4}IgrZ&+B zibNkILeBx((N{Lr3n4t$eyHABJ#T{_iAqlFO+DT1I!4di@V%(ytUBhmI!e#mIP{@X z(Ek1qURP6cbycbKg);a6;Pg5#*~iFQJ}86#2ykB1H05&Xj;8K;UAoEiDGPK#7Z(>* zjqqVqvc7c8>S)tE^9W#$ZZ|<6^@lzdtFP9epf}@M`ePtn1I489;~}=Wsk8DkA5Ezz z!UlW#_>8SCR#-aR&HpQjC5FMjSw13-~Jld(I}EzQkE@T&`pEybZq+ zc-efjD%8ODL~*qce?0K`^SzIHf((A541SUfemdYhRqM<&<+5Fvto3f!^EMY)C)42! z8T?Ec{45##Y#IC<8T?!se7y{Qo(z7z41R$OexVG0ksEGWVJ`-h!^v;O`Knv6ZLHoT zga6E_yQ$UBIFUBBpB15Blr@^`BRKdj5N~nAO-9?%4YgVA-GFm#Zp*Y}d)oBrT+f?? z*8+_{?;ALcGUcbc;U>*z07|<|GoJCAkTZeApS8^<>?{Fx|4?J|oM4jrAt%!2AqN2M zaBY*|4+F|N;|(ek@IV0Z=VjxA1lT#idbYLM4YgVMVHx}>z{%e195&(8uxEz#(~TWv zL+=-&8@$l9BJ=@3J37p!`k)N{Q5pPH8T?uq{KtUfFI87xGks5_vB74%$E6H@0tOV| z=d@1%&Al>tUf23PSbh>L1m5$kQ-9O^_Di>56ZBVZsIAlf7jRmwdqD?pyTx`o3T-TY z<7~DK{o4>-Kix<6??mX|11;$9=S0GLaGE6$K0k!l`aNnYct}5ZyoY)L=O#XG!6;<& z&7GOHOuoA*R}elc?(PmNJT6=O$o$0LC4wbcF#!cWM zvyRU8*3PE3wrsvN-I4X$S$rBe@_|lQcT>Klsj~&y*Z?x)Ty}Z_Jl25+H&%9`ExAlO z+t$>YiB$xJHeJp@rNGn90-UdPbhORs=}LFyn&x|HaW>ds#CT7z=LqrV3i0cO`16GL z^M&{eg!l`APi)X$lq9o@lVtYsB$-_TW_%f@qp7PaJ3o`|%5-M^t_VK?PMqbk`R+_- zKG;E;pPOy%>Ga-1`blsMc8D~0%T)VRVvW2sDU~iuOr^^c<8(z*jk_{2l|G#qr_UtD z>9dJ(x(b{G%igtsm(CzoG+bzkmyEVzC-j*b@ZzbE%+mqDo z4lqmh;_*&!#GmINzY9>ojD5EZ{%w)&-;)WyR|daNr2G9M^aC>09~23HNQAxz-nM7_ zq?SH3+dVIRXr}3qbO)YEOzY_YU2K2`#&eKgK|qX0>#va5;4cBodcXTrFN@Hx#H+5G zz^3}EGB`hBfPMxHj&+vVkuK*x!C12U_5`mxzRa0%-iD<|62}((|^a ze-dE!9`Ou1PS4wTd@2DRmnOjDGVq|DGrd}Ic>)?-0UiQZxH4>j-{k*kneflV!z*35 zJ_|Vhyl;13CB$D1{PvLFF~6a%wm#k7na;G;)%k58y9T_tqosB%AQu~KW8zvli|gbp zu9vg8LC)fHau%PLv-pCX#f@OW+uOmLPc7N5=JxrSPOse(Uj!#ES6=%Yza#;FSpxnF z!1(hX*}2(FOS&uDiU)_F zj19KM`+G9ud_QcTpIbc?FTB$8uph?5bsN~4{v#Ru5y1I{!EBzJNxIX1CU_KF{Kw^E zBJ_`C26$Ws|A|QVCuG8(1l)U24`C;>U@BfFgC8e@56j@o0r&UU5t;B&8GMBdzETEX z1-QTER?CE+061SmFFx1OCrLeTn=nsw>%cK}3BI+;J6!sQHIGFBpL+8L;*KgbEW(#{ zl~h9b%}x6+>JiMHHm!MXomW_uQfoadfjFwr)!x(DoY8Bd`6zNJVBDCO&vf&B{X%=* z{B0HTisA=>XIc9oYfT}F8UiYqQ5G#SL0BGz9S0ctB-JpxvA&@i;4px$5EZr@nCe?L z0u-ODc4j(qP0bm+@sOXJ%VJ+rzSX8cRQM>^@M}gr9W8h$>&s<@R})rxp;iIq3ei@s z2Id_A&BM;r!kk=t^C5+frq1rH?OTGB5#@8dhwKx8@o>Qt0pkcR4rl5U4N?6*>L=?Y zz{sk)vGp-8)X83`Q@l{8dZE^Mq1Jh!&IHQg9CQMnTkw?l8SMkxRc@t+pb~#R8!UN$ za`}>lg`-FNSQ{7U7KAcJz3m*Z;GKlvcUmnx*n&O6wM&0nh-(*bg$)l4jTDyk4v#EW zKSfAMMA;W7QpD+1*&<~^bD`q*_k(GbYhnRA+6&nKWSd$`m}zz@u3C9;j1477jiR`6 znV+Yapv%w#$7ys4RC|Go3m?0*4j<}otetNBAU73dGtes()i&~2 z19c^o0!*u42Th!cYhl&RDXxb`BsC4pu63DfJ=FEo&CWHEE5CYUqw*C|KmT;Ch$iX9 zRk3>acCL)NOj=BZ;_6tv%;E}Z>>88M;wo7+vba)KjV!K~RU?ZlX4S~zs#!I%xN=sF zEUupR4m}I&6r8=}O;w-OQ~426-V!9s`r4Yh8sjM%hbpNUwM79(__7Tm&)>&5q;rc$ z2GW^@#TAew(O_do+wn)V>+1Gztlz(WW;&h6gQd1Pna*@=Ag9Y1w}S9-d6GSyYWLpvyAr`7F;%BeW+Iwadsz*~@+e1`Ay zUE!J#?)g36<8ew(?W*v6tz{FCTwdc*3wZX zD1WBRVP+HbtEiyH75`?4)|8uorQPkHl{ZQLnh1R}(Efhef+kb*1M>K-6K#so8i%Y& z^SpaVnV{`}+SN88J3{0hdz30Ueq=)ayIWnVM0+qYuZK<){?BL^{2Vzo1mxrL)ZC3&u~L+-E}75ylvglIrd~J+gf5K?ZDLRv)l@p zpl7?GHr>w&;f)=}3uhh9rCbl|aNsyc)ZxtQ;X}A(u^kH9viL9ypHf+$mnstkN@(ki z&rJ?ZlY5~+aEGv|E%@e4TTc$(SzplX_f^CDz>Un!bE?fC_lwXEh|mv;&<_DE@PuE; z;7`lo&&c4<%HY42!CwU2-{bgu?1Fxqf z0GIbzrK0x++A+%6thoCGm!UAYy2{`ya2fM$H!4TGipUnjT_`M9zF3Wnj%HpDcZ<;9 z7NPGEq5oTi{*DO!T@m_wBJ}q~=zB%z`$Xvbfp!cOo2xz`68@kF{g4R#16jj=C=&j# z2>pl%{iq227|;%XQ4=dDOF}IwaP4P(KTTnyQ(`?TDij-qE)s}6$}QG5I*taM^?p}o zi=Ai?#4cgSxYag6k3|JLX*%AnMG_lK*d?LZdh_o}n4n8hVYieCIp{>%dT7XrHpyLv z3SVy?c1pGByxfU4={$l8&rvk$Ep&yy(3SqsRsPV`{?Oz7p(g<9>rW>F>oQ>n1 ziXU^sK@mGgo$RgiDc;Cay^(8x^c*>BLujt2>?II2yMiLtMyH{IJ4Nsr>6V^meB&+C zS@lnLo$fDwoj>#pf9RS1(6a#Lr5m=wH07JCe=v5o5Pyykf36U}UWh+Wh(8~A++R=O zHQ(xue}Pc=g}?_BD1NvUI>ZK$#16EJ1lWrO*iQiKH*tT;8+mDnY@8KqA{2rk)=HO! z$Ohws8x!(!Z{!srvU+W=43RUdK9BvhH}W$;;xARTfj%3Gtea77r(6|^Y^)Y|btuw& zal)4HB90!ahikl%*Lowb^G06pjr_Vd@*CdBZ+atd0n+yb@>T)%HgAn@2h#JT>JD$A zclryx%MG=iEI;b4^J8wopokr-Px?cj@`wJyANsUE^qCOq`K;sjfZG>iTkrnGt+x&S zS2r9Kv0eOptls*Q5)<-8f1$5OV?4+18vu1pR5nw+F%<3D&2I|D+wO4L#BU?Szd2Oj zvOn4;ep{jVw}j$7t@n1osb2MG4etmATiR{%({}eGPqoSkaj zP@B#NyWu9K=K)IArKT=g3Kzs{|3BFWb@ z{`QMSS31Ym0*yc0r`b)$s`H1|`$HT2p^g5~>Hg3efcp8x8gJxUZ{%s-$ftqy9e029 zmii|k@n`d2+u(WL4L7;}R%on4vI)9_8){Sf2i3tjap>Vz6xbcoCj2%L`dcFO?La$B zZd3gZ8T`(wbO&)sm;q-H=$-?d5A*O<>MY(CDqt6{*Y^$b;KP??_`X`9Zuabk5+$_* zn<1Z&wdKz;_{)IPy1C5!OwM?(+@?R>pY=8SX$>yP+R)phQqYq#L*eF=K%4McsN^i$ zHMhB^L}Ts1hM$c}f%5xT2agVdIy~Q_c7AQI7oi(O=;jbzKV36)N!DidHj(VS2)!^w z`@M585TgBlZD9$}7_IInT2V)t6OQpJUQO3tu*zVB@Tbt)h87Mi=~}#WTGNt|f#Kft zUYzwlSki0S0Trbl?8vcy%;2K{;KuSQbO~@{|5`=pc_MUHg#L&KeTWF16QSEGLZg4C za7k@m-M<7MKuBljr!(v`?g1GOu_WWw%uSLg(`|ls;h?`0pKs)+1)1lIl{Qip!V3G=%HtzcOcxv2)LOuAGuZ9HpI^39 zxK&T0Zvzur+p@b;Q=b0Y4U|M%-s9B6ZI3`xYiGYV0V!@hHWdmk6jCqjbMmOLwN@_- zcvMFbxVE*keHBZB=|<<_Zhmk&u+jD435ngug8L5czIAKr=3%Aa>6Km6B-S~e_}QgY z=$3AKY!(z$(r%B5@zd9q)iZ3A=1vt1PV|D&u;nT&s?cqLSbI%{V$g}%;FgtiC zrbCiA+Y0QVGj$gP1KAC+_KRCe8HM}obG_5*yx_L?ONW!fJ&y&dTbu9Jqu!~9`>N4> z*7rWAlwbpfwJq+}P7FnbtB2Kb-6JZA-cQ0FY=+&F`<)bSJ@~-SE@fiuvAa_bcU$5q zxXFUI-WYz(+hSJ#2zVqx!RbFRok}+f(~Tk}2~Bk#!I;wv0=v8)eKDV%g78cB%iLEs zYzP)j6g-R@o_4p4?`&O)n}Q#IuuBP^ftNAW3)WJ9Hb`|gk4t>)LKavl_Ha_T+wzVC zcs!l}54WZg3R<4wYBi9L7&}fq0_U+C`5;nSyFsFu>isQ=N6@B8ixo%qmejYCZ7H3k zC&nJZ70zZcqBb8*C#L5nM#|)joBXJBUn6fxR)5}kmb1H)!hL^iVn%~oio56B^O*Zi z%}Gv+xiy`nNPc8b+H}&U;WZscXb|*E^VgPtxaY#xmOUn0TkY)NUMrKZX>bdN&Kfvu zIBZL9m?h!KN$^ObwVMi$OK51T)i)JQwJknrJh;*g>Nr#(5QQ#yU;=&UCBMd z>i+t#qD-H9$#wrUiGJAyDwGWMfT_M_yPvBkp@;h^pj(Rjy3)Oiz&#i8V{`V-e$`3g zZcC0+zlTfdJ!h7p9QXS#hMpV@3rGwgWjyqPfKo3Ao>%Gxz2IYaDYumKRnwJXQemn`s%uqaYX!x?=G=cXWy}#exF<3o^=v zWe_Vxg{r%G2q}Uch`Q<(Dpm$@>rnx~id$3nvX;bCV)wG<*0jQ{JVKi$vB@{^6QLdxb7AGS`ldqRK6N^d zB%CVLbTM@k8Dg7?2`BX^JwbEZBZ-0RmSUz*p8TKO+QfrEA(iR{!55eHf`DmRfpAia zXIdu3qrk10&NMKCUSKw%ve?E`y-W{bL7;JU_Sk$HxA|yVc2N}Xbi&TweC!e2TiWQY zO~S|(p0Mg|DZ8!=PK$L4kB)LbB~IeBxJQdZBPC~4khlhd6=0bjd!fxc?YOSpKdcl!S9&RIN$U|p3Em}}EJc8!ykTME) zLC}>#9&RZCh1&d5xP7bomRoETRTzLzuiRUpP+Fi+F2%O4S_-|eUALW`IlDWsb8%+2bi>0)sFuZ~Qd$D3 zn$-AG4G9_zi5LtCNo{E)g0+~CcnLMW7<}^bMj+t<9~v*I0tw*%|GQ@|-EAj1IlFVd z^WV<@|8s(@fGuT=eRg@k2NUBk*24%Z0oO1c)zDp4ceoXlWM0U|VWsZKS9c3w#!;3e zbxGs42}lvU2(LcA{&-|9%!sUnfx>JqqqJhy4lgEd-Ms)40SIPjb0jOoof1|Luea|% zc>^)Cn6VXESShWa`smA<(ZYn7s^q%DEw!5~N#lLHJx%^4X&4|hY5}+z;H4>ec5%2B z;AJUTS{&XB@bVN4CNE}2o2T8sA_ar*i@_}*c_mv7&};3py)Y5H2Ih>Y+pcUxRPuDe{1YHlzhg?t&?yk2}mYo3$+gYmxp zS9)vX{X_n8QaHE&Lku^`sz}O`?2r!HbVpKkZmp&aEG&ESj^Ng1ayFMNIqV+bu{G={ zEX3V6c1}YGufsqu&=kqG)sU`n-C4{2gm?Squ2vSojE61K**2n<%t5VY8aj{_>?k0g zPWk@@D9gN5I=iZ7M%Izm|H6MALuojK8)*)IttYPM_GHbfB)0)(v`#lH4a&%NBpnPu zwvxRJYd5#nmt*mv%t{y()EHJ=2D*Vx1Xk%m6vbx-x{Bi0{Kb*0xQfXoYRV29mO~0% zB~VSr(Q{^O1Th?3Ab&ul<5TkP*ilR3i{-ZgO4Wx~y`0M^4aFwVQ}3?r7Y} zupUQmKk}BqTna;2mCS0S>T+tg6F`hYZtbR0*Xxxv(}jFyGp)<=CcX)aSrps>XZ*`Ts zPUHEa3Sm9A)HIur-b|N;l8ZME31dWwD7;&h`5r2fpZ~Zw4T4CTX!@zRB?RrIpw-@c zi1DJ{hoEMMTu4BPZYT+`h1J4BM{!>b_BGUs$A(}C?gR7S)|^yq1vq~yCJbIM0o$m8 z3kHUY(Lzi@{3@Yd6>8j3gDSV$$+q{~CZ}L2BXZEARfs}c1)Am2>iP8?pu%0T8x0WJV literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig new file mode 100644 index 0000000..6c0af65 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hbdb.sig @@ -0,0 +1 @@ +c5eb7f6cdd530884c3b884e0a3668ea4 \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..a5ffc6186545793bea2f91472c7e3a736f827119 GIT binary patch literal 9831 zcmV-tCYae2000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*D~Z0RR91008(P00000008d`0000000000 z003tu00000004La>|F_%Tt&6+Ao6g1Ao`R=Y%nMa2{V()28h$s-80kD)7^CUOcLIE zHZ#ct1IbLBOhN+4zAs@Fl}!WzMMVWfK$gf;WK{%2P!Z72?|m-c_Y_w)^Zvj3R(IW7 zeRI1`HyR}MbxqZ+I{!JRPF0<%yUw<;SnNu~cSXcq0`>8bW0o^&dYwk`pYGv;bSyW^ z$XiF2lRu+L$9faPJj)nK%QP&Sv?fn2E6CS8CeJ+syv=&%NfRt{J=Q#!>b&L=EYo%k z(uI|i59@T)e9ctboMl12W|_%@dDiXirHyc`XLu80YHabulCjCu*ouj_;ILZ|0g3I1 z_&P)m@f6}VThc9d*fI{b%!4g{AT|ISycu!YJMc$`f|n^HWn>8E%`#nQrV14JvlWgR z{|m9|=XZ+jtV%TD8D?%whI9&% zX&t>aj*gg^Jp8!O8qzB1(=;tPu!N}&X4zR@;p>qS&0{mN+OLJ?R@aLqs`TGHVuDoo zw9Yh2|Bi;Toc&3-8L0lW*cIxpSzgVPnhUN*F(nsv(iafpUgWWk)4hDKj>n|Y^_o5` z1*wvI;`Up0Q0`5LG|(-GI^@ViD5kHuNyaR z(1n4N^_0)BLH)J=Xg;jjU{|Y>W@#vkZQGzw_8+ZJRJ+LMv?kj1kKnTnbiw8gQ>h=F7jxIJPD zQK|D!PQL^BFJLOuL>w5@NeBA3^2ACW-U*VuymB^Ub5UQa2fKkToMV9PVb~RMY{^Jv zbYf(3NoC2{s%3|c<6!mpl99=El~s#J#!1C7g-Ndh(OLs8CiG%D(5UogNR*${hrc1Rp3oN??Ga|rH+V`~U< z9F8onEE}I1J9Ki{)H=|p`N&a7{mfCA!!em(hG=>v=Hr`)rpF8BH-&4a&eVhS=CRgG zmo>xBq|vx$(nhkQaKvbPn6$bqn3^=q>w1%qE(@m3&;&6&4$9QWtRqiGU1p|X<)qmZ zUz3NdZW+rs6FMDbn{sHxAeo7e%Ktgp#N&)kn8U$h+LQH9~s_>XfN#R zR*X(8XZ0~~m5nx)XP}PkxXf$Uk8CX*3EWQJ5ov;^Bz8Swq$*R(RxBGol$_RtsB%8) z$ZiKjtxDJ`!F?}V&Xh6k#l4a06+@>es)b3&)+Zp86Ku}ra!fm1gg6tikuBmV*HPO+ zw>QjYx?ZQ6uRhlNnCdd7`dFtdYb3+0XP)7$h#ZxxmaSO5d}M0b#5haGjS;{WZZ1}T zt>|$vY|atJ39Wk=*;+UZ{llvi3}KW0a@29}Wsa0~*M zy(qQKbl`Q2;-Tr7l9sbHj%bEa*pqi38RCd3#Mkl~%|5!uvq6}BQC$lkh5fj4Fi7ln z9dMMn9x;wcnL0J)>%KJ08qt~cIv+*H`c|WsrS;rYs%gVgtxH&aqjJh?RL(N;GxcGa zKGqo0Xj+}>GEK9obW^mfGo#5T3>Pfl6h3BzgE1aCo)|c$QizgqxdpeyZd=C9migoA z2k3jQM|=aK>F4Ng^)d50B3lc?@Lk(^N5s3z4x_&Tyr>r=b%=XW>%(L742H+L4%u2L zLch0qN8}YIuU+MLL&jeqlXrUb*;!QTsi%@0u)N34KpySVOY^x6Jn18zK%9oiGw(f- zc>?hkF8%c$Eyn&@G` zdJxI#TtvmjM-j$GnNG907pBl`PD5U?=>R{)kcJCmqizy;4;`P%nb!VGb9T$wO8CyCrxx#zAHHT+hXRbK{!eTGI zyivO^h1|p+D$fC`nRy&g;=+L^wwgnyJBt_5s{a6sC8PTo&+5)xJi2z~+7-*uAiWrX zCM<00RnYp?XGS>I9!BI`OS)+CzEO zuos}5EWL?rB9~03ba^l}+iTYCjS5+NXNZwA#mIetY+$HuQLF>A^a5;@8TJ(m-494| zOy?54*|f>i%=_dTE~JPGda%BsY}TYV^FAyekM+VrRB$axu3Nl(Vtg!~8ICt6-)Q{7 z;L*TjS_D&{cw>rv6cucE)%%+WGw(yg_v*Ss90m7iU@Uf>Beaf;eaR7O^wgIDl}&}8 zI0`lNea;bTSm`ffegEo)G;H)YH>AX@2gh_=Dg9+1KdO! zEI%wp_5$hBFNV;H2hHXe7^S`}&CqB;I0R5S=EP7r?*kYIVb~F1$+J2p4S+8jh8~r- zcd-ZUoasB0F~x>ST_T5$0ZO*cG7potblefzQ@6pxfbyC^BAbmD66JwXy$YKpHpB}) zQyG)shhTtTVBUoyH9Mq_IBIP`AC*HNYpAcmTlHR}N$?{erIX-s51ULBo7F007D(Y5S&~D`a_Ep8IxL4SkV6;Bp%p;U zwSo1*==f0odzf74;d1C|KzY%CQz_n;DVv&_`LJ2E26(O-9r5v1CcT*t!yW;w+<&a~ zBuh4J>sr7b2`qk1Uqdu3ag-c-v>bYj9C`|%+&&f4iEO3>M{6|3mksOUQ{}QxlS5CJ zL(h;y&y+*Yl0(myL)Xip=g6Vw%Ax1Uq36q?7s#O(0xDY#zAhJfgBh+3nYG`w$5|K8l!PvPh+G62dk>@yLzn}9ycO_|0hSHKCxGPRQ#qa+HhII-XxEc~3rEJYVx4~> z7y3&%^nU@RrOJy6yc}g1!Iur|-(QQH`x_70HQOi1I0%#ZTQTx?KnnZyIkC_oY=4A8 zhdpSA`>m3Sqtu?d;YR@FgI>JdU&-W>#q?l0S59OrE!?*pbyUY#KNmYf>kWyW1gL`p zF>G+M8`4nsl%|kNXC(C+xKcc=jer=}H00<%h~_d%BX( zRZ5A$bYBsFD%D1SF18ZA7%_)P#=d6Q4YB&DOJyiGRD!0(g_UGBpFFU#AYVK%QG_j0 zB)rOvFK0!em@cJ@!)eWVVRE=wNe(1(xwP`0pO0napxDVpaYNj`n&6L&L`UCj78JDUWY_mh&9`eRCD6H3{8-S_wJHMOmz3tgo-9qCd9_n`6%swNpKd0yu} zckcW6xL28`hOlZB^2KsR<*GAK8NVj!es@Wng*B^(^yLHYYP!eR zLqnb*;E<{F#BCO}I#oSj)mzo3`U-I8N><1wl4`?HN#x*n;n_2}ep43n4dtQGFR$im z2QvKwmFkjH#wwv);W*@xjqM5>G6&^!#Cl_3)jZs5)gINwhhDtD%6$UdF?SnXXA5H= z_pwi87bF&yDp-6f2Q4gAyzT%=Y^5V>Jk7}W|LdA3^VxinoIVatya(2amjt>yW?K&m zH`Ek`Y8k5y%FLjeq4j~L%)83jyj;-hN_t$=3wiq`*Ix;+p91?G04S!_cu}rCn@Ki3 zRsiJ(3d7}P^Zl8szbU5sv8<{_t%g)SJuy^BCCb(H#ZhRykx=en0_|JlY`(zgtaeJF zm>~%b5bUaD{ZS zl+PuU!H=q=@oy%^$nny%7^iKQD|Dd!DsPjqI!JNryeoa#O;S*~yoSLs#f z9Jzd|x~iP&%-io3ymK0Ce&8xA-twr1F;s}14(=h7jnxdCIlYLWjBUUROGs3h*t#hw zVaBulu290O+ZBb3B``OdP?#{zA~PH(haL|o+1Zm)3!s%62|YnB^h7|n2V3k1;)zlm zE^R2AD8@6+kL{iWM%?LnjNpQB-Z(gjB{q&_NVg!EhPCKqaN`a_F91QDJ`Tg5(jxv; z;Q4k)3HoQ#@ywui{-NcXX_yYDfroGuodGB}*@eO0p;Ek*O$@8OvME*P!=#@H7MMIv zXSkZ@SuJ4CZUMW#1?)L3V9#vFldfW%ou(|bVa8r-V;=Dkt(r4Pzu#4NF$|Y@4 z<V z8ld>lwfMSBu2j6Slwn?`gb{$pZ~$H(aj|-In2J(n!iv-Tbf~OIdnTYbiEvU z4xq9DajsnGd4TeYm_CCwEokP$I_P}hdF`;XBR)8Au!%79Vdx8hW}S6+LxaPB7Xm1= z)hB@z4#tbbLO%s0ez86T-LzmD*3?e}$Q~k=A&Aq*VffFqh`+c+{3XD%tL8duerb!6 zF9TlK)t7rpyI(Z_tX$|7jiJpfCZ7WoKhb5`D_g)`1?+Z^TNs|z+0hlx7vt%{PJG5o z56WN~Hb_4YK71^;vjX;}Av1zcF?JnXKQ0>3H+ehonUL=*Lf7O*$AfW4^&>^EA#-rNHA zo4~fRYTnW!{?-=px3!4>7VyHg?{+|Cd#gL-LhqDA?~+3|$f4f`ROEB+29V};KiT{) zkngAOur9Mk0w|w;&ETnZJOLGVZ}OwyAe�iIG2&H~4Wm^v7a-pO6cE5>U~^oOr$1Wv-M% zKQ4z(%Aue=o&fn2sv~upfW41lM6ixP=3p$cF&~d%>tP)=XP}SvU%l( zAMe(j9iEF*P#VVzXF@8^;8rbPQu)~GQC%EN!<0S?aPHUmKc$<&x2n0J7hhu{yRMFz zoim-UMDZIByw_Dl$Fs|(#^UKEwRam(V}&pq@NqW2mC@O`Z+F+eU325{98QM@d(*{u zNB7?Gu8yuQiqRMUg{B#_b4$KtzD0@1GcYxF@5jf8DRR&Vo z%3waFHmU~9m-VS>)Xea91%gjd&h(&y&p#dsx8^XK4SkOSQaGBAZXT*!p&>O1^HFMl z^_@?nrj`veaLR+?wZ_nDBkvU>?-L{M2U0lv9}o+DP>g&?jQqa5p+67{{h=87h#2{( z82K2GO^yXn`og_9;s+x54Jy8;JIIe3@S`x!r||cIi_9I~FGfBfMm{J;J_KZw#x_m> z0E)$aE{8rXhd$#7?E$?Po<-`?R^vMEuNuqA>x5UWXffO3*4mtGBru7A3EY?%EUI#iBr;*J+P-zUOF8QEX>MFLb zaqLh>u|{h@2B>T-EptN}Ryxd4U8ALk1Io^sjmNr;VqX>{4R1Fr_r!KJ`E~W4I@c9| zI#|hQ>$n@zkU8OoG-R#>(rE(S2D^ zG<2dTqk<2I;JaC=p=3FZ&(t{oQ<_uc;!l-BPm@DWmqX70lzTlq0h7R|qf_tX_TTY@)y<$4LeE{X2Kt;QvU85X&6g!xo;?Lkd!;9` z+ed92_<%k2imN=4O}+wQ*yr%~(7PO=hLIk1)7LQ4V~#?Nramc$J|&0# zTn>F&4t>UhHhUq|=$qdGDqDpADA)H-j!;A2KR47j^fIb3mY#PMYK*1V!$QKjx(%RG z$F!{{R?w|)@WeKK^~x~R8(pzR_q@qdS@1aYn_a~kD!;`OE3niKfa2Hew~P#-Z})^Y z+g8I&?*LRfDt2@fYmDQaT*Vr#-5FT?nzfT5_1%DR^XBk>Wqa!I-CT99Lu0!))H&2y z7|8cEL>dHYr(4^S8g|;-4Qa5P0i@8&bjYDSa_E4#sUMLGy-p7Ok{tSbIrQD=Q(?F6 zDu+%76gms0>mG8U?~_B{FNb~rP`q*!TaZbW2P(~-@EX(ZgL27x0V;Lld$)j{0j#WZ zXNr;gG(NS@so_-Kg*$8p`k*l+smQfZyu_iW-!duiHqN|LD(fm&JrV&9@71P z8V`zP=ETS)9@713yD<;x{$0D}K;o1+`f{!s2tDU@m^f5IWZr+T=xaL#=s0(vg??l0!zZFB_)td`E>1ru#MzRfF1S zYp>Tm4(}fuZ*Qj2Sbmv&aAL{W^3t*uGZM?E#wJJNdvcI|z|hc_^;rO_>(B>o)XzZ| z4Mp1D5_+H|bfzWrM_NK3*b+M15_+&HG&*M*ycpJ)*jUCTF6sVmR z2^|Xv-!ues%1>bxDDkEqZ0M$$s&Cks5ZZXt5JBT;yiGIZKkD>RSoW|Q7v21i1er#w z&R4^Idhp-r_zEns(M&DXEy!*`Dcqv?#9EMOJ$8)bVM*ctj@`6aOOJLGa02?oT8$eG zQnW*CG>_<>i{{Y|3QG^QMw;_BS_(a#&&X;k>c%5lN;Cz%vQLj_??7klgG5VtMJU?Q z4X=n||0Jf-?m}($%`s+8bxU>2<5~lTYrk(eM2`=PspVlTJp={T_z>`jhFD(Pf~*f3 zh&v>o#R|cKXw@wq>a5-DTWjxNb@qFMV%6;nlZVw7L4m+ITEijQ9?^=iT|TQX4i;EE zcqPXN5$Lg7kcTBj6>xXN-7>?kxRY&nH#8P&N)a?p!1NW760JuxMdLv?ioV#l+AXmg zaq8mOFMLx@^{Z+&^0$zeY4!7i1-l0eEY;s0N%43jg~haS!BQ|%{v7F5dRY3tfXB8l zGCab66>m1~!z?um8cX@dQ*5@QaC0%x=AuXR@+#2u6=5pSLjZa83|wow@sCmc&q0^7 zTd=^|eG0n4f>@2S#+bEZJ0_@@#dJ%-=DB)ow*6v_!p&CGf0AO>tc=z}ZEnmRO0joFsy>rxgEL4nmci-*7mMKiUe2nwtrWeqxusijzSfyJX81<_Zn z+aV>Khn@{D1|16j2cQ~-nt~rC@D0mwrplI}er-`$Bgmoo+Q@%$cS;UYbiZtmzG<~9 zEzci)amSjbmg<5_uF(@Hx?cp2%~HJ`1(w_5{|fU>!d=_YbK#omQ8*dAUTB$6y*f^E zJ*2{!Zf+D-TV6e?TblkWwZ&@OW?Nvni`RHJsM|lUxHD*nk)zir%LQBOoM2d5SJ0wY zLQ9IJhsD%_w8LT+Q>#EN8g9LBwZ&p;6CG*cVS&av7TnQLrYP8ii5?vKy?rf zEGbrjrC9VO0zOFZ8_5>anp4t>-5)$Bw0KxU>WrWi>*+yjziv%at8woSGPP6>FVJn- zR^J2GMt&XVI%{=7IFDDJ;{O2v0RR7Z0en_#Y!p=#zAbG9f$}Jn7ATfSv8}6?LLY3` zwzD&LcL#PJ&dio>_%RZyWihFgmO!c|H72GS5;PbhH5d|-+S*72E1Hn_h&BE(_{+yX z0tr9x2l0_AkN}=@cJJ(Nce|6^+}(S=dmi6C=iJL#5nId{`}mW=08FeI##$H=CFmNa zqZ+!a>JGO;lFWqDthS&>% zTR`(Nwh}-ux8Lc5iNLF1&S2ekWh1(rHNooL1NW-&*jB*6T^T3@m9r99d}aT|BQTMw zwiGDLb(iZg%?(ARa3Euw-;XOK^Bo%)O7suB*jJkv817s_2Iuyi#3YcS^#yAB3=ryG_AWn?>&4h|r;l4u4oeTZESBa^*#L`w5#2QQ%%V-ba7 zM-0m$Ev^!*B941cPK*lYM8P?6lLMfd^uTud5QKoC;Xc2AX!vMipnp99&b&7{A~aM3 zfX;UwVVEBq*tZ}Zo1Ul;=uHLCZqQKT8!68KMIUb43WGd2av*Uukl~9j<9BBb`wDP_ zw?CZ_rk22ebWDz@<|fiQGcfl(EE3zI1HjR$PTY;EK})jYt|_V36U5TUJM}jZjAV+` zS2!k)8`D*%mW_enyRWClg+0-8k{UO&7eF38b@>;V$YLeT=ub$E0@d8)y25o?<@S~w z(!GLAa*8_|w=yiUvFrE!r7)Ml5MHHH6;a!A3bHF@HMbzWeDwQe&2%B(oS2=bFzd+B zYYnZZKovzI8o3-bQfZc@qZrSp%7Sx&4G_TEctmlWA;H`wn^ml%Bu3UpOO5MBzQE%$uo1e*WXu6=7X;a*wy9 zqMbxq?X7#r7d;~osM%o`5>TRNM-sS;)q zFPMO>RKZ1q!zE}Fy~L{q?W$1Yjv7+A)keO(+crG|BJxcH;rRw^J6vlgzYv5UD#Ub> zLJ6Q6J`gf%O7S&Cs{Do4raps*z6S5 zx^NHmWnDFN83w3~X0P4GEfk9AS*f;*4nCLD{zUc8Zvc&*6iZpz$OEBIT%X?io+mB=n0g#hA_Ja5OfARC2W7vFyUK6;A` zh$jKPY~TqS!?%bn=Xjp~HHE1lHlDc literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.kpt b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.root_partition.map.kpt new file mode 100644 index 0000000000000000000000000000000000000000..526b9b1f220af9b231c8edfb2b768acd46e064d0 GIT binary patch literal 209 zcmV;?051Qm4*>uG0001ZoSl)&3IZ_jT>hcq8o9c2yTbYG-A;{Ogn<$ z$J?>s0~JKbBHY}263BROeIr`XTw%qg*cpK|MP*XOZPT_42Ez%-Nuw)Pqy>Xx&&l`h zp{}9;OOgs<6J;p#G}vL%%Y%SXnL|-zp~^h8>p3i>N0*Dh{)qFnx=Yz-+CKVt3)KJ1RH_uvOtodn+P LGj(zWhk=iKcrjmz literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.rrp.hdb b/1ano/isd/quartus-projects/DecoderDemo/incremental_db/compiled_partitions/DecoderDemo.rrp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..571cc5275b4969feb7033d09d9401be4cad9e8d4 GIT binary patch literal 11807 zcmV+)F5uA=000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*DkB0RR91005LI00000002u20000000000 z0018@00000004La?0pTGTt%5~hs|z(q35O#V@ECNrI+VWwxCKM40; znoKgmKr$026G#9@{*izYki``dH6pU;vWkd+fXLlNM06L_EV2s(e6IH{?nM{ZC?Mp% zZ_W9-zwUER)#+26FoCIOYN}4v_rBj(U)8BP|NVwcCiCuTnataf`ox1R_$=xePB>({mQ?tvF>&^YF2T7{ z;eAgglVOzY>NF{jOKw-zi_O#_c}b)6N*&Ycdiq!DYpD}i>7VLU>RqYyqog7qXH#5# zoPH@6+)-#RqinnMh@7N=X5NU{KD1(F<_aBDN#$J(W)R zVQL&q?FUoyKxQd?z&Py){A1`w>OkFG2Zt{GWzI!h^|aj)#$xw8^?EhNIYVaO3r z`RU9c&>~8+j+`$d<+8I8k!hKih%-khO*?#OcPl$u!N}uU3NuK_@_vT=C+Qk zuCzkf%675Dl+F)?2{Pe2$=K4Zs2mI&BXTrAptYfO}WtnUXmNFt+T~=K#^|hqruZ}jnO_p_0*tl}avM#D#%3Srr!IZ)5 z{u9~kZ4;^ae>eV9=03!15V-5&SqjAbApys$ZAbYaioHIkWPdrsqZ!7sB6 zHtuKgH{d@SyEBWFM;1{rZswG7!N_)XN^Tvi>t!2a)fZt{#6S^-w;;A7nkK!<>BE8k zW8qOcl{PUITi=HK(8}e-!4aMStQ=au`qbg#`b}#GN7kSwb3b-kjzjhZVbUxff3nvk z@Sy%eY_ON4j43e^$T3qkR7wo%Dgq1GWekr^B*utkvx5zjXqZTGm&`SGxWov}H6@Wc zF_~0j60T**V>nBFD@yxb0HTw%^_t6#^A>DBEd8Y z$tmSS`8Fykc6askx#Zcf@z##MTu*DUwYR;i*xuUL+BEKhaq^3RjjtZuu*!wygelm? z9j(1fi^FX>#NIBaTFz%N&_t?vv0w1*Z5_uu74BaR7#~T{!hJOg{%myW(cQovXdJJ( zJ#eDifx0~gP-@RHSZLU*wwOhInkYvOwWeuw)e{rv3(*l*FXeG^QogHF(O>UW?5#I% zHJ|9I9xv5$vRy6b+7?_~T8)phr&`XnT`wM&9;uIOUtGE1#7;@$7o7^9N93ua{1_{;`$ZKhaph9ZpS>);*rJJpkrquN~ct(uH%>c zfMpap*_JemIvtO~HlxVLr4T8FdofJeat5AViv5!T9r zG$2m4UV5$D(}NMnkvJW(x))JY3b&%4c(;)uZps}17yb+n zM!qA2@v_alY)f8Jkx82hZxmj1NLnouS57(BX?c;Y zE*Dvr>#!C3ALkH;Er{)iujl!6s{K>_KQ;b$-#oy%XVtWcLvKBgCCLL?3fE&E<4G<< zn*KX2!iFrkbgZ^X>f~58uD-e)w54z@?B)p}1AVcp=O5}AMq7EzRc)7S%X%r>l1h1X z3aV1L2JPVkXAFG4qF(J8h0XL6ADR+>yHbdj!o{%bzXe<5sFz*Ba~AA_ik;iRjcn#7 z#P=Zc7~&ns^Hg!-xhsxNO8j4lGcH9u7fAKY6@@9nAkJr=8zQj|s=f}1ZlsR|923u2i3DpUFwgR3=0PWsxCspq3FeK2(Xt)IY)d0b z??dcHT!%OvW%68=xa35XNnl={fT~bcZHx%bCNj<*5o)GT@ct*>-f0+IxvFw&3wnd* zl8Et8M4rC?KbXvY=GRC$4*B4y$RWFv5AX53^m(MbJn%cDyqxp`jmz(aUEW_a9Ph1DnO`E9igym-p ztr{6!8@zX0z{|G{XPgOTd}2y=WP`N)ql4%;AqyB#QVRpSNf?@Hr{E*rd^&68;L++O z;)WH28yhyRU4sV6bPk!gz>&d7?}G#*QTmI!1>fI7QVJg^jYhd$Lr0hq!56}~!N}9+ z(z|xZo522l4nmZsMhtH=E}1e`k7GJV>X`08q?N=o&oTyVmX#te<27y^KOJC*V;~=S z8M*J60^}LaMdWPQwRkc9M+OaNUWfCr;Q>G24}O6k{8B&oX29u>t^)o=2Ak)$0O8@e zs{pqv=P;Ggls&d=-_^*z8?kBOg1MMaX3t-^aQ@smvm2V`&08>M-t5Lk#03lHmjRWz z>NaivUU@b83PkNjRiiccP1x}8$iZlEt?-tz{`UgTopw=cM{A)i$77AiU~9oq;7H&8 zSzhP{FZ9tsM>uak6iWy-97h;Z$NQe?8~#3^IZ|_l)0xR1&M-x)ertPz-f_vGNtlTA1c2*o=iERQSj&8 zaQ3Xg$0NMA!)ZSmHV5{f6~XZPL=0O3hBG1<{@`Swhpz%dl>Qfi#$U!9wj(v6dIF&O zDDX=_@{qmI)zjJ9k!|bh?9O+z_T}TdlkSkl+!E24gJEle-RUG4bZZ3s-O1nsG4O11 zc+`NJ2{`^7qgloiC3QFq1?-86*ITrJ9*nm~H2zqpdNTB&?KC0EeIIl(&}e!us`-mh znV|3D+FuOd9bG5rR_i>q$H7lQB^QI%{=P1a(fJU*rChrlmE@in%jNRQT!=wc52Dhe z{VM`^Q$xjRo>E{2S6us7`oV_)=jcnWTOA0T=GXG!6!4a^U;Y_zUI=UL=*V`r_ATu# zSK-x6HYx~^iyc0Xppx~KQ&$vvRm86MD4+?tek=BzDELoOz?J)d8fm+Ncsto$KnhlcXMfvuLWX8nJDNp*rp8}lJ>8I4E{S5ebzmO`Hbqzvw)|Ll-F+0P62PB2@~O* z6mVtycnY|vMtU*eJk-Nm-W~Z~Oz5hvB5NB8m-yN6aX<>(1Po z0m?X!S}0n|_%|nqkBj4! z@o!58->f~Sw7(s2`lK`0p6~B0TUD9kp>&kz8iB@NZ5M+S^_*nJS9F|$&vnBqEN>}e z=K;z+ZC31MzzSp;n3)eG{;X||!!D>5t2+9az~cD1Ax`W2oXF5J-coMx`+;^0?>NOD z0F-sH7rWws#{!7IR6hP-tytybMZl(PYnvMy@^ab9nMeHKPXO*-IO6uBS;67++}Mt? znSOMDo}CKa=!Jei(2fqXnLg|X|EM4Qd_VY?{op?X9DkXn=7zb4sgYQbwK;E_FTuZn zApM|y3}`+FS>U;D4L7z``+g1zkJ0mVV*7807PeG}XOb!J!Bb^X@Lwc{msW12{{H|@ z@AWO~=H)t7Q<1gx|Gy`0ev|>v2I%Iw6$;gcP4}<7(EkCnN54PsrMw^B@K8Pwz#G%u zzAC2B-cs(A<$&`EMLr5r%op$(xXxUmueGC?;GVRtKL=BorPl2gDd6QsWiAAq*2N!M zg=}vr!!N2GT?S_^PK3S%BL;%z*ID%AHSfm}6bDmlA=UNv5BS0P8PQs`t6p0NuR8XG zxC6v5rH9_&hK71u_1Ak~G3(QfmAim;G})&7-+;xDp7prchJPZ3deve733!cdSDt%5 zg>nUd(C-NO2Y&E}{NO+IgFg(o-SM)caFWnrq&CIZ5KB!#Xhj~~R@1c&!6H4^4}Kot zG^U1qO({R$Px%FazXf#ty}4{_Z?-45q`#xJC!0_8)XRk+QgS$9v@lAhMi&U|4> zwmYBd3FnKz$eTUAeXWJ|)}D6N@QN(N%*w%m!Mwi3adBD>@&w@$aPR@oUbLknm(6#! zF3CwpxJn>sR@t^d> zU*UU@nl3fEbzUkB5+S{8S$YpzTJ^6HJgr5czXSsX< z-(W77CshlJ^Go`Btk0?fR5?Snpdbi;gG%#cNn2kE)6}8MXX@6-YrRa8h9UiI-AuZ! zZcLx6SL3d)n@OLq8`Br+#`Hx$rk3(3*b1gvmpwJCzYIA3QXV1Q=!O0Y&|Gr!g?t~b z1@v|Gww2penWKq304W`WsOp!#aU{)!)*-+IAc+UtYUaIK|QcuToy(^J4z zx9yh#URIvj;s^gE;OPg=6@JRE1e{k7%dqY!WwsYx86)?47WB3#p zc;Pzs87v$4YJk)G@2`Qbb>yj5UE`(v(?H`dvlu~Zz)&*4Z!R@;u*>{mAMdy z8vLJ0%b`?UTL+HMf`fL>Pu>b;!F6@8;B(;c7z*+nZ@S6<^M1;|5Dm93T*`f41RQ^< zFL!VC#D59+{h+^lV0KeubGEA|o9k?9O7|ey4dCJvEsYaFwXz}`+9y=)m;EVj^r!fW zKgCV{6o2baakD?gSN$oz1`1x@Hg83>=X=|_268>Ao|gDKFmbs`^_=4^KH$Ii0e>A} z{H5N>Z}r4~(-VK2C;nTW_}e}4-v&O_YOQ9bJL@pS9$ zJ$}mX^@D%c4}PB?{Cj|>p1jG+=TCWw#7&{=+iFwb$@ zz8wF73{=r#e*I_tA8^#sMQ$ZTr^8QlZXGg*q=4>ikrw3sRvj zNrk!;D97O7AmFhD4~e&{kA2tpC_NNajOWWh$?KEr*Q_jlc*7uTqXLHop^Vj|?Q&4? zN`m=8TMGwUFe6yI^xHyQyLc&VbYx_#xNiCA*lPLBMrtC;zBo}L%C2OKR2-TM6~Fjy zrd6(qMLf}7#Pd(KskMSk`Kh#O6~Gu7Dv(N|v~tDYPl-V--+!IKhRFUD||S zA(+!RH}=EeG?dNEG7|*X+NX7H=SC1p>tD@2O0foNBg6ovwXcJ5Or^E3=H`^v!&oFO zHEvg3=2{Q6o!aeO6S?xs8ym@2M1B0}S`p*4msZ8vhqrTO)H>-g38mGscAcdaGPY~t zl$KV>nvtcIvSwsywX7LgS}|)zmR8N0k)@ThW@Ksgw0G!ctWy~5=Jz*bETmaI1&frI z1WDQ4*wEAvdx*wel~RmqQNR|yaD&L>_X&n<$Lg`6Y;I*~1*AxIu+hreo$b zAJaTPn=RnRQs<&vPquN+QQ79k=H_ZT*{&G5%FNRsP|GqRd`M4sLqm;eDdRUH%laCw z{Hcqd`~i4}-67>=-I=re;NyVvg22$mbt9u=#g&7Kx*}_{;bV~WXu^en(}oYPD2{9x zTeo4XLb2Ki*`R(pwC2k1dDL>PA77od2T@52&wd`!59m9szG9_((VdCeD6hr15HwEVlu7{-2p$!$J zUlRYKK*dy}uBPao8n+T1#ieLA%pKzX2#MPW<~N zswKAqOTXK{XBubpH@(oe0iAwqwxh|k{QU*|?uwdbRO671v%KKmt>U0vfZENDLv{zq z88a%4EN{gjKjb!-Cea^^%gZaM zZ_DO7%(s$)7yV)n#p2p{hTF(E=$US)%{?3a;G6v5X93Pb>-A%!8&-^M7#&iBwIUnW z`itE9H9z?ylQrOyQzCF{~-+^ziFKdhc${`u=La&qQI4~+Qlw>kLKxA3`+)9Rf1BS&B z3=bt@xIbW66v6PrL<|oE497+=Je-K(p@89-2!816lEhadnKcIKA_k?f?^*~&=pOw z517-&9Rb6>5e#ok#PDLkuulZTn}TLEH(HH&C7{?lg5qt-Jh2zd2wH#$ z!ptNDM+O9s3xS}K9tMJNZ&sVo5HM^L1~t(f2?obRLj}#CxaRlaLH$|mFy`zohcD6P z;xGG=V-$kizZiA+E(*ep&=RZ_B=b7l=Y{^B7y5oL^#Afg|AQC$AHC2Ic%i@Vg?`Wr z{R1!bLqI3kSs-PGYxPk5p_lT9z0g1MLjM?OkB0w~m-0uv(2shdf8vGyDbNYsb|mIz zNLPeL%*{CebiOC|BqnF#tH)b&vbjADzRFYmsc!Xj4%M^ehex#F!=PZR>}QG31Pn7G z7*;zO$p1?)M4?Y}H^Bx!9dLHwT)Y8{Br@3WYXbGn_TRyXvwp2t+lQTK_8xV!_YR5h z{fLu+jeiylQRsC*CmH>5_)({J+D|m?KPbZf^-c!b{~Q>i&|^R+*!kn&8@!aC;ivpe zKlnyJ_$EL2S$^=d0Z%gS;yUge;5jbh=PcW(`Ka4?4k{|=ustwh*nZ4SK?|M-MHKwp zME1v_&+|f`?}fg=ui+O4@Q(h_OE7Sk2{yl71UR3T!Kbv_``hrHyIfD~&zISFF7`9y z5~zA(g1te^2hUmKw3EQoNLDYAL) zvjKXx^(I)H<=1(kKj&rn^#OXm_4qr^^3QvrzW_A;GO3*Q#Xxn_yfhuQHBdb#4fsoe z>U87u1~2rNz0fy$p}&$C9d)YstwiX!Q?=W@(BJk#-vM-z1Kv2>@ASmqS?xv=y)XHn^420^@^lcqD_=G9|-4fj3?h1~n#+ zaxy?5vo9D*Xwn8@7AmR1F(%{Sjcz#E31^R$JP>GS(&DCI1L%S%_)=7|K7Kga(8r zNdZ^GcP8MZxA$M1^21Un7sHVro&v6HKOzNOY5yz0@lIUkgnWD7(qgI$2}h=|prvfW zdjWUNfuX)v4mc_ezN|ho3-}s4U!fbEXb6OlQCrFsM@KSL&)=bgiZVf_5e(Ggm_K{HO-}&P~C7rTrzivVSi$-eExq z4mt^Mal^?@?G+6WJklS#DZ~O&FzRq83fu!%kd)NnE-&Du1xg`Vw&ZVS-Ob9H-k-sbgAFWm(%^vVDo|E`P8 z@}U5o?w3N=0FAvh{!Sq)WvFXsE(-SX8a}C@UJT4(58==Fb&jkYTGP9F?X1={V?(3M zv+v=o_g7kv&WkN{hZ&_oQzlAkR0Hx#P1O zZ{cPBT;=&w=~B)?Bza;a&uc5pV`kd9Uy#SQLU+DZ<*5&oWQOI8&mody0I3W_oC=uv z=w_tPqx`){`KIav!IXC%wvB+9xwyeV%cX!;?0N}m^wokr*TLbIFs;_jr^9^>Ganiw zv-p&p6;9^x@YS+=I*J3z*V4B+Im~d--C#Utbz#E3wQ?Ls!?arCQ)@wkvn~ATaJ$Fc zrq`oyjSH=9In-$>&t2>esz+Pi;k3i;4`Zpdv)@$*Ep9s|iv$-6-%&W|nJoF zwMm$vZSCx|hSh^~mvgwAZw?1`xg9(pv5zrx-ND_rZcE)9RtX+n*)6TdI>!S)yOxB* z((R8uLV`xx{jqz->Fdkuld83PudK%cY_7;y2ftbPv|6`6+(&Hg`GY5U_OWhehZKfs zNIj0Wj6XD~yTI(oZiuyC+*(2s?xW8wPOtNX+ukqTP6_usW=yv>-))DvJz?c=pEbIV z`u@_{TJvy+)ed)ScaKEE)x$b)-Fs9$dcPk2U^DC^d4*HLZ3l1o*|qE*e;n$x!`+r> z3I1|0FPkwe!gVzJ`K{rwjv%+hTd2yD!;DkSae$N5ELs{8vefKAR*4YA94A{LW_(yB z-1B-!?Jg-Wv*pR~qcJ(?ql%6SwZ*(lW^LRqv9unfp|;e6^i|?`Ew$xUa$yR!q5bxC z*bOJE55R@|5$)5hm}ZTqFvFPTb(hZ{_2?J(`VuH?#n_iD@aJPjTD;6y`Bw?Lt}(wAm3l4hps9lt?GoE-9p@z^#s z3VAa+)1&M#7b0#~5?M-E)w0qq5$dQ=LC9kvi9NnRIr-p7k8wtjo=fYGLlj3gQp-cC zZ{2f{@%p$j-CRW2tT>LRmd#3H0-_`g#5#gPOMw}M8Zx0)X)%LtTxt2XY3(1%Q_cZ2 zL~|I!hM`NGd<&>D= zlrUr6s>K|jStZ;Y+v;G4TZ@kbJa>H1_qD48$L)$PY3y!PSp&14u`RL z%425G>{&gx=Zvw}m!;n=Z`6$PWd#LQ#lWqpf3PSmhs`93nz=EQ+7J!NQY`1fJOt+J`EKZNi7k2VrDsbd~fMpAx#&<$XP*Z z_8V#`ItTh>=3EwL5oRmyk zwA#8AxDC^4L(NaJsl5om#PfC+Of}Iw9UTIp1%24w|1@4m~ z197)jk07Jo3aN)$H%AHwh2}zjV9@SlbG8<0zAiY$$>DZ#jvShFR&_zBZ%y+xBqZT3 zFkR`%;nrd#tOF*Y#4fkA)9Lk4Yfq|%m?34g)O`vV{mzIcy@zTeeM%{YU(wV^3DfJS zOz8DjkweiA}y{>s0clLm*BnK&xfzgTUdX0krs2?6cM9N*V@-n8B$ZnR zAVusVeER6xL%5uhiN7k@ zD=>FsYhxMi1#*=zD5z1axHOR)X-8m|9z<8eNDp)s#jo{?LsxJXlS|Z;71B+c40HUx zYTAyz)05+f;SjZHM-2|ZCXxf+=@G!l=z!ZjGI}gFG`N|7b018N2?^DJlGTf1i>b7Q zeFw7>Gm{m9uFXR`KHVR!p_BxQ9$dB^23c^lKX%NMW{WHBx3`vk4czd(&nJbdiEP-Ehe4VkZH*r2dl^^y5$ zY7W9Yl$UWf(Lw8_bez9=50{X6qRA!tG#c2Q)h|W4FYXx4J>*Mi>DXf-Ph1xiEEg!A zfG%bE>jpTtzo~w*q`N-4-kyH#wqK|eNt#+rdS%j!TOmN)l9#Qh>SyEd>+-3$AEC7v z0da+(TN7N5BY4lTc$Ve4Z)%tdVo@p;GH+^utf1l@gLWx?ZEp_J-rVoLIsy|Jsbfec N1QY&6_#XfO|Nm-0biDuo literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt new file mode 100644 index 0000000..8d16f93 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.asm.rpt @@ -0,0 +1,92 @@ +Assembler report for DecoderDemo +Mon Nov 14 21:56:49 2022 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: DecoderDemo.sof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Mon Nov 14 21:56:49 2022 ; +; Revision Name ; DecoderDemo ; +; Top-level Entity Name ; Dec2_4 ; +; Family ; Cyclone IV E ; +; Device ; EP4CE6E22C6 ; ++-----------------------+---------------------------------------+ + + ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ + + ++--------------------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------------------+ +; File Name ; ++--------------------------------------------------------------+ +; /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.sof ; ++--------------------------------------------------------------+ + + ++-------------------------------------------+ +; Assembler Device Options: DecoderDemo.sof ; ++----------------+--------------------------+ +; Option ; Setting ; ++----------------+--------------------------+ +; JTAG usercode ; 0x00093A30 ; +; Checksum ; 0x00093A30 ; ++----------------+--------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Assembler + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Mon Nov 14 21:56:49 2022 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (115031): Writing out detailed assembly data for power analysis +Info (115030): Assembler is generating device programming files +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 352 megabytes + Info: Processing ended: Mon Nov 14 21:56:49 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done new file mode 100644 index 0000000..bc6e379 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.done @@ -0,0 +1 @@ +Mon Nov 14 21:56:51 2022 diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt new file mode 100644 index 0000000..fd06dc2 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for DecoderDemo +Mon Nov 14 21:56:51 2022 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Mon Nov 14 21:56:51 2022 ; +; Revision Name ; DecoderDemo ; +; Top-level Entity Name ; Dec2_4 ; +; Family ; Cyclone IV E ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+------------------------+ +; Tool Name ; ModelSim-Altera (VHDL) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+------------------------+ + + ++---------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------+ +; /home/tiagorg/repos/DecoderDemo/simulation/modelsim/DecoderDemo.vho ; ++---------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Mon Nov 14 21:56:51 2022 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file DecoderDemo.vho in folder "/home/tiagorg/repos/DecoderDemo/simulation/modelsim/" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 600 megabytes + Info: Processing ended: Mon Nov 14 21:56:51 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt new file mode 100644 index 0000000..cceb611 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.rpt @@ -0,0 +1,873 @@ +Fitter report for DecoderDemo +Mon Nov 14 21:56:48 2022 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Incremental Compilation Preservation Summary + 6. Incremental Compilation Partition Settings + 7. Incremental Compilation Placement Preservation + 8. Pin-Out File + 9. Fitter Resource Usage Summary + 10. Fitter Partition Statistics + 11. Input Pins + 12. Output Pins + 13. Dual Purpose and Dedicated Pins + 14. I/O Bank Usage + 15. All Package Pins + 16. I/O Assignment Warnings + 17. Fitter Resource Utilization by Entity + 18. Delay Chain Summary + 19. Pad To Core Delay Chain Fanout + 20. Routing Usage Summary + 21. LAB Logic Elements + 22. LAB Signals Sourced + 23. LAB Signals Sourced Out + 24. LAB Distinct Inputs + 25. I/O Rules Summary + 26. I/O Rules Details + 27. I/O Rules Matrix + 28. Fitter Device Options + 29. Operating Settings and Conditions + 30. Fitter Messages + 31. Fitter Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Fitter Summary ; ++------------------------------------+---------------------------------------------+ +; Fitter Status ; Successful - Mon Nov 14 21:56:48 2022 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; DecoderDemo ; +; Top-level Entity Name ; Dec2_4 ; +; Family ; Cyclone IV E ; +; Device ; EP4CE6E22C6 ; +; Timing Models ; Final ; +; Total logic elements ; 4 / 6,272 ( < 1 % ) ; +; Total combinational functions ; 4 / 6,272 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 8 / 92 ( 9 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 276,480 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; ++------------------------------------+---------------------------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ +; Device ; auto ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Auto Merge PLLs ; On ; On ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Perform Clocking Topology Analysis During Routing ; Off ; Off ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Hold Timing ; All Paths ; All Paths ; +; Optimize Multi-Corner Timing ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; SSN Optimization ; Off ; Off ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Packed Registers ; Auto ; Auto ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; +; Treat Bidirectional Pin as Output Pin ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; +; Synchronizer Identification ; Auto ; Auto ; +; Enable Beneficial Skew Optimization ; On ; On ; +; Optimize Design for Metastability ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; ++--------------------------------------------------------------------+---------------------------------------+---------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.1% ; ++----------------------------+-------------+ + + ++-------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+-------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; +; -- Achieved ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; 0.00 % ( 0 / 31 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+-------------------+----------------------------+--------------------------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Partition Settings ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ +; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; ++--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------+ +; Incremental Compilation Placement Preservation ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ +; Top ; 0.00 % ( 0 / 21 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 10 ) ; N/A ; Source File ; N/A ; ; ++--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.pin. + + ++-------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+---------------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------------+ +; Total logic elements ; 4 / 6,272 ( < 1 % ) ; +; -- Combinational with no register ; 4 ; +; -- Register only ; 0 ; +; -- Combinational with a register ; 0 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 4 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; -- Register only ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 4 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers* ; 0 / 6,684 ( 0 % ) ; +; -- Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; +; -- I/O registers ; 0 / 412 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 1 / 392 ( < 1 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 8 / 92 ( 9 % ) ; +; -- Clock pins ; 1 / 3 ( 33 % ) ; +; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; +; ; ; +; M9Ks ; 0 / 30 ( 0 % ) ; +; Total block memory bits ; 0 / 276,480 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 276,480 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; +; PLLs ; 0 / 2 ( 0 % ) ; +; Global signals ; 0 ; +; -- Global clocks ; 0 / 10 ( 0 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; Oscillator blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 4 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 0.0% / 0.1% / 0.0% ; +; Peak interconnect usage (total/H/V) ; 0.3% / 0.3% / 0.2% ; +; Maximum fan-out ; 4 ; +; Highest non-global fan-out ; 4 ; +; Total fan-out ; 33 ; +; Average fan-out ; 1.10 ; ++---------------------------------------------+---------------------+ +* Register count does not include registers inside RAM blocks or DSP blocks. + + + ++---------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+--------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+--------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 4 / 6272 ( < 1 % ) ; 0 / 6272 ( 0 % ) ; +; -- Combinational with no register ; 4 ; 0 ; +; -- Register only ; 0 ; 0 ; +; -- Combinational with a register ; 0 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 4 ; 0 ; +; -- 3 input functions ; 0 ; 0 ; +; -- <=2 input functions ; 0 ; 0 ; +; -- Register only ; 0 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 4 ; 0 ; +; -- arithmetic mode ; 0 ; 0 ; +; ; ; ; +; Total registers ; 0 ; 0 ; +; -- Dedicated logic registers ; 0 / 6272 ( 0 % ) ; 0 / 6272 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 1 / 392 ( < 1 % ) ; 0 / 392 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 8 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; 0 / 30 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 0 ; 0 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 0 ; 0 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 28 ; 5 ; +; -- Registered Connections ; 0 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 0 ; 0 ; +; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 4 ; 0 ; +; -- Output Ports ; 4 ; 0 ; +; -- Bidir Ports ; 0 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+--------------------+--------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; Slew Rate ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ +; E0L ; 30 ; 2 ; 0 ; 8 ; 14 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; +; E1 ; 24 ; 2 ; 0 ; 11 ; 14 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; +; X0 ; 25 ; 2 ; 0 ; 11 ; 21 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; +; X1 ; 31 ; 2 ; 0 ; 7 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; Fitter ; no ; ++------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ +; Y0 ; 34 ; 2 ; 0 ; 5 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; Y1 ; 28 ; 2 ; 0 ; 9 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; Y2 ; 32 ; 2 ; 0 ; 6 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; +; Y3 ; 33 ; 2 ; 0 ; 6 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 2.5 V ; Default ; Series 50 Ohm without Calibration ; -- ; no ; no ; Fitter ; - ; - ; ++------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-----------------------------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------+ +; Dual Purpose and Dedicated Pins ; ++----------+-----------------------------+--------------------------+-------------------------+---------------------------+ +; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; ++----------+-----------------------------+--------------------------+-------------------------+---------------------------+ +; 6 ; DIFFIO_L1n, DATA1, ASDO ; As input tri-stated ; ~ALTERA_ASDO_DATA1~ ; Dual Purpose Pin ; +; 8 ; DIFFIO_L2p, FLASH_nCE, nCSO ; As input tri-stated ; ~ALTERA_FLASH_nCE_nCSO~ ; Dual Purpose Pin ; +; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; +; 12 ; DCLK ; As output driving ground ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; +; 13 ; DATA0 ; As input tri-stated ; ~ALTERA_DATA0~ ; Dual Purpose Pin ; +; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; +; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; +; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; +; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; +; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; +; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; +; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; +; 101 ; DIFFIO_R3n, nCEO ; Use as programming pin ; ~ALTERA_nCEO~ ; Dual Purpose Pin ; ++----------+-----------------------------+--------------------------+-------------------------+---------------------------+ + + ++-----------------------------------------------------------+ +; I/O Bank Usage ; ++----------+-----------------+---------------+--------------+ +; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; ++----------+-----------------+---------------+--------------+ +; 1 ; 4 / 11 ( 36 % ) ; 2.5V ; -- ; +; 2 ; 8 / 8 ( 100 % ) ; 2.5V ; -- ; +; 3 ; 0 / 11 ( 0 % ) ; 2.5V ; -- ; +; 4 ; 0 / 14 ( 0 % ) ; 2.5V ; -- ; +; 5 ; 0 / 13 ( 0 % ) ; 2.5V ; -- ; +; 6 ; 1 / 10 ( 10 % ) ; 2.5V ; -- ; +; 7 ; 0 / 13 ( 0 % ) ; 2.5V ; -- ; +; 8 ; 0 / 12 ( 0 % ) ; 2.5V ; -- ; ++----------+-----------------+---------------+--------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +; 1 ; 0 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 2 ; 1 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 3 ; 2 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 4 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 6 ; 5 ; 1 ; ~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; 7 ; 6 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; 8 ; 7 ; 1 ; ~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; 9 ; 9 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; +; 10 ; 13 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 11 ; 14 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 12 ; 15 ; 1 ; ~ALTERA_DCLK~ ; output ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; 13 ; 16 ; 1 ; ~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input ; 2.5 V ; ; Row I/O ; N ; no ; On ; +; 14 ; 17 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; +; 15 ; 18 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 16 ; 19 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 17 ; ; 1 ; VCCIO1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 18 ; 20 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 20 ; 21 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 21 ; 22 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; +; 22 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 23 ; 24 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 24 ; 25 ; 2 ; E1 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 25 ; 26 ; 2 ; X0 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 26 ; ; 2 ; VCCIO2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 28 ; 31 ; 2 ; Y1 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 30 ; 34 ; 2 ; E0L ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 31 ; 36 ; 2 ; X1 ; input ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 32 ; 39 ; 2 ; Y2 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 33 ; 40 ; 2 ; Y3 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 34 ; 41 ; 2 ; Y0 ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; +; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 38 ; 45 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 39 ; 46 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 40 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 42 ; 52 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 43 ; 53 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 44 ; 54 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 46 ; 58 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; 47 ; ; 3 ; VCCIO3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 49 ; 68 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 50 ; 69 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 51 ; 70 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 52 ; 72 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 53 ; 73 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 54 ; 74 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 55 ; 75 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 56 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 58 ; 80 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 59 ; 83 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 60 ; 84 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 62 ; ; 4 ; VCCIO4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 64 ; 89 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 65 ; 90 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; 66 ; 93 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 67 ; 94 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 68 ; 96 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 69 ; 97 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 70 ; 98 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 71 ; 99 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 72 ; 100 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 73 ; 102 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 74 ; 103 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 75 ; 104 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 76 ; 106 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 77 ; 107 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 80 ; 113 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; 81 ; ; 5 ; VCCIO5 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 83 ; 117 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 84 ; 118 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 85 ; 119 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 86 ; 120 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 87 ; 121 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 88 ; 125 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 89 ; 126 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 90 ; 127 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 91 ; 128 ; 6 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; +; 92 ; 129 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; +; 93 ; ; 6 ; VCCIO6 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 94 ; 130 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; +; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 96 ; 131 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; +; 97 ; 132 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; +; 97 ; 133 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; +; 98 ; 136 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 99 ; 137 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 100 ; 138 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 101 ; 139 ; 6 ; ~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN ; output ; 2.5 V ; ; Row I/O ; N ; no ; Off ; +; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 103 ; 140 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 104 ; 141 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 105 ; 142 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; +; 106 ; 146 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; +; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; +; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 110 ; 152 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 111 ; 154 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 112 ; 155 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 113 ; 156 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 114 ; 157 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 115 ; 158 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 117 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 119 ; 163 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; 120 ; 164 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 121 ; 165 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 122 ; ; 7 ; VCCIO7 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 124 ; 173 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 125 ; 174 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 126 ; 175 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 127 ; 176 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 128 ; 177 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 129 ; 178 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 130 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 132 ; 181 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 133 ; 182 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; +; 135 ; 185 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 136 ; 187 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; +; 137 ; 190 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 138 ; 191 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 139 ; ; 8 ; VCCIO8 ; power ; ; 2.5V ; -- ; ; -- ; -- ; +; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; +; 141 ; 195 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 142 ; 201 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 143 ; 202 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 144 ; 203 ; 8 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; ++----------+------------+----------+-----------------------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++------------------------------------------+ +; I/O Assignment Warnings ; ++----------+-------------------------------+ +; Pin Name ; Reason ; ++----------+-------------------------------+ +; Y3 ; Incomplete set of assignments ; +; Y2 ; Incomplete set of assignments ; +; Y1 ; Incomplete set of assignments ; +; Y0 ; Incomplete set of assignments ; +; E1 ; Incomplete set of assignments ; +; X0 ; Incomplete set of assignments ; +; X1 ; Incomplete set of assignments ; +; E0L ; Incomplete set of assignments ; +; Y3 ; Missing location assignment ; +; Y2 ; Missing location assignment ; +; Y1 ; Missing location assignment ; +; Y0 ; Missing location assignment ; +; E1 ; Missing location assignment ; +; X0 ; Missing location assignment ; +; X1 ; Missing location assignment ; +; E0L ; Missing location assignment ; ++----------+-------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ +; |Dec2_4 ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; |Dec2_4 ; Dec2_4 ; work ; ++----------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++--------------------------------------------------------------------------------------+ +; Delay Chain Summary ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; ++------+----------+---------------+---------------+-----------------------+-----+------+ +; Y3 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Y2 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Y1 ; Output ; -- ; -- ; -- ; -- ; -- ; +; Y0 ; Output ; -- ; -- ; -- ; -- ; -- ; +; E1 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; X0 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; +; X1 ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; E0L ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ++------+----------+---------------+---------------+-----------------------+-----+------+ + + ++---------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++---------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++---------------------+-------------------+---------+ +; E1 ; ; ; +; X0 ; ; ; +; X1 ; ; ; +; - inst ; 0 ; 6 ; +; - inst1 ; 0 ; 6 ; +; - inst3 ; 0 ; 6 ; +; - inst2 ; 0 ; 6 ; +; E0L ; ; ; +; - inst ; 0 ; 6 ; +; - inst1 ; 0 ; 6 ; +; - inst3 ; 0 ; 6 ; +; - inst2 ; 0 ; 6 ; ++---------------------+-------------------+---------+ + + ++----------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+----------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+----------------------+ +; Block interconnects ; 8 / 32,401 ( < 1 % ) ; +; C16 interconnects ; 0 / 1,326 ( 0 % ) ; +; C4 interconnects ; 7 / 21,816 ( < 1 % ) ; +; Direct links ; 0 / 32,401 ( 0 % ) ; +; Global clocks ; 0 / 10 ( 0 % ) ; +; Local interconnects ; 0 / 10,320 ( 0 % ) ; +; R24 interconnects ; 4 / 1,289 ( < 1 % ) ; +; R4 interconnects ; 8 / 28,186 ( < 1 % ) ; ++-----------------------+----------------------+ + + ++--------------------------------------------------------------------------+ +; LAB Logic Elements ; ++--------------------------------------------+-----------------------------+ +; Number of Logic Elements (Average = 4.00) ; Number of LABs (Total = 1) ; ++--------------------------------------------+-----------------------------+ +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; ++--------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+-----------------------------+ +; Number of Signals Sourced (Average = 4.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-----------------------------+ +; Number of Signals Sourced Out (Average = 4.00) ; Number of LABs (Total = 1) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++---------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+-----------------------------+ +; Number of Distinct Inputs (Average = 4.00) ; Number of LABs (Total = 1) ; ++---------------------------------------------+-----------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; ++---------------------------------------------+-----------------------------+ + + ++------------------------------------------+ +; I/O Rules Summary ; ++----------------------------------+-------+ +; I/O Rules Statistic ; Total ; ++----------------------------------+-------+ +; Total I/O Rules ; 30 ; +; Number of I/O Rules Passed ; 9 ; +; Number of I/O Rules Failed ; 0 ; +; Number of I/O Rules Unchecked ; 0 ; +; Number of I/O Rules Inapplicable ; 21 ; ++----------------------------------+-------+ + + ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Details ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ +; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; +; Inapplicable ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; +; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; +; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; No Location assignments found. ; I/O ; ; +; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; +; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; +; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; +; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; +; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; +; Pass ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; +; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; +; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; +; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; +; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; +; ---- ; ---- ; Disclaimer ; OCT rules are checked but not reported. ; None ; ---- ; On Chip Termination ; ; ++--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+---------------------+-------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; I/O Rules Matrix ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Pin/Rules ; IO_000002 ; IO_000001 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000047 ; IO_000046 ; IO_000045 ; IO_000027 ; IO_000026 ; IO_000024 ; IO_000023 ; IO_000022 ; IO_000021 ; IO_000020 ; IO_000019 ; IO_000018 ; IO_000015 ; IO_000014 ; IO_000013 ; IO_000012 ; IO_000011 ; IO_000010 ; IO_000009 ; IO_000033 ; IO_000034 ; IO_000042 ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ +; Total Pass ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 4 ; 4 ; 0 ; 4 ; 0 ; 0 ; 4 ; 0 ; 8 ; 8 ; 8 ; 0 ; 0 ; +; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Total Inapplicable ; 8 ; 8 ; 8 ; 8 ; 8 ; 0 ; 8 ; 8 ; 8 ; 8 ; 8 ; 8 ; 8 ; 4 ; 8 ; 8 ; 8 ; 4 ; 4 ; 8 ; 4 ; 8 ; 8 ; 4 ; 8 ; 0 ; 0 ; 0 ; 8 ; 8 ; +; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; Y3 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; Y2 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; Y1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; Y0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; E1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; X0 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; X1 ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; +; E0L ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Pass ; Pass ; Inapplicable ; Inapplicable ; ++--------------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+-----------+-----------+--------------+--------------+ + + ++---------------------------------------------------------------------------------------------+ +; Fitter Device Options ; ++------------------------------------------------------------------+--------------------------+ +; Option ; Setting ; ++------------------------------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Active Serial ; +; Error detection CRC ; Off ; +; Enable open drain on CRC_ERROR pin ; Off ; +; Enable input tri-state on active configuration pins in user mode ; Off ; +; Configuration Voltage Level ; Auto ; +; Force Configuration Voltage Level ; Off ; +; nCEO ; As output driving ground ; +; Data[0] ; As input tri-stated ; +; Data[1]/ASDO ; As input tri-stated ; +; Data[7..2] ; Unreserved ; +; FLASH_nCE/nCSO ; As input tri-stated ; +; Other Active Parallel pins ; Unreserved ; +; DCLK ; As output driving ground ; ++------------------------------------------------------------------+--------------------------+ + + ++------------------------------------+ +; Operating Settings and Conditions ; ++---------------------------+--------+ +; Setting ; Value ; ++---------------------------+--------+ +; Nominal Core Voltage ; 1.20 V ; +; Low Junction Temperature ; 0 °C ; +; High Junction Temperature ; 85 °C ; ++---------------------------+--------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (119004): Automatically selected device EP4CE6E22C6 for design DecoderDemo +Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. +Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time +Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. +Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices + Info (176445): Device EP4CE10E22C6 is compatible + Info (176445): Device EP4CE15E22C6 is compatible + Info (176445): Device EP4CE22E22C6 is compatible +Info (169124): Fitter converted 5 user pins into dedicated programming pins + Info (169125): Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6 + Info (169125): Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8 + Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12 + Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 13 + Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 101 +Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details +Critical Warning (169085): No exact pin location assignment(s) for 8 pins of 8 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. +Critical Warning (332012): Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332144): No user constrained base clocks found in the design +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. +Info (176233): Starting register packing +Info (176235): Finished register packing + Extra Info (176219): No registers were packed into other blocks +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 8 (unused VREF, 2.5V VCCIO, 4 input, 4 output, 0 bidirectional) + Info (176212): I/O standards used: 2.5 V. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available + Info (176213): I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available + Info (176213): I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available + Info (176213): I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available + Info (176213): I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available + Info (176213): I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available + Info (176213): I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. +Info (170189): Fitter placement preparation operations beginning +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170191): Fitter placement operations beginning +Info (170137): Fitter placement was successful +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170193): Fitter routing operations beginning +Info (170195): Router estimated average interconnect usage is 0% of the available device resources + Info (170196): Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X0_Y0 to location X10_Y11 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.01 seconds. +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 +Info (144001): Generated suppressed messages file /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 942 megabytes + Info: Processing ended: Mon Nov 14 21:56:49 2022 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + ++----------------------------+ +; Fitter Suppressed Messages ; ++----------------------------+ +The suppressed messages can be found in /home/tiagorg/repos/DecoderDemo/output_files/DecoderDemo.fit.smsg. + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg new file mode 100644 index 0000000..7121cbb --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.smsg @@ -0,0 +1,8 @@ +Extra Info (176273): Performing register packing on registers with non-logic cell location assignments +Extra Info (176274): Completed register packing on registers with non-logic cell location assignments +Extra Info (176236): Started Fast Input/Output/OE register processing +Extra Info (176237): Finished Fast Input/Output/OE register processing +Extra Info (176238): Start inferring scan chains for DSP blocks +Extra Info (176239): Inferring scan chains for DSP blocks is complete +Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density +Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary new file mode 100644 index 0000000..730c2b4 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.fit.summary @@ -0,0 +1,16 @@ +Fitter Status : Successful - Mon Nov 14 21:56:48 2022 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : DecoderDemo +Top-level Entity Name : Dec2_4 +Family : Cyclone IV E +Device : EP4CE6E22C6 +Timing Models : Final +Total logic elements : 4 / 6,272 ( < 1 % ) + Total combinational functions : 4 / 6,272 ( < 1 % ) + Dedicated logic registers : 0 / 6,272 ( 0 % ) +Total registers : 0 +Total pins : 8 / 92 ( 9 % ) +Total virtual pins : 0 +Total memory bits : 0 / 276,480 ( 0 % ) +Embedded Multiplier 9-bit elements : 0 / 30 ( 0 % ) +Total PLLs : 0 / 2 ( 0 % ) diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt new file mode 100644 index 0000000..c7349fa --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.flow.rpt @@ -0,0 +1,132 @@ +Flow report for DecoderDemo +Mon Nov 14 21:56:51 2022 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Flow Summary ; ++------------------------------------+---------------------------------------------+ +; Flow Status ; Successful - Mon Nov 14 21:56:51 2022 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; DecoderDemo ; +; Top-level Entity Name ; Dec2_4 ; +; Family ; Cyclone IV E ; +; Total logic elements ; 4 / 6,272 ( < 1 % ) ; +; Total combinational functions ; 4 / 6,272 ( < 1 % ) ; +; Dedicated logic registers ; 0 / 6,272 ( 0 % ) ; +; Total registers ; 0 ; +; Total pins ; 8 / 92 ( 9 % ) ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 / 276,480 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % ) ; +; Total PLLs ; 0 / 2 ( 0 % ) ; +; Device ; EP4CE6E22C6 ; +; Timing Models ; Final ; ++------------------------------------+---------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 11/14/2022 21:56:41 ; +; Main task ; Compilation ; +; Revision Name ; DecoderDemo ; ++-------------------+---------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ +; COMPILER_SIGNATURE_ID ; 198516037997543.166846300130887 ; -- ; -- ; -- ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_symbol ; +; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Dec2_4 ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Dec2_4 ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Dec2_4 ; Top ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; TOP_LEVEL_ENTITY ; Dec2_4 ; DecoderDemo ; -- ; -- ; ++-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 395 MB ; 00:00:13 ; +; Fitter ; 00:00:02 ; 1.0 ; 942 MB ; 00:00:02 ; +; Assembler ; 00:00:00 ; 1.0 ; 352 MB ; 00:00:00 ; +; Timing Analyzer ; 00:00:00 ; 1.0 ; 465 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 600 MB ; 00:00:00 ; +; Total ; 00:00:07 ; -- ; -- ; 00:00:16 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+------------------+----------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+------------------+----------------+------------+----------------+ +; Analysis & Synthesis ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Fitter ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Assembler ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; ++----------------------+------------------+----------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo +quartus_fit --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo +quartus_asm --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo +quartus_sta DecoderDemo -c DecoderDemo +quartus_eda --read_settings_files=off --write_settings_files=off DecoderDemo -c DecoderDemo + + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi new file mode 100644 index 0000000..6d0170e --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt new file mode 100644 index 0000000..d2b34fc --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.rpt @@ -0,0 +1,280 @@ +Analysis & Synthesis report for DecoderDemo +Mon Nov 14 21:56:46 2022 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. General Register Statistics + 9. Post-Synthesis Netlist Statistics for Top Partition + 10. Elapsed Time Per Partition + 11. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++----------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++------------------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Mon Nov 14 21:56:46 2022 ; +; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Revision Name ; DecoderDemo ; +; Top-level Entity Name ; Dec2_4 ; +; Family ; Cyclone IV E ; +; Total logic elements ; 4 ; +; Total combinational functions ; 4 ; +; Dedicated logic registers ; 0 ; +; Total registers ; 0 ; +; Total pins ; 8 ; +; Total virtual pins ; 0 ; +; Total memory bits ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 ; +; Total PLLs ; 0 ; ++------------------------------------+---------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Top-level entity name ; Dec2_4 ; DecoderDemo ; +; Family name ; Cyclone IV E ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; DSP Block Balancing ; Auto ; Auto ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto DSP Block Replacement ; On ; On ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto RAM Block Balancing ; On ; On ; +; Auto RAM to Logic Cell Conversion ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Allow Any Shift Register Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Timing-Driven Synthesis ; On ; On ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Auto Gated Clock Conversion ; Off ; Off ; +; Block Design Naming ; Auto ; Auto ; +; SDC constraint protection ; Off ; Off ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Resource Aware Inference For Block RAM ; On ; On ; ++------------------------------------------------------------------+--------------------+--------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+--------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+--------------------------------------------+---------+ +; Dec2_4.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/DecoderDemo/Dec2_4.bdf ; ; ++----------------------------------+-----------------+------------------------------------+--------------------------------------------+---------+ + + ++--------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+----------+ +; Resource ; Usage ; ++---------------------------------------------+----------+ +; Estimated Total logic elements ; 4 ; +; ; ; +; Total combinational functions ; 4 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 4 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 4 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 8 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; E1~input ; +; Maximum fan-out ; 4 ; +; Total fan-out ; 28 ; +; Average fan-out ; 1.40 ; ++---------------------------------------------+----------+ + + ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ +; |Dec2_4 ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; |Dec2_4 ; Dec2_4 ; work ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+---------------------+-------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 0 ; +; Number of registers using Synchronous Clear ; 0 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 0 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-----------------------------------------------------+ +; Post-Synthesis Netlist Statistics for Top Partition ; ++-----------------------+-----------------------------+ +; Type ; Count ; ++-----------------------+-----------------------------+ +; boundary_port ; 8 ; +; cycloneiii_lcell_comb ; 4 ; +; normal ; 4 ; +; 4 data inputs ; 4 ; +; ; ; +; Max LUT depth ; 1.00 ; +; Average LUT depth ; 1.00 ; ++-----------------------+-----------------------------+ + + ++-------------------------------+ +; Elapsed Time Per Partition ; ++----------------+--------------+ +; Partition Name ; Elapsed Time ; ++----------------+--------------+ +; Top ; 00:00:00 ; ++----------------+--------------+ + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Mon Nov 14 21:56:41 2022 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off DecoderDemo -c DecoderDemo +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (12021): Found 1 design units, including 1 entities, in source file Dec2_4.bdf + Info (12023): Found entity 1: Dec2_4 +Info (12127): Elaborating entity "Dec2_4" for the top level hierarchy +Info (286030): Timing-Driven Synthesis is running +Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" + Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL +Info (21057): Implemented 12 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 4 input pins + Info (21059): Implemented 4 output pins + Info (21061): Implemented 4 logic cells +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 1 warning + Info: Peak virtual memory: 402 megabytes + Info: Processing ended: Mon Nov 14 21:56:46 2022 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:13 + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary new file mode 100644 index 0000000..19dd9b6 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.map.summary @@ -0,0 +1,14 @@ +Analysis & Synthesis Status : Successful - Mon Nov 14 21:56:46 2022 +Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition +Revision Name : DecoderDemo +Top-level Entity Name : Dec2_4 +Family : Cyclone IV E +Total logic elements : 4 + Total combinational functions : 4 + Dedicated logic registers : 0 +Total registers : 0 +Total pins : 8 +Total virtual pins : 0 +Total memory bits : 0 +Embedded Multiplier 9-bit elements : 0 +Total PLLs : 0 diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin new file mode 100644 index 0000000..b9de1a5 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.pin @@ -0,0 +1,216 @@ + -- Copyright (C) 2020 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. + -- + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 2.5V + -- Bank 2: 2.5V + -- Bank 3: 2.5V + -- Bank 4: 2.5V + -- Bank 5: 2.5V + -- Bank 6: 2.5V + -- Bank 7: 2.5V + -- Bank 8: 2.5V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +CHIP "DecoderDemo" ASSIGNED TO AN: EP4CE6E22C6 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 3 : : : : 1 : +GND : 4 : gnd : : : : +VCCINT : 5 : power : : 1.2V : : +~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : input : 2.5 V : : 1 : N +RESERVED_INPUT_WITH_WEAK_PULLUP : 7 : : : : 1 : +~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : input : 2.5 V : : 1 : N +nSTATUS : 9 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : +~ALTERA_DCLK~ : 12 : output : 2.5 V : : 1 : N +~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 13 : input : 2.5 V : : 1 : N +nCONFIG : 14 : : : : 1 : +TDI : 15 : input : : : 1 : +TCK : 16 : input : : : 1 : +VCCIO1 : 17 : power : : 2.5V : 1 : +TMS : 18 : input : : : 1 : +GND : 19 : gnd : : : : +TDO : 20 : output : : : 1 : +nCE : 21 : : : : 1 : +GND : 22 : gnd : : : : +GND+ : 23 : : : : 1 : +E1 : 24 : input : 2.5 V : : 2 : N +X0 : 25 : input : 2.5 V : : 2 : N +VCCIO2 : 26 : power : : 2.5V : 2 : +GND : 27 : gnd : : : : +Y1 : 28 : output : 2.5 V : : 2 : N +VCCINT : 29 : power : : 1.2V : : +E0L : 30 : input : 2.5 V : : 2 : N +X1 : 31 : input : 2.5 V : : 2 : N +Y2 : 32 : output : 2.5 V : : 2 : N +Y3 : 33 : output : 2.5 V : : 2 : N +Y0 : 34 : output : 2.5 V : : 2 : N +VCCA1 : 35 : power : : 2.5V : : +GNDA1 : 36 : gnd : : : : +VCCD_PLL1 : 37 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 38 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : +VCCIO3 : 40 : power : : 2.5V : 3 : +GND : 41 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 43 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : +VCCINT : 45 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 46 : : : : 3 : +VCCIO3 : 47 : power : : 2.5V : 3 : +GND : 48 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 52 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 53 : : : : 3 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 54 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 55 : : : : 4 : +VCCIO4 : 56 : power : : 2.5V : 4 : +GND : 57 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 58 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 59 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 60 : : : : 4 : +VCCINT : 61 : power : : 1.2V : : +VCCIO4 : 62 : power : : 2.5V : 4 : +GND : 63 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 64 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 65 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 66 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 67 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 68 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 69 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 70 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 71 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : : 4 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 73 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 74 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 75 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 76 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 77 : : : : 5 : +VCCINT : 78 : power : : 1.2V : : +GND : 79 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 80 : : : : 5 : +VCCIO5 : 81 : power : : 2.5V : 5 : +GND : 82 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 83 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 84 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 85 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 86 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 87 : : : : 5 : +GND+ : 88 : : : : 5 : +GND+ : 89 : : : : 5 : +GND+ : 90 : : : : 6 : +GND+ : 91 : : : : 6 : +CONF_DONE : 92 : : : : 6 : +VCCIO6 : 93 : power : : 2.5V : 6 : +MSEL0 : 94 : : : : 6 : +GND : 95 : gnd : : : : +MSEL1 : 96 : : : : 6 : +MSEL2 : 97 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : +~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 101 : output : 2.5 V : : 6 : N +VCCINT : 102 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 105 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 106 : : : : 6 : +VCCA2 : 107 : power : : 2.5V : : +GNDA2 : 108 : gnd : : : : +VCCD_PLL2 : 109 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 110 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 111 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 112 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 113 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 114 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 115 : : : : 7 : +VCCINT : 116 : power : : 1.2V : : +VCCIO7 : 117 : power : : 2.5V : 7 : +GND : 118 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 119 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 120 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 121 : : : : 7 : +VCCIO7 : 122 : power : : 2.5V : 7 : +GND : 123 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 124 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 125 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 126 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 127 : : : : 7 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 128 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 129 : : : : 8 : +VCCIO8 : 130 : power : : 2.5V : 8 : +GND : 131 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 132 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 133 : : : : 8 : +VCCINT : 134 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 135 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 136 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 137 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 138 : : : : 8 : +VCCIO8 : 139 : power : : 2.5V : 8 : +GND : 140 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : 141 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 142 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 143 : : : : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : 144 : : : : 8 : +GND : EPAD : : : : : diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld new file mode 100644 index 0000000..f7d3ed7 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sld @@ -0,0 +1 @@ + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sof b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sof new file mode 100644 index 0000000000000000000000000000000000000000..f0a1ec8e243019bdf2968b9783a55640749da5a8 GIT binary patch literal 358707 zcmeHw&+jZpl2?6gzc=28wV1(cJ)uP;)*d{7X5LskyR$F@p`D!t0Rp3C5onO+&5qyf zdgU2^WqS}32hv>Nz`DkG}8Uw!*`{{C+dpkLtE&G2`4`wxElgAYFX>4)y^58nOpGxweM ze|-Dyd(S>_|LEBVAHMtkPuyEizWVjAe%<}%Pv3p-9rx>RJ#k5^h z_~OBzeCg+3jP$?uZ`gk6=YNxV!{C38EoT1g@G5qh9RCM@pZwKd7=C5=7dMy#?fKZg z^X$WSfBz@n|AQZV_y=G8y?1}YGk^TQ|K9KapD+K1|N9UB2_*mMKYsATVfgdd9sWDN z-v8-Gw?F;p`#<7XKm6KnJ^SJN?>zh9x1Rm@{jdJvJMRwP`tZGXzJL3{`+xZChaY|a z-JksE{lEUmxo;gNu+Jk{azB3O8{dED-QR!q;YZ*9=9Az1_8UL?`m^8o)n~u<>(74h z^|yZStsi~k8^8LE-+1F|qxRP(>feId2+Uv@yLX=bvv+^^?Avcl^7n?j{lQ0n@a;FI z4u1IlkKS-U{qWfb@cG+sJo)FpeV|}y0{*S9%_Es+;x#Q3tUAvG2lHo!|N6lLja@q_{9tL)hDfNuD7yxF5euwwz~6Q4|bILIG2 z?mv9H;T`@=tBxQ@5Jl%ruy{=T8X5dKUK30Qz<@;K~Mk| zlfwpXc6r);=2Ed1c_Rikdpr1GA}vmkZ`QxZVs? zuAD`$q1w8A>6i{amD(3%3XbhXw5{A~Sj$0^-S8h{r>cDgL5CeZg1pEAJ1}uUWR>TF z4wkuU891j5tY}{dqjmezF&)@?@rMLRb!;!9ZL8YX-~n-}_JxRyECbh*fydVqszrLg z3|RZh=IspISJuxBOm6k=3zu0NCLFeW@hAmN_!nyOMJO{5*Pf3D2dtVz7BJ=I97BMm zVIXYsp@E~)XAh44&aR%J8Dc(ujiBOzsbYFa=%GOZw1e$m#+{SWKG_hY;cH9CetjAqS!C`95VF zTMlMRak<_B&p*~iSyH-_P8IzzFusjB{ATeoV8OUW| zmz%UX%K$RqH<|_-;~Ka5%2^Y)gOJ!I0^?YX*I|07&ni1%HiUqEki#a}Ck*aNU``~t z)v$_(8r*1wNmDG3!7NBj8d(M`1DBtHCzl_>xkNy4Bvf{fL9Cn@sKnjDK|t&hfzb-n z!&>w&pc7_82-pWXY*YydWa(3$ZQZ_fOk#^M0*}EgNK6`CRR(Ylwx%AKt$n;yJgnK) z)B|&&kA9(ijd(Lmg0)clN7Pt3!6}i0fY>Dh<5-PLc;Gv1f+}H<_b)2~agf7Cm4JXq zb?xh6a7@IRGGt7GSitfa#Dfq*z~oB0U8$c_?}3@Kqs`%~oYvF>v$c<7lN8e_IZ4gx`yFbJ3)gIQ%K%m$HR@E8sbn=A+z zDtYG0t%jKp7~Pmy#gsyxc`_zJGeqDqm<5T+mGt&X{hWFa%$yyY+fD|0TpIMi+)l>V zMS{ZAnp$BJ9LrbElFLwa5E8pYU>vJ4#5E#jItT<+!XWQoRs`Z8hm9%$0g>cZ!zvzX zXfP+e`+`ebB&$4IJgOFxW#9xEAlS&ahZ^e+LSmN)jQaKkIEPJ8B@FWZWknzka@eR6 z5D=-ZeMQGaoGC-bB#7xjPJwLSGH?wUczO*%T8wKlKv2$C&Kg(;A+bvYhP5xG$=VmP zV6=@4;HH@n%B*-`Ql+mjclPNxK?PV$4x5|d>G5+8OsJ$|RxG^(dMH_haT3}=Kz`f3 ze)QKtEWzS&j5)dkDV220#J`As*X(E@`!MPFys2s*%-wM3!Px|h1>zI6w>)TpnSWmQ zu30v=j*zI<)C04rkB24J6mtO>K{kKh7E$==Z3m&`1P@qK#&M-#RwWhFLp8syqFJ!F zNT^XpmzII-((tuWjDq>|ogpHlzJ0Nlf*ID3BEkS5k4?0n1PM-w2m*O)6UOm*c!-yX zAdtsQ{L71!(2ak+8NeSYF|rI~Gk|ikMMBLKuI&8Cs@RNzVwZ>vYhRp8LO%1o$=Vlk zV`Le)h79aZ8YGJFc1f|uOcE!Wg-=FTlL6`wX%EZ}ZeAp0k53QQTIfQg#9!MiU%hBa>Q*S?@n z*ob{3FdoP6Lm^%wfLnwBs1Z&EuZ(pqC z`6XZ`{)uLx@3B!OARrQfleacuEV%n(E#jAenIujy>!EIB8MvAZcq|Je%Yc#rxpFE> zk>#-`c8SQa_Qh!;^xx>s%83~W`osZ%ml0knDMK3IW$-T#Ya_>he`3g zX_@%B4Ee!SR=?%f`1I=z$FKqB>^EoUzYkmXx-5Znpf&ZtZ0cjPk8SViRaoW({p7qY z0=^O}L$3U=dR)|TrLDwF{EO&G2t^AQD&Q{E@9TCue~#9*8qS=2zB4l}DngDMU@mB1 zs$+0Rr)0$@SgaS_Z~%d570HpfVx*4WiIg4IHH7T(P7BgdmAz(qYNIGV|vfnj3b~x3sq8oMX z>!|}!Rr?Bp6%ph`0N}7OvJ6-TECZGSWFV>iN#(4{kJ=^f(Y|0*#!UQ+=t&3wa4HBS ziiDn&w>D)s;GC{~!J3g};94^9@LB@3IPaT*r1mETy9!ZmHLM;R3;_$GRU~63{zdd8 zgkV7i2@>l2S+*~yx_r4z97&_g%7BNv$;yk1vlPfDyfz6!`89fEJUm`OLR_N)ro5bE z2z&H=Q9)>Bdhh1w?<_P{CqRHPUhy`-bW<$CAZHfy$46CX&%=&vb;HkP$PcEn<__5% zpMDL`*s5?NQ^ouoA^|I+-|}R99u^X7sYluAfw?x$MBgQ&lMV>h;(D7c$)Yb$SYmd9 zJE#GR3CZ`^A#BE#h8>M`%vjP?vk1w^GH?bNh$~>W%&MG|&v#ZQK#YqjEL}_#Nyc>0 z4OoQ1Y?)yaB!levh3zaG1cfn{VQ|=o8y-8=i&@5$4+6o$!7O%-ECaI)pd}ft&j4!1 z7OA>IU0(2V<*bTD?GgkCYhNLe*1kd}?AlDvJOBRF>Gw3EQgngUvZVCL-D+;%e1KFucl3NYyIq0H`dhDF8eU0W|a$`gri{~oBnO!(KgpE~EBGUhyKdT=W@8jc2TZt(z z=UD&t76@X9uVK6HV%ywXGjt)89TwI$Dh!EZE9CetjAqSz*r3iz7h5f)d zwj9is$Uz{e5(WX&V=yZNgxMg1eUQT@3j#)w)S}~|KA!D&t*W>(CP6P?c?@PjV$#Sm zkjua>H)(T=GvF3$T!4b#f8lTeo-T8dM)7f*ubee-4nks=2#jMjUWe(SKCA46*$@Ku zK@OW>pD?&9fjN=nR>LYDYSzAx1tZJAHZtH(7siv*nPN+*dz&RfWTCQq3}So0Kqc-D z4gzAA2#i*k9@e6N0i7@#Lcl)AVWUbwAWNU}Z0q)=V-j185qJz{L1NPAsxp9cur>9- zZ0+Nv;*A%yjh*j-xzI(wP`*aI0Va)h#%-wmBWkRiBfqe5;EP=%Fpkx@05`H7wn3FJ z$orQSIW(@pK~InXt3Y+_>tS%LfVOALm;`}<fq4%%OvN(ryfffCIM4=@izLCheB}hEL=FO>#1aMp3;Tg_tj3rvk%K@`B@6xP5r~5vHmU>!M5=3F(J>Kc%8)S$VtSBMAltVLTtfz)UPF);=J=t?F(tL_Ju4MZ6gEtxQ-CYtaxBjrLQn|_USl51z1cDo15Y3@pBGLsH9_7 zEWHDIC|QJY652sPe%rl%^w&Ww!Qyd@Il2QWm2}L+zleU<>}VkSFzNWbscIk0-EjOB z*3U7KVDUKq!t5;%T43g%*S%{NuB;*?sx|e%Z0h4-i8aMs07j6_pSMMn7h`3}Ji7|* zaONLZ+B!@R_58XDA+W$G1<6g3eI0X&hcm1Ig7e`V^%&HUhfw}}pEBy(7i)Qb37Cn0 zqFLyBY*Yydh(zGztxXum=iwn(uQvnS=8V>7z^{imLxdLwIzzA4 zyR^S!`c^TgZO3b3rR4t3|w&rb|;M;)p@&=w4CJLpcpeJ#zUxO z`_eHJ|3tI!$z!8RKp;z=tq?eQYZFHO-B$rqJ_s=cIAnB98K4fq_Q34m=0!r{8of0& z!K8Iwp$xXB2AKQ5w1I%wSOvH-lNeC>l zns5%ZrXHA0eSF@Z;Du#Qh$=a6i($SJE5k52NGDi4j*B|3G)SqWVs#cj}PW~Q59I);@i=gekqLT)uI-L!q>tSfV>V~QTLkXyOc90Z8p2Zv2n z`w}sMa3Xrp!A=j%4sIIc`DDO%aHR)k2RAPg(sl5<8Rm-i1y!|6+@pQTm`-11H zW987$lag%2Sf&gIpwqRl(Hu-}jF4NS%gTUOmWiLskRMEC z^&KkU`1EUd#&!i}S+$u&kFwJPvsu6Za!t{egU!|z0b^VhC9o$4LFCv&IKkp^WDvM3 zF#%y@8Mvqn#1)V%jk;FDf#$DxfyG4FENow_k;eqq0?LCN94q6@vO&-}6bOfXv@nmI zm6(7qvJ9-q0NR|9W#9@kVC^e=(5-!C(_)jB0n5PJ4A@`YSewD9zoLB+gQzz-5LkHk z1(iG|uoh4rr0P!TO*YfIeaV;$VlvUI%0Si0x-cU>Fc-S8S@G&GRTpHS2j&9v5OoXX zYs8yju4rHIQ|%H2i4A{v=s!k))fFM%5&>}%TTX;PGVNb}a*$3|RZhBt>d9*1odIvdJsV z0M^~1I2&W8HUdx6drO-u%?XTZ_Z*?B@t8AYRjaya3fj|%%*PorfAE- z@+AYyrARxLn5`=hwZmO0VSnt=aiw8Kqa8Ezn3|UHECXkm0fJZeY+tPDpdQu^VGyve z9~j5aVK7@F2Z5kU7>p|vJN4usIs-v1sUo%LctDI5WEyM{H;-9VGXcwho`IdtHm+r0 zs~I2!7OJqvp#DyYg&j1+E)f_lFkxr`Imib4gh2=r`$fvPn!`1-hq|?|ViHZjGGG~) zXW+?v%4Y7D0fJhn>>h*q_Ql=7K||~kfzbjJh8B>6Y_Lxlgdnl6(x=RA-M(Z@)fHo2 zaRzV>wx%AKt$n;yyzzpzvGYAJ7rN*d%GZcD!z5S>m3>65Z(pqG_$7CV&^{KlFA)=l z7LbE%uum9-AhBPhy7u+30cO#aW&*d(kd8n-FpubUdsW{f)j>t69+(l_b}R#zlL6ns z)n=Fk=Ry@8QLnyzAq#cwD<+OLbn?v3W9oV>xo@`wJ7D#|?BJ$Bo>2yRTpIMiJfoC7 zS69#-T2m`bf@Ak*e47^kk~I$zSSHSiHAD6 z{AJyJ!D}PSfMviku$2rD0tuDfV{r9%Ur0h-`-+K!N|ExIMKu$!3|waho?d6z7Il3F z2%^@$?j|hXYVO{B#l+oJ&yMq$Sy7v`44ioeuoehD&WZ;nRr(5Z<3;l96B1@RsNW1v z2ag?y7D>h|swM(6cjBr|#Bzh(>qmbb1QIMB$Cw*n0)Gi*pJ5$;AGV0H8-yQ{-624x z_zSbQJXnc20-k7daKEXw9+*uX#BzO(M6f+884j~WdNEdp%(JV|4h!zM((aF0)HDIh zz}aOWtN?;%$ug^P)k7$DsRV1vsBd4a<@q(o#N-0Q*jBgnzWq{k9k!9c-Gmx~Lq`1~(!$T-m_5^Fnu=d5-AbwfmbSsbHS7K#)kX5rdpC>hHc}Oc*k<49qh?^QqM{Jup{0 zwGjYZqqn9Wm`i;`8Ej3>FjurMj>#jgSlJV-DPyB|UytMWp-4lC2m-T~Skh|858vFi zLmY-4m`nY0OBE{Qdtg?WH4)3e*=3+vtC>XB3^QptNpYQ66L&p0TWepO1wvd&He!$y zM*ZCvu=1ENbQ&TY>c-Rqv$2Z?cV-!I9sKEm*}=_=ggpHF-KM@b!(7q6Sk)t_SlJV- zDWm@GOT>hs1>_+sI#vWCNEF-GW!-%hgEj%nfMvika7h_RT24~1YohQF61zlX)VD8& z%VWaO0`icJ_LCrMPzXXvHX_!9vEc5DwTNGHOiUVC1}p=!40tSCBg=r2fuyo01zS;y zERVfd*%PcO!`c^TgZO3b3rR4#{0umRkSzF6Jc_aXj3r`4m=zCZdO*B1aQkY239NdW zxkJ!}8)f=lW_dfP9ole=nJ~E$6A%k1KB_u<9<~g^&t>F)NETR3xBMEPe%&EZh?Ysj zEUPw?z_D&kJusX4*z9B5dwP{E8NLeal~@^u!9gRz;&EKOaiu{@B@t8AGNxtVEHe;S zK(aLIS`7yj+O0=$2^Npzq9SltVgh0Tsg9L#X4#0bux|VZYz{yWt)eVum8J<<29ykN zOER(yTw?~3mJ{pp%2soz=&|GzGP@FU;lZ)?wJ>2OZW*u)oFoJGS2voac}4p|cT&5= zJ=&LyxiDhYF&*m>v$JVdh? zLBCMGM!W}Rja`$m44hjAnzfoqV9hYCeND2u-$ib-t;QcjAwbx7*i^MI5fca}q6Z!9 z^uX-kra_)h27CusdSG^N^Z7uoOpfc|brVct$5ym2sH!(P_qh9#G1*ux5eur0m4T|- z*HZ_es`eEGW9JM0fl*7YR?y_mA_F*?w@6!!Ym3Kf<1yh>bck_QurXPrP=!4t6D%Iz zqkRD;j|t8K%7d(HHU3~f_8^Ceb(japtA0a1jVuFqGw|^4MCUPThS5c3AZa|B& zwM$t0N=}8@1p@M8WEr@`40t%5FCL|EKH;K45Xu*iBje%m5fzAQBx7n?cX+%&W67F@ zc1JcdeDCJy?<_QyC+L6?ZxyhwlZdISC0x&=TYim?s?MH=O+>Q;elFvi%-ErR&7Oyy zGRAMhAzCI8v#i=oqDT2{fl19G%mBH}+=-?})3bF&us*H|>}d3anX`4}pmDf^MDjhx zgw0A!Kp0sDE-C|Y1){miz{2(gl{_Y}D#^249;E6zA7v&9fyX1MeKiQ>-yKiO)|d%_@e@FgovQZb4uW`3KRld8kQag8N_uUj zeonmyX3mbyZ6^agE)9BMZYSfbBJr!V^U4h{-H4pj{&5QTt5y9Ps%n=Y7Fc-qrDHk( z2LA|yS@9pc8H=cnK~txy_SNL>YZifnXPF@R2U@_{99?+jsk(Z(yn{xf%Q!VRNVLJ2 zHXaf$y%p^X$x*umL1L^8GO!hVf?5M3hu8-FOnEo)hO(#LFoK<0~U4j5HuHw*gR$@Bn2Q0z>=t&5e1gVbg zMYOHlYFNub6K;6yoUVP1=3sJTL>r6eD#DqqJcqEcDoRA+pY!(z)x+X_d|YWOG3DhP zi^tvq;VmE9UO?G?_TcF6to%R^$pPc|)dU7AshA$BdT7Hy5E7nY{e0w=Hcy6O6vUr< zo;?o><-i!?Gq#vjNyW@Rue(F~!eh9@KGmv?5|c^-_u%)yyoVdIikDe^IfphG*W2v8 zEt=o4#B5!8s2#8g`&hikm4<;vJ7&t54ys|*5(cx>PENtsw`Y z?D;-r99s@%OXMJs#q{9J$^cH`#6O&^o)}KQCacKckDu0F9oG+fao)refv9phOM=VwVVvR+t{vqJIIM zFdITRG_Jv6Qjh?vKys^LMGxh16(6l)sz5R(K{H@^3}!)M(#SGk8MyomJh}W3&LskZ zBl*f%bdkG*gOJ!I0^?YX*I|07&ni1%HiR$?6V76#A5T zGA2PYMBp))1sU2hm_}ET0h}+bsR1U=Q=?1wnPpBL6tBFm>z>!WhcxA5$uB;HdzobiX^uhR`pPa8xQYV1w$km zlb{o@JO;BMF}ad%SL)}~dtm15XmjJYtD3+)cs(%h;fASL2G(c5t%C5YwDZahFx^-& z3HbTS2~LR|1jH^87{_W{!UNx76I2O_56takd|f0cOs%OECc&|M-vKLt&0s z1}-ZD1b0L2_ZYz)ds3<*=?i2IH#0jz(W$ z=IjI~XaI}JVRJJ)9Xxg#V9LvxVBugEyV;WA!70-2^`pNI(g_xiW6aSVNU5Y_&Qk9H zcGv7^AUvwN12;TOIzDeYvbD`_5PnE@#A40(^y@7TT3{yrMf4;D&EZ-eQqh`vU^ew} za;`VUtpp>;<Txpn9NyYR~jjJ|qwyHX*E}SP5HOlDHGLSt- zeQgw@VE%k(h{&jKU#z8I=F3150t0|NHqm}g0s z{OipCw-rf#;Wj)0O$% zNrT+>Kedr7XEDj#ojinMmrAgvjQaMaV^$P@IKM_XsmluKjItt(1twTw*dtjy($|F}6SuD{5bFmYq&>;i!BYO@lVtt_V zxaKqWvx0imn$~0b{XY$sFZ^p-cVFyMvxAQ4PDu|HV@}~+ENhcN@zKDFx@fxCC8THFd7Xc$ zTvs~bl9rPc?3$3rtchJBGV0qG8&xo)e-B@JRcTIqjw&E*qDTaZXbpoH%Y?Du?u)gE zUjn9l5T<6KZ)6#`mJE0-3nR;bk^#ALDoT;%u@_5hf;D9{Z(oYpFN3$u_O;1NN4J4% z`tg*zv%xvPVRDEqS@7X%uNd^tSRz)0S@B?|2gFMQx35N+1i*mhG02(C&J$*#TBh%5 zHdsBzOu%Y@iFy#RB6<=UEP?V5lj3>PGVya6@`I_Ye#@`%>DL|3hX$Cl-<+NQK5W_R zvIG*5o2M!=!OFbM5{=~ln=txY?YDA5^|U2 zZqC~?=-=Fuj2dL1xvPkf{EDy5MJwQ*6DW8f9R`N=(d;HZ6 zx6-EzRhKJgF(8yywM)d>OTdC?k#x-1H&nBuk+Ov7%OmE_N8OW2O*{q zmW-|{163#1!i@C5T{1EVgz?k?qWa{JF=GS|UVcF~ z3u9{te^RzJRbsyUvTJQQ;`zAOZl@aa<(s!>R&g{hp5{ULi;u|yxK9RZTg?*e2AKPH z5-aDdxK_E7RQ3dm)ngALVD0T;uRtnTeED$SXe!};eb`uzMvvw zCJ{vRBm`JmN%D2f{egR6-rpP3ynqb&4nFq4?BM1_Lb?uKH^Y=GXVGh@CM7n(VrDG) z1T2UaNyp4r_Pb_BBdTLXH!qftLCX?z%pp!7?m*-{~~%40?Ir%GDuZi@|_Z^M>ia>PSw5;rjcdfdNQE> z@sI1tf<>xiAgTSaE~EHYk=i9Gp;o3 zXryDtlBSwPNL!;D?+!`bT4bA!C$50mGOG$(KHpiL05LAAuyip|BpK5|_h#@f$sz&0 zED{Ksi8B;z81PceV*fp{Y%rbzMWVAj5s2Q6hx1d5@Qt+sm zlMA?6ajl9)?Gglt4()65Z3fVj;t!J`)v>*Zww+~zAZ_hS%bD@6Bm-`XadJ?nx=cLj zU)}IqJXkTNr1tY6T<}33v9Q`DAh57~K}E)N%pGrgWD!V!$`X6I%=S&5Yu&zdO!*)X z{5#dMf-R%7$pFs5*3<*DwU3vI*W<&-CYb9Ey6bQ}8enqGs!t9XQ$7d;3ys- z9oWG?!XVqeJTqhvs1>QIeGMKs)on$_ln(;If)#jV!RXpD@c7ySws@CjK(3rsNNSfL zKs<-`1+%JS5YS0(HLT~Li!SQ1bGr65nuE!W5p67!(pdLDyE0J9$GN4gYEopx&{&P z{mC$V4rcbj)G6cmo3ofzNyUs0yM7J~(X48qf=LxJOGSEMF7-i`_bRt96X#%DZ?p5Z zXnV&JvvuX6c4)zb{bko1JJygf9dqNVB@AYzf!PRYdvwU@_5#~-M1=KYgNUSF$sDB z%VRJL5|c)jfm{Z5xk;N_oB_93;{p`?{tD^>JYD7@jpE}rUpZ^w9E8L!5g5m6ybjYt zeOB2CvmpfRgB&)&K4EZI0&^nCt%g-R)U16W3r1I%0l&qVIjHf2q7%gSVetX$4kWnKF*m7_%jE5XfSBaAuXA zFdIU^KFDE{1pz}P&)mB9HM1(>>X-z*5CKHzL1JmVd{iNLV-g)~|F zLKcj+kpX;MM+jwBJTR%!SC}h*1;9)P^_$`8;IRYIBI%eFOYdMIlq|wH3GE;tzwKT> z`s*N;VDUJ{9NmGGN;+oZUqruab~KQEm~?#JRJ9N0ZaDr5>*ttAuy`DQVfK~>Eim)X z>)tgBS5^@c)tY)>HuVwb%yS}~p#xmtNjqH_Mf?#)5}XEU^jJ zlws|QvqAi__Jt%ESq82+1G_7Zy>;kG%SrAHik7%-c?h*^Upi*upJ*07d2Cb(2xQ5# z6#^%3ZNjL(`zm0{2O)+4hm5W%1Johd9+(~6yhun~qqn9Wm`i;`8Ej4KF<(W^Jbrvm z7$gN76D9Hxh$S|u!c)d6@4h~POKaK})Crp;8!^ZU<8k~x6yhZ!2;?!7#N|aw=w<$H zf56Lv3nY4AE-*iex}^#g@;xvs%$kU0;OsJhdeoYlVak=W#$^woSlJV-DdUvw3m&a& zUz}S4267xdk3mit^><&uBGwczlf;Q;p}&HtL;LE1xgtbUy?_k34nFq4?BM1_LiTvX zxEZEgIg7bwRS%(9*%PcOBQ^nutE6M*EBjruFyOHf9RpJ(0yl4M!nmxvFN9=d8L$jk z1~!v{r1mETyCy^rA+bwDMt%EYI0ZBDFQO+Qz#%4&jVb{FkqDf;wFzUv-4|;SzXZ%A z0?{n=jVuG#k^zrpVPqLlG9Xt@MJcj8_QWm`8P>iyO@v(Kdy{++VhW*bWEnV@3^+KF zJ#UL1{){DJMTjSOz+$Ec#7hIWudrM&p^}bC&<$7~gPhsyJYg29W%{0GgVkfq1gr*_ z@vC<^G*|+~M^%%DN%6dCnfSR3`N33Hzvb8X^y?1CumR>b875*y^dtn9SWP$wT2l|q zram_N*mk*BIREn{!&ia55-Yj2dL1xb)S+1N_Ce%I>YVSb6sy;bn%-A<%k-e?W zwXdp!VM3OHQ)B?kaC7wX;7=9PN&o7GJ5^NA#m6kU`>ySm&F+J0d zm@VHaF*Ca10918tqhrbkAzlH%lF?OVpz6e0n2{cs3tiZ%ICTiD4d%Lo-s57W0VaRf z#tD}zXVuYAyF{$L<57TU70H;fZ^$CyE>DxF@B&9XZ*9VO>VQ;za>$tSL5L}YrImzl z0b5fK%!NMsh4MAxJuqwRnv7-O+%nLh)yzU_hACIhna$jU+9hJ`C8$EQie$`uLrFpi z7G#hhA-=e|;+2cW9<6$C3H$cq5L$TDCV zunbrRVg{1hpH$8$qV1?%;vVe_wq(r2zlffM5S*1kf`t0s`tA$HWXvRje2}WQ#m=3zZKf)kq7W2nPRg;HF@w{o7__+-E!Bke?!G-bZ*YJ$(O3crpAFv|& zEl-Y5+aiP(gk#;BdSEv7(KSU|4mMj?1dMT2l)#=G1d(G8;RK7vkwM@#z>Fnrwyqp3 z;Jl~(GU=aqhWrj(R46^4J zwzF&y6vkMF!C@b6ct(Dh~D$@PWYCF1I;*Ts4#P`98$b#kE# zENbTD0&Z4ZtBk5$f&gLdDl4P!G(2UHjfBJ&Cy?6XM+O4KSO`MPS&8YO zAFv1mpeG?<5~Mn|7m>D(8FH&(CIlAVeL+RWOd^2jJV;Egq}NvJ=hS;(=Iq$qb~4c8 z(x3+C5JW7F*{^$Hz{ZLgN$Im%RkV65p;>vMvg7B6PZ7-l~ zKYMWWcUBjn7cRVypY14UdzOmnA)|-3N0~gTddoBMVbae7TYY~r45MK7!Bl7l#_>02 zRXi|N%+$YtzC-%L&(anRR0vRo%u)p-T}40So(qacnu5Es=vj zP$dikrpKVJeL09`LBJ@IT68?r#|jc0E8;;w#w4%w&pc7_82-pWX zY*YydWa(3$ZQZ_fOk#^M0*}EgNK6`CRR(%g(;k>tbx@RG#|v78e;-y8%ykFd-^cHQ zP8&@A5S(Br)cz4QR!(qAD`OILLIfUzS&*1qNpG*z&#Cvo%-ONI?PQ?Gr9ltO?PPpiBq&U+sTC%{ zv3%t$xeQeYA+bvY#<3bhTq9zpgFsLv4D$YEMIa7x*r*Z^5J_$|tm2`D26I_=U+~(< zGGH073~VI>gh2A`p(aEJA+bvYMt%DNoWmxl5(atyvLX-%Ic!u32#8eIzM^9y&Xgfz z62$Z%r$DxE8MuZFJiUe>Eygt&ASmZ6XAP`_kk};x!`c_pWbF%CFxo~2@Npd>lv(k> zq)K05?(EZXf(o#h95y$@)8pqHm{3W_tXO&n^iZ-0<0Q0$fc&<5{phcQSc1jl7;|(7 zQYz_~iGLCOuG!H*_F>ZTc~jLsn7iT5gR==13&dZTz2!j*%$X+b`{#;%s;NQb(kLN`E?aSV1ZEzl17$+=bVAC0tkMD zbJSx{Lmoo;^L@&wZ(pqC`6XZ`{)uLx@3B!OARrQfleacu9G{1Wc!>xCc})2r#1Km8 z#=qVSaGNt)p8>xf;w%y9EWK*ulw3J$@I8cLiA}Jk3~OJU4dR!zFC@XpGH`|&pcDDD zJCU7hFq5>L4J_u8@&|g8+p?&qhToIzFUO)z12OoQ2c5w3| zA$vSx+zeB$oW)$Ts)tZ4u?g0c5t{(SRnjr@4dt#`81UGLj)AEXft$BBVO-YT7eX?! z3|Iy%1DnY}Qu~vFT@#{*kk}<6qrQDHoPwG77txat;1H9?MwNhoNCZya+Jv#-?u)gE zUjk+lfoK-`MwWqV$$-bQFtQ9N8IUWdq7+#kdt#S}3~OJUCPJ?Ay-7X@F@;bzvJ9L{ z1{@s8p0`C0f5sBABE%CsU@_AJ;-!JxS6D8XP)Wxm=msp0LC$P;o-hm5GJQ|8!Rj$) z0#*ae_|>}{8Z3e0qpHa>ta#qEO#EDi{9r1p-|}mGVs(dO*Z}jJ3=^>;dJ+OltR|cT zt*HlQQy-gsY3)+|J7~Ih*S+NNg>qR#lK&n@>j42<4m_k@GvJB)h zz%9whGH{IJoV6l3vy#y?4Uz~*4`Q*gqMUX)w%YbFz@-tw6b)(raOj=G- zIg2LHo#ZOa%zLyi9W(JSq9-8)uT{r_Q2zZ9Ps?{o%#3b0094(5>6l3b5j_b3mW-|{ z163#1!i@C5TJJJusKLiF(wUnqkV7v&!Y9#3op*9vch+3!+sdW9BRSU9+PR z8KlaGs`mBN0js(q$e2k4`5>#j)`jpbU~B4uxzIvh2(AYcV+`RA?9Pc zWTYdIQC2kNgY#hC}x0FudjmetUDo z2Ll0*aV@}P<(m=nHTDmf!-EmivttZ>_zY+t*F*$o-AKPQ{NiDcPesRI!|>$)eevRs zJsJKKzy1op#)+SQ@u!FX@x|X5{_PiE93^HGP?pd1L9{hs$0qZaYgZsEfY{)`_&F%#dXPf|Tb^<;lHxVP5fJ&SIhHeFk zo~dLfM#o@w=9q{PVqqJ3^25u&_`5h5fj;5I#_NKYF;1azUF`UzdUg0O|L(z>OEgYWEV~=5Cn&xOsUb*x=ZFQVaVhRk%ol@M>D@v4-~723UmDjB hpW=7?g5F^Y#R^h49+OA!d1_o6Sny*V8vff~`~NMK>*N3c literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt new file mode 100644 index 0000000..6448284 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.rpt @@ -0,0 +1,455 @@ +Timing Analyzer report for DecoderDemo +Mon Nov 14 21:56:50 2022 +Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Parallel Compilation + 4. Clocks + 5. Slow 1200mV 85C Model Fmax Summary + 6. Timing Closure Recommendations + 7. Slow 1200mV 85C Model Setup Summary + 8. Slow 1200mV 85C Model Hold Summary + 9. Slow 1200mV 85C Model Recovery Summary + 10. Slow 1200mV 85C Model Removal Summary + 11. Slow 1200mV 85C Model Minimum Pulse Width Summary + 12. Slow 1200mV 85C Model Metastability Summary + 13. Slow 1200mV 0C Model Fmax Summary + 14. Slow 1200mV 0C Model Setup Summary + 15. Slow 1200mV 0C Model Hold Summary + 16. Slow 1200mV 0C Model Recovery Summary + 17. Slow 1200mV 0C Model Removal Summary + 18. Slow 1200mV 0C Model Minimum Pulse Width Summary + 19. Slow 1200mV 0C Model Metastability Summary + 20. Fast 1200mV 0C Model Setup Summary + 21. Fast 1200mV 0C Model Hold Summary + 22. Fast 1200mV 0C Model Recovery Summary + 23. Fast 1200mV 0C Model Removal Summary + 24. Fast 1200mV 0C Model Minimum Pulse Width Summary + 25. Fast 1200mV 0C Model Metastability Summary + 26. Multicorner Timing Analysis Summary + 27. Board Trace Model Assignments + 28. Input Transition Times + 29. Signal Integrity Metrics (Slow 1200mv 0c Model) + 30. Signal Integrity Metrics (Slow 1200mv 85c Model) + 31. Signal Integrity Metrics (Fast 1200mv 0c Model) + 32. Clock Transfers + 33. Report TCCS + 34. Report RSKM + 35. Unconstrained Paths Summary + 36. Unconstrained Input Ports + 37. Unconstrained Output Ports + 38. Unconstrained Input Ports + 39. Unconstrained Output Ports + 40. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; DecoderDemo ; +; Device Family ; Cyclone IV E ; +; Device Name ; EP4CE6E22C6 ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+-----------------------------------------------------+ + + ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processors 2-4 ; 0.1% ; ++----------------------------+-------------+ + + +---------- +; Clocks ; +---------- +No clocks to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Fmax Summary ; +-------------------------------------- +No paths to report. + + +---------------------------------- +; Timing Closure Recommendations ; +---------------------------------- +HTML report is unavailable in plain text report export. + + +--------------------------------------- +; Slow 1200mV 85C Model Setup Summary ; +--------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 85C Model Hold Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------------ +; Slow 1200mV 85C Model Recovery Summary ; +------------------------------------------ +No paths to report. + + +----------------------------------------- +; Slow 1200mV 85C Model Removal Summary ; +----------------------------------------- +No paths to report. + + +----------------------------------------------------- +; Slow 1200mV 85C Model Minimum Pulse Width Summary ; +----------------------------------------------------- +No paths to report. + + +----------------------------------------------- +; Slow 1200mV 85C Model Metastability Summary ; +----------------------------------------------- +No synchronizer chains to report. + + +------------------------------------- +; Slow 1200mV 0C Model Fmax Summary ; +------------------------------------- +No paths to report. + + +-------------------------------------- +; Slow 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Slow 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Slow 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Slow 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Slow 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Slow 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + +-------------------------------------- +; Fast 1200mV 0C Model Setup Summary ; +-------------------------------------- +No paths to report. + + +------------------------------------- +; Fast 1200mV 0C Model Hold Summary ; +------------------------------------- +No paths to report. + + +----------------------------------------- +; Fast 1200mV 0C Model Recovery Summary ; +----------------------------------------- +No paths to report. + + +---------------------------------------- +; Fast 1200mV 0C Model Removal Summary ; +---------------------------------------- +No paths to report. + + +---------------------------------------------------- +; Fast 1200mV 0C Model Minimum Pulse Width Summary ; +---------------------------------------------------- +No paths to report. + + +---------------------------------------------- +; Fast 1200mV 0C Model Metastability Summary ; +---------------------------------------------- +No synchronizer chains to report. + + ++----------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+-------+------+----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+-------+------+----------+---------+---------------------+ +; Worst-case Slack ; N/A ; N/A ; N/A ; N/A ; N/A ; +; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ++------------------+-------+------+----------+---------+---------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Board Trace Model Assignments ; ++---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; ++---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ +; Y3 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Y2 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Y1 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; Y0 ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_DCLK~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; +; ~ALTERA_nCEO~ ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; ++---------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ + + ++----------------------------------------------------------------------------+ +; Input Transition Times ; ++-------------------------+--------------+-----------------+-----------------+ +; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; ++-------------------------+--------------+-----------------+-----------------+ +; E1 ; 2.5 V ; 2000 ps ; 2000 ps ; +; X0 ; 2.5 V ; 2000 ps ; 2000 ps ; +; X1 ; 2.5 V ; 2000 ps ; 2000 ps ; +; E0L ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_ASDO_DATA1~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_FLASH_nCE_nCSO~ ; 2.5 V ; 2000 ps ; 2000 ps ; +; ~ALTERA_DATA0~ ; 2.5 V ; 2000 ps ; 2000 ps ; ++-------------------------+--------------+-----------------+-----------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 0c Model) ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; +; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; +; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.38 V ; -0.0145 V ; 0.169 V ; 0.026 V ; 4.83e-10 s ; 4.71e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.38 V ; -0.0145 V ; 0.169 V ; 0.026 V ; 4.83e-10 s ; 4.71e-10 s ; Yes ; Yes ; +; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; 2.32 V ; 4.44e-09 V ; 2.39 V ; -0.0265 V ; 0.2 V ; 0.033 V ; 2.94e-10 s ; 3.12e-10 s ; Yes ; Yes ; +; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; 2.32 V ; 3.45e-09 V ; 2.38 V ; -0.0609 V ; 0.148 V ; 0.095 V ; 2.82e-10 s ; 2.59e-10 s ; Yes ; Yes ; +; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; 2.32 V ; 5.61e-09 V ; 2.38 V ; -0.00274 V ; 0.141 V ; 0.006 V ; 4.7e-10 s ; 6.02e-10 s ; Yes ; Yes ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Slow 1200mv 85c Model) ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; +; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; +; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.35 V ; -0.00832 V ; 0.101 V ; 0.024 V ; 6.39e-10 s ; 6e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.35 V ; -0.00832 V ; 0.101 V ; 0.024 V ; 6.39e-10 s ; 6e-10 s ; Yes ; Yes ; +; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; 2.32 V ; 7.16e-07 V ; 2.36 V ; -0.00476 V ; 0.096 V ; 0.013 V ; 4.39e-10 s ; 4.15e-10 s ; Yes ; Yes ; +; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; 2.32 V ; 5.74e-07 V ; 2.36 V ; -0.0201 V ; 0.072 V ; 0.033 V ; 4.04e-10 s ; 3.29e-10 s ; Yes ; Yes ; +; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; 2.32 V ; 9.45e-07 V ; 2.35 V ; -0.00643 V ; 0.081 V ; 0.031 V ; 5.31e-10 s ; 7.59e-10 s ; Yes ; Yes ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Signal Integrity Metrics (Fast 1200mv 0c Model) ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ +; Y3 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; +; Y2 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; +; Y1 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.71 V ; -0.0317 V ; 0.148 V ; 0.064 V ; 4.51e-10 s ; 4.15e-10 s ; No ; Yes ; 2.62 V ; 2.74e-08 V ; 2.71 V ; -0.0317 V ; 0.148 V ; 0.064 V ; 4.51e-10 s ; 4.15e-10 s ; No ; Yes ; +; Y0 ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; 2.62 V ; 2.74e-08 V ; 2.73 V ; -0.0384 V ; 0.169 V ; 0.089 V ; 2.7e-10 s ; 2.62e-10 s ; Yes ; Yes ; +; ~ALTERA_DCLK~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; 2.62 V ; 2.22e-08 V ; 2.74 V ; -0.06 V ; 0.158 V ; 0.08 V ; 2.68e-10 s ; 2.19e-10 s ; Yes ; Yes ; +; ~ALTERA_nCEO~ ; 2.5 V ; 0 s ; 0 s ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; 2.62 V ; 3.54e-08 V ; 2.7 V ; -0.00943 V ; 0.276 V ; 0.035 V ; 3.19e-10 s ; 4.99e-10 s ; No ; Yes ; ++---------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ + + +------------------- +; Clock Transfers ; +------------------- +Nothing to report. + + +--------------- +; Report TCCS ; +--------------- +No dedicated SERDES Transmitter circuitry present in device or used in design + + +--------------- +; Report RSKM ; +--------------- +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design + + ++------------------------------------------------+ +; Unconstrained Paths Summary ; ++---------------------------------+-------+------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 4 ; 4 ; +; Unconstrained Input Port Paths ; 16 ; 16 ; +; Unconstrained Output Ports ; 4 ; 4 ; +; Unconstrained Output Port Paths ; 16 ; 16 ; ++---------------------------------+-------+------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; E0L ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; E1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; X0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; X1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; Y0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; E0L ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; E1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; X0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; X1 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; Y0 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y1 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y2 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; Y3 ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime Timing Analyzer + Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition + Info: Processing started: Mon Nov 14 21:56:50 2022 +Info: Command: quartus_sta DecoderDemo -c DecoderDemo +Info: qsta_default_script.tcl version: #1 +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Info (21076): High junction temperature operating condition is not set. Assuming a default value of '85'. +Info (21076): Low junction temperature operating condition is not set. Assuming a default value of '0'. +Critical Warning (332012): Synopsys Design Constraints File file not found: 'DecoderDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info (332159): No clocks to report +Info: Analyzing Slow 1200mV 85C Model +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Slow 1200mV 0C Model +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No fmax paths to report +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info: Analyzing Fast 1200mV 0C Model +Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" +Info (332096): The command derive_clocks did not find any clocks to derive. No clocks were created or changed. +Warning (332068): No clocks defined in design. +Info (332154): The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers. +Info (332140): No Setup paths to report +Info (332140): No Hold paths to report +Info (332140): No Recovery paths to report +Info (332140): No Removal paths to report +Info (332140): No Minimum Pulse Width paths to report +Info (332102): Design is not fully constrained for setup requirements +Info (332102): Design is not fully constrained for hold requirements +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 465 megabytes + Info: Processing ended: Mon Nov 14 21:56:50 2022 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary new file mode 100644 index 0000000..aa5b327 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/output_files/DecoderDemo.sta.summary @@ -0,0 +1,5 @@ +------------------------------------------------------------ +Timing Analyzer Summary +------------------------------------------------------------ + +------------------------------------------------------------ diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft new file mode 100644 index 0000000..0c5034b --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho new file mode 100644 index 0000000..1f49a6a --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo.vho @@ -0,0 +1,328 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "11/14/2022 21:56:51" + +-- +-- Device: Altera EP4CE6E22C6 Package TQFP144 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY Dec2_4 IS + PORT ( + Y3 : OUT std_logic; + E0L : IN std_logic; + E1 : IN std_logic; + X1 : IN std_logic; + X0 : IN std_logic; + Y2 : OUT std_logic; + Y1 : OUT std_logic; + Y0 : OUT std_logic + ); +END Dec2_4; + +-- Design Ports Information +-- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default +-- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default +-- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default +-- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default +-- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default +-- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default +-- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default +-- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF Dec2_4 IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_Y3 : std_logic; +SIGNAL ww_E0L : std_logic; +SIGNAL ww_E1 : std_logic; +SIGNAL ww_X1 : std_logic; +SIGNAL ww_X0 : std_logic; +SIGNAL ww_Y2 : std_logic; +SIGNAL ww_Y1 : std_logic; +SIGNAL ww_Y0 : std_logic; +SIGNAL \Y3~output_o\ : std_logic; +SIGNAL \Y2~output_o\ : std_logic; +SIGNAL \Y1~output_o\ : std_logic; +SIGNAL \Y0~output_o\ : std_logic; +SIGNAL \E1~input_o\ : std_logic; +SIGNAL \X1~input_o\ : std_logic; +SIGNAL \X0~input_o\ : std_logic; +SIGNAL \E0L~input_o\ : std_logic; +SIGNAL \inst~combout\ : std_logic; +SIGNAL \inst1~combout\ : std_logic; +SIGNAL \inst3~combout\ : std_logic; +SIGNAL \inst2~combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +Y3 <= ww_Y3; +ww_E0L <= E0L; +ww_E1 <= E1; +ww_X1 <= X1; +ww_X0 <= X0; +Y2 <= ww_Y2; +Y1 <= ww_Y1; +Y0 <= ww_Y0; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X0_Y6_N23 +\Y3~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst~combout\, + devoe => ww_devoe, + o => \Y3~output_o\); + +-- Location: IOOBUF_X0_Y6_N16 +\Y2~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst1~combout\, + devoe => ww_devoe, + o => \Y2~output_o\); + +-- Location: IOOBUF_X0_Y9_N9 +\Y1~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst3~combout\, + devoe => ww_devoe, + o => \Y1~output_o\); + +-- Location: IOOBUF_X0_Y5_N16 +\Y0~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst2~combout\, + devoe => ww_devoe, + o => \Y0~output_o\); + +-- Location: IOIBUF_X0_Y11_N15 +\E1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E1, + o => \E1~input_o\); + +-- Location: IOIBUF_X0_Y7_N1 +\X1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X1, + o => \X1~input_o\); + +-- Location: IOIBUF_X0_Y11_N22 +\X0~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X0, + o => \X0~input_o\); + +-- Location: IOIBUF_X0_Y8_N15 +\E0L~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E0L, + o => \E0L~input_o\); + +-- Location: LCCOMB_X6_Y9_N8 +inst : cycloneive_lcell_comb +-- Equation(s): +-- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000000010", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst~combout\); + +-- Location: LCCOMB_X6_Y9_N2 +inst1 : cycloneive_lcell_comb +-- Equation(s): +-- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000100000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst1~combout\); + +-- Location: LCCOMB_X6_Y9_N28 +inst3 : cycloneive_lcell_comb +-- Equation(s): +-- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000010000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst3~combout\); + +-- Location: LCCOMB_X6_Y9_N30 +inst2 : cycloneive_lcell_comb +-- Equation(s): +-- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000001000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst2~combout\); + +ww_Y3 <= \Y3~output_o\; + +ww_Y2 <= \Y2~output_o\; + +ww_Y1 <= \Y1~output_o\; + +ww_Y0 <= \Y0~output_o\; +END structure; + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf new file mode 100644 index 0000000..ec369e7 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/DecoderDemo_modelsim.xrf @@ -0,0 +1,16 @@ +vendor_name = ModelSim +source_file = 1, /home/tiagorg/repos/DecoderDemo/Dec2_4.bdf +source_file = 1, /home/tiagorg/repos/DecoderDemo/WaveformDecoderNode.vwf +source_file = 1, /home/tiagorg/repos/DecoderDemo/Waveform.vwf +source_file = 1, /home/tiagorg/repos/DecoderDemo/Waveform1.vwf +source_file = 1, /home/tiagorg/repos/DecoderDemo/db/DecoderDemo.cbx.xml +design_name = hard_block +design_name = Dec2_4 +instance = comp, \Y3~output\, Y3~output, Dec2_4, 1 +instance = comp, \Y2~output\, Y2~output, Dec2_4, 1 +instance = comp, \Y1~output\, Y1~output, Dec2_4, 1 +instance = comp, \Y0~output\, Y0~output, Dec2_4, 1 +instance = comp, \E1~input\, E1~input, Dec2_4, 1 +instance = comp, \X1~input\, X1~input, Dec2_4, 1 +instance = comp, \X0~input\, X0~input, Dec2_4, 1 +instance = comp, \E0L~input\, E0L~input, Dec2_4, 1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do new file mode 100644 index 0000000..c1b0f63 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.do @@ -0,0 +1,4 @@ +vcom -work work WaveformDecoderNode.vwf.vht +vsim -novopt -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst -voptargs="+acc" +add wave /* +run -all diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht new file mode 100644 index 0000000..0a22283 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/modelsim/WaveformDecoderNode.vwf.vht @@ -0,0 +1,118 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "11/04/2022 12:48:42" + +-- Vhdl Test Bench(with test vectors) for design : Dec2_4 +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY Dec2_4_vhd_vec_tst IS +END Dec2_4_vhd_vec_tst; +ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS +-- constants +-- signals +SIGNAL E0L : STD_LOGIC; +SIGNAL E1 : STD_LOGIC; +SIGNAL X0 : STD_LOGIC; +SIGNAL X1 : STD_LOGIC; +SIGNAL Y0 : STD_LOGIC; +SIGNAL Y1 : STD_LOGIC; +SIGNAL Y2 : STD_LOGIC; +SIGNAL Y3 : STD_LOGIC; +COMPONENT Dec2_4 + PORT ( + E0L : IN STD_LOGIC; + E1 : IN STD_LOGIC; + X0 : IN STD_LOGIC; + X1 : IN STD_LOGIC; + Y0 : OUT STD_LOGIC; + Y1 : OUT STD_LOGIC; + Y2 : OUT STD_LOGIC; + Y3 : OUT STD_LOGIC + ); +END COMPONENT; +BEGIN + i1 : Dec2_4 + PORT MAP ( +-- list connections between master ports and signals + E0L => E0L, + E1 => E1, + X0 => X0, + X1 => X1, + Y0 => Y0, + Y1 => Y1, + Y2 => Y2, + Y3 => Y3 + ); + +-- E0L +t_prcs_E0L: PROCESS +BEGIN +LOOP + E0L <= '0'; + WAIT FOR 100000 ps; + E0L <= '1'; + WAIT FOR 100000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_E0L; + +-- E1 +t_prcs_E1: PROCESS +BEGIN +LOOP + E1 <= '0'; + WAIT FOR 50000 ps; + E1 <= '1'; + WAIT FOR 50000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_E1; + +-- X1 +t_prcs_X1: PROCESS +BEGIN +LOOP + X1 <= '0'; + WAIT FOR 25000 ps; + X1 <= '1'; + WAIT FOR 25000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_X1; + +-- X0 +t_prcs_X0: PROCESS +BEGIN +LOOP + X0 <= '0'; + WAIT FOR 12500 ps; + X0 <= '1'; + WAIT FOR 12500 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_X0; +END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do new file mode 100644 index 0000000..58c4d91 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vcom -work work DecoderDemo.vho +vcom -work work Waveform1.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +vcd file -direction DecoderDemo.msim.vcd +vcd add -internal Dec2_4_vhd_vec_tst/* +vcd add -internal Dec2_4_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd new file mode 100644 index 0000000..4d95cfb --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd @@ -0,0 +1,775 @@ +$comment + File created using the following command: + vcd file DecoderDemo.msim.vcd -direction +$end +$date + Mon Nov 14 21:42:31 2022 +$end +$version + ModelSim Version 2020.1 +$end +$timescale + 1ps +$end + +$scope module dec2_4_vhd_vec_tst $end +$var wire 1 ! E0L $end +$var wire 1 " E1 $end +$var wire 1 # X0 $end +$var wire 1 $ X1 $end +$var wire 1 % Y0 $end +$var wire 1 & Y1 $end +$var wire 1 ' Y2 $end +$var wire 1 ( Y3 $end + +$scope module i1 $end +$var wire 1 ) gnd $end +$var wire 1 * vcc $end +$var wire 1 + unknown $end +$var wire 1 , devoe $end +$var wire 1 - devclrn $end +$var wire 1 . devpor $end +$var wire 1 / ww_devoe $end +$var wire 1 0 ww_devclrn $end +$var wire 1 1 ww_devpor $end +$var wire 1 2 ww_Y3 $end +$var wire 1 3 ww_E0L $end +$var wire 1 4 ww_E1 $end +$var wire 1 5 ww_X1 $end +$var wire 1 6 ww_X0 $end +$var wire 1 7 ww_Y2 $end +$var wire 1 8 ww_Y1 $end +$var wire 1 9 ww_Y0 $end +$var wire 1 : \Y3~output_o\ $end +$var wire 1 ; \Y2~output_o\ $end +$var wire 1 < \Y1~output_o\ $end +$var wire 1 = \Y0~output_o\ $end +$var wire 1 > \E1~input_o\ $end +$var wire 1 ? \X1~input_o\ $end +$var wire 1 @ \X0~input_o\ $end +$var wire 1 A \E0L~input_o\ $end +$var wire 1 B \inst~combout\ $end +$var wire 1 C \inst1~combout\ $end +$var wire 1 D \inst3~combout\ $end +$var wire 1 E \inst2~combout\ $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0! +0" +0# +0$ +0% +0& +0' +0( +0) +1* +x+ +1, +1- +1. +1/ +10 +11 +02 +03 +04 +05 +06 +07 +08 +09 +0: +0; +0< +0= +0> +0? +0@ +0A +0B +0C +0D +0E +$end +#12500 +1! +13 +1A +#25000 +0! +1" +03 +14 +1> +0A +1B +1: +12 +1( +#37500 +1! +13 +1A +0B +0: +02 +0( +#50000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#62500 +1! +13 +1A +#75000 +0! +1" +03 +14 +1> +0A +1C +1; +17 +1' +#87500 +1! +13 +1A +0C +0; +07 +0' +#100000 +0! +0" +0# +1$ +03 +04 +06 +15 +1? +0@ +0> +0A +#112500 +1! +13 +1A +#125000 +0! +1" +03 +14 +1> +0A +1E +1= +19 +1% +#137500 +1! +13 +1A +0E +0= +09 +0% +#150000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#162500 +1! +13 +1A +#175000 +0! +1" +03 +14 +1> +0A +1D +1< +18 +1& +#187500 +1! +13 +1A +0D +0< +08 +0& +#200000 +0! +0" +0# +0$ +03 +04 +06 +05 +0? +0@ +0> +0A +#212500 +1! +13 +1A +#225000 +0! +1" +03 +14 +1> +0A +1B +1: +12 +1( +#237500 +1! +13 +1A +0B +0: +02 +0( +#250000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#262500 +1! +13 +1A +#275000 +0! +1" +03 +14 +1> +0A +1C +1; +17 +1' +#287500 +1! +13 +1A +0C +0; +07 +0' +#300000 +0! +0" +0# +1$ +03 +04 +06 +15 +1? +0@ +0> +0A +#312500 +1! +13 +1A +#325000 +0! +1" +03 +14 +1> +0A +1E +1= +19 +1% +#337500 +1! +13 +1A +0E +0= +09 +0% +#350000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#362500 +1! +13 +1A +#375000 +0! +1" +03 +14 +1> +0A +1D +1< +18 +1& +#387500 +1! +13 +1A +0D +0< +08 +0& +#400000 +0! +0" +0# +0$ +03 +04 +06 +05 +0? +0@ +0> +0A +#412500 +1! +13 +1A +#425000 +0! +1" +03 +14 +1> +0A +1B +1: +12 +1( +#437500 +1! +13 +1A +0B +0: +02 +0( +#450000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#462500 +1! +13 +1A +#475000 +0! +1" +03 +14 +1> +0A +1C +1; +17 +1' +#487500 +1! +13 +1A +0C +0; +07 +0' +#500000 +0! +0" +0# +1$ +03 +04 +06 +15 +1? +0@ +0> +0A +#512500 +1! +13 +1A +#525000 +0! +1" +03 +14 +1> +0A +1E +1= +19 +1% +#537500 +1! +13 +1A +0E +0= +09 +0% +#550000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#562500 +1! +13 +1A +#575000 +0! +1" +03 +14 +1> +0A +1D +1< +18 +1& +#587500 +1! +13 +1A +0D +0< +08 +0& +#600000 +0! +0" +0# +0$ +03 +04 +06 +05 +0? +0@ +0> +0A +#612500 +1! +13 +1A +#625000 +0! +1" +03 +14 +1> +0A +1B +1: +12 +1( +#637500 +1! +13 +1A +0B +0: +02 +0( +#650000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#662500 +1! +13 +1A +#675000 +0! +1" +03 +14 +1> +0A +1C +1; +17 +1' +#687500 +1! +13 +1A +0C +0; +07 +0' +#700000 +0! +0" +0# +1$ +03 +04 +06 +15 +1? +0@ +0> +0A +#712500 +1! +13 +1A +#725000 +0! +1" +03 +14 +1> +0A +1E +1= +19 +1% +#737500 +1! +13 +1A +0E +0= +09 +0% +#750000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#762500 +1! +13 +1A +#775000 +0! +1" +03 +14 +1> +0A +1D +1< +18 +1& +#787500 +1! +13 +1A +0D +0< +08 +0& +#800000 +0! +0" +0# +0$ +03 +04 +06 +05 +0? +0@ +0> +0A +#812500 +1! +13 +1A +#825000 +0! +1" +03 +14 +1> +0A +1B +1: +12 +1( +#837500 +1! +13 +1A +0B +0: +02 +0( +#850000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#862500 +1! +13 +1A +#875000 +0! +1" +03 +14 +1> +0A +1C +1; +17 +1' +#887500 +1! +13 +1A +0C +0; +07 +0' +#900000 +0! +0" +0# +1$ +03 +04 +06 +15 +1? +0@ +0> +0A +#912500 +1! +13 +1A +#925000 +0! +1" +03 +14 +1> +0A +1E +1= +19 +1% +#937500 +1! +13 +1A +0E +0= +09 +0% +#950000 +0! +0" +1# +03 +04 +16 +1@ +0> +0A +#962500 +1! +13 +1A +#975000 +0! +1" +03 +14 +1> +0A +1D +1< +18 +1& +#987500 +1! +13 +1A +0D +0< +08 +0& +#1000000 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft new file mode 100644 index 0000000..0c5034b --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho new file mode 100644 index 0000000..3d1e9a9 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vho @@ -0,0 +1,328 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "11/14/2022 21:42:31" + +-- +-- Device: Altera EP4CE6E22C6 Package TQFP144 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY Dec2_4 IS + PORT ( + Y3 : OUT std_logic; + E0L : IN std_logic; + E1 : IN std_logic; + X1 : IN std_logic; + X0 : IN std_logic; + Y2 : OUT std_logic; + Y1 : OUT std_logic; + Y0 : OUT std_logic + ); +END Dec2_4; + +-- Design Ports Information +-- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default +-- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default +-- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default +-- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default +-- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default +-- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default +-- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default +-- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF Dec2_4 IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_Y3 : std_logic; +SIGNAL ww_E0L : std_logic; +SIGNAL ww_E1 : std_logic; +SIGNAL ww_X1 : std_logic; +SIGNAL ww_X0 : std_logic; +SIGNAL ww_Y2 : std_logic; +SIGNAL ww_Y1 : std_logic; +SIGNAL ww_Y0 : std_logic; +SIGNAL \Y3~output_o\ : std_logic; +SIGNAL \Y2~output_o\ : std_logic; +SIGNAL \Y1~output_o\ : std_logic; +SIGNAL \Y0~output_o\ : std_logic; +SIGNAL \E1~input_o\ : std_logic; +SIGNAL \X1~input_o\ : std_logic; +SIGNAL \X0~input_o\ : std_logic; +SIGNAL \E0L~input_o\ : std_logic; +SIGNAL \inst~combout\ : std_logic; +SIGNAL \inst1~combout\ : std_logic; +SIGNAL \inst3~combout\ : std_logic; +SIGNAL \inst2~combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +Y3 <= ww_Y3; +ww_E0L <= E0L; +ww_E1 <= E1; +ww_X1 <= X1; +ww_X0 <= X0; +Y2 <= ww_Y2; +Y1 <= ww_Y1; +Y0 <= ww_Y0; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X0_Y6_N23 +\Y3~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst~combout\, + devoe => ww_devoe, + o => \Y3~output_o\); + +-- Location: IOOBUF_X0_Y6_N16 +\Y2~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst1~combout\, + devoe => ww_devoe, + o => \Y2~output_o\); + +-- Location: IOOBUF_X0_Y9_N9 +\Y1~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst3~combout\, + devoe => ww_devoe, + o => \Y1~output_o\); + +-- Location: IOOBUF_X0_Y5_N16 +\Y0~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst2~combout\, + devoe => ww_devoe, + o => \Y0~output_o\); + +-- Location: IOIBUF_X0_Y11_N15 +\E1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E1, + o => \E1~input_o\); + +-- Location: IOIBUF_X0_Y7_N1 +\X1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X1, + o => \X1~input_o\); + +-- Location: IOIBUF_X0_Y11_N22 +\X0~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X0, + o => \X0~input_o\); + +-- Location: IOIBUF_X0_Y8_N15 +\E0L~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E0L, + o => \E0L~input_o\); + +-- Location: LCCOMB_X6_Y9_N8 +inst : cycloneive_lcell_comb +-- Equation(s): +-- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000000010", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst~combout\); + +-- Location: LCCOMB_X6_Y9_N2 +inst1 : cycloneive_lcell_comb +-- Equation(s): +-- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000100000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst1~combout\); + +-- Location: LCCOMB_X6_Y9_N28 +inst3 : cycloneive_lcell_comb +-- Equation(s): +-- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000010000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst3~combout\); + +-- Location: LCCOMB_X6_Y9_N30 +inst2 : cycloneive_lcell_comb +-- Equation(s): +-- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000001000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst2~combout\); + +ww_Y3 <= \Y3~output_o\; + +ww_Y2 <= \Y2~output_o\; + +ww_Y1 <= \Y1~output_o\; + +ww_Y0 <= \Y0~output_o\; +END structure; + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo new file mode 100644 index 0000000..cce1ee5 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo.vo @@ -0,0 +1,294 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +// DATE "11/14/2022 21:42:11" + +// +// Device: Altera EP4CE6E22C6 Package TQFP144 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module Dec2_4 ( + Y3, + E0L, + E1, + X1, + X0, + Y2, + Y1, + Y0); +output Y3; +input E0L; +input E1; +input X1; +input X0; +output Y2; +output Y1; +output Y0; + +// Design Ports Information +// Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default +// Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default +// Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default +// Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default +// E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default +// X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default +// X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default +// E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \Y3~output_o ; +wire \Y2~output_o ; +wire \Y1~output_o ; +wire \Y0~output_o ; +wire \E1~input_o ; +wire \X1~input_o ; +wire \X0~input_o ; +wire \E0L~input_o ; +wire \inst~combout ; +wire \inst1~combout ; +wire \inst3~combout ; +wire \inst2~combout ; + + +hard_block auto_generated_inst( + .devpor(devpor), + .devclrn(devclrn), + .devoe(devoe)); + +// Location: IOOBUF_X0_Y6_N23 +cycloneive_io_obuf \Y3~output ( + .i(\inst~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Y3~output_o ), + .obar()); +// synopsys translate_off +defparam \Y3~output .bus_hold = "false"; +defparam \Y3~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y6_N16 +cycloneive_io_obuf \Y2~output ( + .i(\inst1~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Y2~output_o ), + .obar()); +// synopsys translate_off +defparam \Y2~output .bus_hold = "false"; +defparam \Y2~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y9_N9 +cycloneive_io_obuf \Y1~output ( + .i(\inst3~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Y1~output_o ), + .obar()); +// synopsys translate_off +defparam \Y1~output .bus_hold = "false"; +defparam \Y1~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y5_N16 +cycloneive_io_obuf \Y0~output ( + .i(\inst2~combout ), + .oe(vcc), + .seriesterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(\Y0~output_o ), + .obar()); +// synopsys translate_off +defparam \Y0~output .bus_hold = "false"; +defparam \Y0~output .open_drain_output = "false"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y11_N15 +cycloneive_io_ibuf \E1~input ( + .i(E1), + .ibar(gnd), + .o(\E1~input_o )); +// synopsys translate_off +defparam \E1~input .bus_hold = "false"; +defparam \E1~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y7_N1 +cycloneive_io_ibuf \X1~input ( + .i(X1), + .ibar(gnd), + .o(\X1~input_o )); +// synopsys translate_off +defparam \X1~input .bus_hold = "false"; +defparam \X1~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y11_N22 +cycloneive_io_ibuf \X0~input ( + .i(X0), + .ibar(gnd), + .o(\X0~input_o )); +// synopsys translate_off +defparam \X0~input .bus_hold = "false"; +defparam \X0~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y8_N15 +cycloneive_io_ibuf \E0L~input ( + .i(E0L), + .ibar(gnd), + .o(\E0L~input_o )); +// synopsys translate_off +defparam \E0L~input .bus_hold = "false"; +defparam \E0L~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LCCOMB_X6_Y9_N8 +cycloneive_lcell_comb inst( +// Equation(s): +// \inst~combout = (\E1~input_o & (!\X1~input_o & (!\X0~input_o & !\E0L~input_o ))) + + .dataa(\E1~input_o ), + .datab(\X1~input_o ), + .datac(\X0~input_o ), + .datad(\E0L~input_o ), + .cin(gnd), + .combout(\inst~combout ), + .cout()); +// synopsys translate_off +defparam inst.lut_mask = 16'h0002; +defparam inst.sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X6_Y9_N2 +cycloneive_lcell_comb inst1( +// Equation(s): +// \inst1~combout = (\E1~input_o & (!\X1~input_o & (\X0~input_o & !\E0L~input_o ))) + + .dataa(\E1~input_o ), + .datab(\X1~input_o ), + .datac(\X0~input_o ), + .datad(\E0L~input_o ), + .cin(gnd), + .combout(\inst1~combout ), + .cout()); +// synopsys translate_off +defparam inst1.lut_mask = 16'h0020; +defparam inst1.sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X6_Y9_N28 +cycloneive_lcell_comb inst3( +// Equation(s): +// \inst3~combout = (\E1~input_o & (\X1~input_o & (\X0~input_o & !\E0L~input_o ))) + + .dataa(\E1~input_o ), + .datab(\X1~input_o ), + .datac(\X0~input_o ), + .datad(\E0L~input_o ), + .cin(gnd), + .combout(\inst3~combout ), + .cout()); +// synopsys translate_off +defparam inst3.lut_mask = 16'h0080; +defparam inst3.sum_lutc_input = "datac"; +// synopsys translate_on + +// Location: LCCOMB_X6_Y9_N30 +cycloneive_lcell_comb inst2( +// Equation(s): +// \inst2~combout = (\E1~input_o & (\X1~input_o & (!\X0~input_o & !\E0L~input_o ))) + + .dataa(\E1~input_o ), + .datab(\X1~input_o ), + .datac(\X0~input_o ), + .datad(\E0L~input_o ), + .cin(gnd), + .combout(\inst2~combout ), + .cout()); +// synopsys translate_off +defparam inst2.lut_mask = 16'h0008; +defparam inst2.sum_lutc_input = "datac"; +// synopsys translate_on + +assign Y3 = \Y3~output_o ; + +assign Y2 = \Y2~output_o ; + +assign Y1 = \Y1~output_o ; + +assign Y0 = \Y0~output_o ; + +endmodule + +module hard_block ( + + devpor, + devclrn, + devoe); + +// Design Ports Information +// ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA + +input devpor; +input devclrn; +input devoe; + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \~ALTERA_ASDO_DATA1~~padout ; +wire \~ALTERA_FLASH_nCE_nCSO~~padout ; +wire \~ALTERA_DATA0~~padout ; +wire \~ALTERA_ASDO_DATA1~~ibuf_o ; +wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; +wire \~ALTERA_DATA0~~ibuf_o ; + + +endmodule diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf new file mode 100644 index 0000000..6e61402 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221104180429.sim.vwf @@ -0,0 +1,483 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 87.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 100.0; + } + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 75.0; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 112.5; + } + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 62.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 125.0; + } + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 137.5; + } + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf new file mode 100644 index 0000000..e0a6706 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114162829.sim.vwf @@ -0,0 +1,787 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 65.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + } + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + } + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 40.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 35.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 30.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + } + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 15.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 20.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 10.0; + } + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 75.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 210.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 45.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 85.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 70.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 145.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + } + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 10.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 160.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 210.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 55.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 65.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 60.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + } + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 35.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 180.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 20.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 40.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 215.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 5.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 155.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 85.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 90.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 55.0; + } + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 30.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 10.0; + LEVEL 0 FOR 185.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 15.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 70.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 80.0; + LEVEL 1 FOR 5.0; + LEVEL 0 FOR 235.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf new file mode 100644 index 0000000..05e9dd7 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf @@ -0,0 +1,483 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("E0L") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("E1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("X1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("Y0") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("Y3") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("E0L") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + LEVEL 1 FOR 12.5; + } + } +} + +TRANSITION_LIST("E1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 25.0; + } + } +} + +TRANSITION_LIST("X0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("X1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 100.0; + } + } +} + +TRANSITION_LIST("Y0") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 125.0; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 62.5; + } + } +} + +TRANSITION_LIST("Y1") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 175.0; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 12.5; + } + } +} + +TRANSITION_LIST("Y2") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 75.0; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 112.5; + } + } +} + +TRANSITION_LIST("Y3") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 25.0; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 187.5; + LEVEL 1 FOR 12.5; + LEVEL 0 FOR 162.5; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "E0L"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "E1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "X1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y0"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y1"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y2"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "Y3"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho new file mode 100644 index 0000000..2f842ec --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_slow.vho @@ -0,0 +1,328 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "11/04/2022 15:08:53" + +-- +-- Device: Altera EP4CE6E22C6 Package TQFP144 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY Dec2_4 IS + PORT ( + Y3 : OUT std_logic; + E0L : IN std_logic; + E1 : IN std_logic; + X1 : IN std_logic; + X0 : IN std_logic; + Y2 : OUT std_logic; + Y1 : OUT std_logic; + Y0 : OUT std_logic + ); +END Dec2_4; + +-- Design Ports Information +-- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default +-- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default +-- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default +-- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default +-- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default +-- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default +-- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default +-- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF Dec2_4 IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_Y3 : std_logic; +SIGNAL ww_E0L : std_logic; +SIGNAL ww_E1 : std_logic; +SIGNAL ww_X1 : std_logic; +SIGNAL ww_X0 : std_logic; +SIGNAL ww_Y2 : std_logic; +SIGNAL ww_Y1 : std_logic; +SIGNAL ww_Y0 : std_logic; +SIGNAL \Y3~output_o\ : std_logic; +SIGNAL \Y2~output_o\ : std_logic; +SIGNAL \Y1~output_o\ : std_logic; +SIGNAL \Y0~output_o\ : std_logic; +SIGNAL \E1~input_o\ : std_logic; +SIGNAL \X1~input_o\ : std_logic; +SIGNAL \X0~input_o\ : std_logic; +SIGNAL \E0L~input_o\ : std_logic; +SIGNAL \inst~combout\ : std_logic; +SIGNAL \inst1~combout\ : std_logic; +SIGNAL \inst2~combout\ : std_logic; +SIGNAL \inst3~combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +Y3 <= ww_Y3; +ww_E0L <= E0L; +ww_E1 <= E1; +ww_X1 <= X1; +ww_X0 <= X0; +Y2 <= ww_Y2; +Y1 <= ww_Y1; +Y0 <= ww_Y0; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X0_Y6_N23 +\Y3~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst~combout\, + devoe => ww_devoe, + o => \Y3~output_o\); + +-- Location: IOOBUF_X0_Y6_N16 +\Y2~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst1~combout\, + devoe => ww_devoe, + o => \Y2~output_o\); + +-- Location: IOOBUF_X0_Y9_N9 +\Y1~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst2~combout\, + devoe => ww_devoe, + o => \Y1~output_o\); + +-- Location: IOOBUF_X0_Y5_N16 +\Y0~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst3~combout\, + devoe => ww_devoe, + o => \Y0~output_o\); + +-- Location: IOIBUF_X0_Y11_N15 +\E1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E1, + o => \E1~input_o\); + +-- Location: IOIBUF_X0_Y7_N1 +\X1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X1, + o => \X1~input_o\); + +-- Location: IOIBUF_X0_Y11_N22 +\X0~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X0, + o => \X0~input_o\); + +-- Location: IOIBUF_X0_Y8_N15 +\E0L~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E0L, + o => \E0L~input_o\); + +-- Location: LCCOMB_X6_Y9_N8 +inst : cycloneive_lcell_comb +-- Equation(s): +-- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000000010", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst~combout\); + +-- Location: LCCOMB_X6_Y9_N2 +inst1 : cycloneive_lcell_comb +-- Equation(s): +-- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000100000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst1~combout\); + +-- Location: LCCOMB_X6_Y9_N28 +inst2 : cycloneive_lcell_comb +-- Equation(s): +-- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000001000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst2~combout\); + +-- Location: LCCOMB_X6_Y9_N30 +inst3 : cycloneive_lcell_comb +-- Equation(s): +-- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000010000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst3~combout\); + +ww_Y3 <= \Y3~output_o\; + +ww_Y2 <= \Y2~output_o\; + +ww_Y1 <= \Y1~output_o\; + +ww_Y0 <= \Y0~output_o\; +END structure; + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo new file mode 100644 index 0000000..9a86ad6 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_0c_vhd_slow.sdo @@ -0,0 +1,180 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + + +// +// Device: Altera EP4CE6E22C6 Package TQFP144 +// + +// +// This file contains Slow Corner delays for the design using part EP4CE6E22C6, +// with speed grade 6, core voltage 1.2VmV, and temperature 0 Celsius +// + +// +// This SDF file should be used for ModelSim-Altera (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "Dec2_4") + (DATE "11/04/2022 15:08:53") + (VENDOR "Altera") + (PROGRAM "Quartus Prime") + (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y3\~output\\) + (DELAY + (ABSOLUTE + (PORT i (941:941:941) (908:908:908)) + (IOPATH i o (2225:2225:2225) (2220:2220:2220)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y2\~output\\) + (DELAY + (ABSOLUTE + (PORT i (927:927:927) (894:894:894)) + (IOPATH i o (2225:2225:2225) (2220:2220:2220)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y1\~output\\) + (DELAY + (ABSOLUTE + (PORT i (622:622:622) (573:573:573)) + (IOPATH i o (2330:2330:2330) (2303:2303:2303)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y0\~output\\) + (DELAY + (ABSOLUTE + (PORT i (925:925:925) (885:885:885)) + (IOPATH i o (2225:2225:2225) (2220:2220:2220)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (581:581:581) (723:723:723)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (581:581:581) (723:723:723)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X0\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (581:581:581) (723:723:723)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E0L\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (581:581:581) (723:723:723)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst) + (DELAY + (ABSOLUTE + (PORT dataa (791:791:791) (815:815:815)) + (PORT datab (2629:2629:2629) (2810:2810:2810)) + (PORT datac (720:720:720) (756:756:756)) + (PORT datad (2649:2649:2649) (2850:2850:2850)) + (IOPATH dataa combout (318:318:318) (307:307:307)) + (IOPATH datab combout (336:336:336) (337:337:337)) + (IOPATH datac combout (218:218:218) (215:215:215)) + (IOPATH datad combout (119:119:119) (106:106:106)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst1) + (DELAY + (ABSOLUTE + (PORT dataa (788:788:788) (814:814:814)) + (PORT datab (2629:2629:2629) (2814:2814:2814)) + (PORT datac (723:723:723) (757:757:757)) + (PORT datad (2649:2649:2649) (2853:2853:2853)) + (IOPATH dataa combout (307:307:307) (280:280:280)) + (IOPATH datab combout (325:325:325) (332:332:332)) + (IOPATH datac combout (220:220:220) (215:215:215)) + (IOPATH datad combout (119:119:119) (106:106:106)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst2) + (DELAY + (ABSOLUTE + (PORT dataa (797:797:797) (819:819:819)) + (PORT datab (2628:2628:2628) (2810:2810:2810)) + (PORT datac (719:719:719) (754:754:754)) + (PORT datad (2647:2647:2647) (2849:2849:2849)) + (IOPATH dataa combout (300:300:300) (323:323:323)) + (IOPATH datab combout (306:306:306) (324:324:324)) + (IOPATH datac combout (218:218:218) (215:215:215)) + (IOPATH datad combout (119:119:119) (106:106:106)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst3) + (DELAY + (ABSOLUTE + (PORT dataa (798:798:798) (819:819:819)) + (PORT datab (2628:2628:2628) (2810:2810:2810)) + (PORT datac (719:719:719) (754:754:754)) + (PORT datad (2648:2648:2648) (2849:2849:2849)) + (IOPATH dataa combout (290:290:290) (306:306:306)) + (IOPATH datab combout (295:295:295) (300:300:300)) + (IOPATH datac combout (220:220:220) (215:215:215)) + (IOPATH datad combout (119:119:119) (106:106:106)) + ) + ) + ) +) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho new file mode 100644 index 0000000..2f842ec --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_slow.vho @@ -0,0 +1,328 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "11/04/2022 15:08:53" + +-- +-- Device: Altera EP4CE6E22C6 Package TQFP144 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY Dec2_4 IS + PORT ( + Y3 : OUT std_logic; + E0L : IN std_logic; + E1 : IN std_logic; + X1 : IN std_logic; + X0 : IN std_logic; + Y2 : OUT std_logic; + Y1 : OUT std_logic; + Y0 : OUT std_logic + ); +END Dec2_4; + +-- Design Ports Information +-- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default +-- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default +-- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default +-- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default +-- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default +-- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default +-- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default +-- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF Dec2_4 IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_Y3 : std_logic; +SIGNAL ww_E0L : std_logic; +SIGNAL ww_E1 : std_logic; +SIGNAL ww_X1 : std_logic; +SIGNAL ww_X0 : std_logic; +SIGNAL ww_Y2 : std_logic; +SIGNAL ww_Y1 : std_logic; +SIGNAL ww_Y0 : std_logic; +SIGNAL \Y3~output_o\ : std_logic; +SIGNAL \Y2~output_o\ : std_logic; +SIGNAL \Y1~output_o\ : std_logic; +SIGNAL \Y0~output_o\ : std_logic; +SIGNAL \E1~input_o\ : std_logic; +SIGNAL \X1~input_o\ : std_logic; +SIGNAL \X0~input_o\ : std_logic; +SIGNAL \E0L~input_o\ : std_logic; +SIGNAL \inst~combout\ : std_logic; +SIGNAL \inst1~combout\ : std_logic; +SIGNAL \inst2~combout\ : std_logic; +SIGNAL \inst3~combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +Y3 <= ww_Y3; +ww_E0L <= E0L; +ww_E1 <= E1; +ww_X1 <= X1; +ww_X0 <= X0; +Y2 <= ww_Y2; +Y1 <= ww_Y1; +Y0 <= ww_Y0; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X0_Y6_N23 +\Y3~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst~combout\, + devoe => ww_devoe, + o => \Y3~output_o\); + +-- Location: IOOBUF_X0_Y6_N16 +\Y2~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst1~combout\, + devoe => ww_devoe, + o => \Y2~output_o\); + +-- Location: IOOBUF_X0_Y9_N9 +\Y1~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst2~combout\, + devoe => ww_devoe, + o => \Y1~output_o\); + +-- Location: IOOBUF_X0_Y5_N16 +\Y0~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst3~combout\, + devoe => ww_devoe, + o => \Y0~output_o\); + +-- Location: IOIBUF_X0_Y11_N15 +\E1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E1, + o => \E1~input_o\); + +-- Location: IOIBUF_X0_Y7_N1 +\X1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X1, + o => \X1~input_o\); + +-- Location: IOIBUF_X0_Y11_N22 +\X0~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X0, + o => \X0~input_o\); + +-- Location: IOIBUF_X0_Y8_N15 +\E0L~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E0L, + o => \E0L~input_o\); + +-- Location: LCCOMB_X6_Y9_N8 +inst : cycloneive_lcell_comb +-- Equation(s): +-- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000000010", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst~combout\); + +-- Location: LCCOMB_X6_Y9_N2 +inst1 : cycloneive_lcell_comb +-- Equation(s): +-- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000100000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst1~combout\); + +-- Location: LCCOMB_X6_Y9_N28 +inst2 : cycloneive_lcell_comb +-- Equation(s): +-- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000001000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst2~combout\); + +-- Location: LCCOMB_X6_Y9_N30 +inst3 : cycloneive_lcell_comb +-- Equation(s): +-- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000010000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst3~combout\); + +ww_Y3 <= \Y3~output_o\; + +ww_Y2 <= \Y2~output_o\; + +ww_Y1 <= \Y1~output_o\; + +ww_Y0 <= \Y0~output_o\; +END structure; + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo new file mode 100644 index 0000000..6aae15c --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_6_1200mv_85c_vhd_slow.sdo @@ -0,0 +1,180 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + + +// +// Device: Altera EP4CE6E22C6 Package TQFP144 +// + +// +// This file contains Slow Corner delays for the design using part EP4CE6E22C6, +// with speed grade 6, core voltage 1.2VmV, and temperature 85 Celsius +// + +// +// This SDF file should be used for ModelSim-Altera (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "Dec2_4") + (DATE "11/04/2022 15:08:53") + (VENDOR "Altera") + (PROGRAM "Quartus Prime") + (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y3\~output\\) + (DELAY + (ABSOLUTE + (PORT i (1004:1004:1004) (1006:1006:1006)) + (IOPATH i o (2533:2533:2533) (2516:2516:2516)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y2\~output\\) + (DELAY + (ABSOLUTE + (PORT i (991:991:991) (1012:1012:1012)) + (IOPATH i o (2533:2533:2533) (2516:2516:2516)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y1\~output\\) + (DELAY + (ABSOLUTE + (PORT i (661:661:661) (653:653:653)) + (IOPATH i o (2627:2627:2627) (2603:2603:2603)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y0\~output\\) + (DELAY + (ABSOLUTE + (PORT i (991:991:991) (984:984:984)) + (IOPATH i o (2533:2533:2533) (2516:2516:2516)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X0\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E0L\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst) + (DELAY + (ABSOLUTE + (PORT dataa (882:882:882) (893:893:893)) + (PORT datab (3049:3049:3049) (3301:3301:3301)) + (PORT datac (803:803:803) (816:816:816)) + (PORT datad (3063:3063:3063) (3333:3333:3333)) + (IOPATH dataa combout (354:354:354) (349:349:349)) + (IOPATH datab combout (381:381:381) (380:380:380)) + (IOPATH datac combout (241:241:241) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst1) + (DELAY + (ABSOLUTE + (PORT dataa (879:879:879) (890:890:890)) + (PORT datab (3049:3049:3049) (3305:3305:3305)) + (PORT datac (806:806:806) (817:817:817)) + (PORT datad (3063:3063:3063) (3336:3336:3336)) + (IOPATH dataa combout (341:341:341) (319:319:319)) + (IOPATH datab combout (365:365:365) (373:373:373)) + (IOPATH datac combout (243:243:243) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst2) + (DELAY + (ABSOLUTE + (PORT dataa (889:889:889) (899:899:899)) + (PORT datab (3049:3049:3049) (3301:3301:3301)) + (PORT datac (801:801:801) (812:812:812)) + (PORT datad (3061:3061:3061) (3332:3332:3332)) + (IOPATH dataa combout (339:339:339) (367:367:367)) + (IOPATH datab combout (344:344:344) (369:369:369)) + (IOPATH datac combout (241:241:241) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst3) + (DELAY + (ABSOLUTE + (PORT dataa (890:890:890) (900:900:900)) + (PORT datab (3049:3049:3049) (3301:3301:3301)) + (PORT datac (801:801:801) (811:811:811)) + (PORT datad (3061:3061:3061) (3332:3332:3332)) + (IOPATH dataa combout (327:327:327) (347:347:347)) + (IOPATH datab combout (331:331:331) (342:342:342)) + (IOPATH datac combout (243:243:243) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) +) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho new file mode 100644 index 0000000..2f842ec --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_fast.vho @@ -0,0 +1,328 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "11/04/2022 15:08:53" + +-- +-- Device: Altera EP4CE6E22C6 Package TQFP144 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_6, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_8, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_12, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_13, I/O Standard: 2.5 V, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_101, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY Dec2_4 IS + PORT ( + Y3 : OUT std_logic; + E0L : IN std_logic; + E1 : IN std_logic; + X1 : IN std_logic; + X0 : IN std_logic; + Y2 : OUT std_logic; + Y1 : OUT std_logic; + Y0 : OUT std_logic + ); +END Dec2_4; + +-- Design Ports Information +-- Y3 => Location: PIN_33, I/O Standard: 2.5 V, Current Strength: Default +-- Y2 => Location: PIN_32, I/O Standard: 2.5 V, Current Strength: Default +-- Y1 => Location: PIN_28, I/O Standard: 2.5 V, Current Strength: Default +-- Y0 => Location: PIN_34, I/O Standard: 2.5 V, Current Strength: Default +-- E1 => Location: PIN_24, I/O Standard: 2.5 V, Current Strength: Default +-- X0 => Location: PIN_25, I/O Standard: 2.5 V, Current Strength: Default +-- X1 => Location: PIN_31, I/O Standard: 2.5 V, Current Strength: Default +-- E0L => Location: PIN_30, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF Dec2_4 IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_Y3 : std_logic; +SIGNAL ww_E0L : std_logic; +SIGNAL ww_E1 : std_logic; +SIGNAL ww_X1 : std_logic; +SIGNAL ww_X0 : std_logic; +SIGNAL ww_Y2 : std_logic; +SIGNAL ww_Y1 : std_logic; +SIGNAL ww_Y0 : std_logic; +SIGNAL \Y3~output_o\ : std_logic; +SIGNAL \Y2~output_o\ : std_logic; +SIGNAL \Y1~output_o\ : std_logic; +SIGNAL \Y0~output_o\ : std_logic; +SIGNAL \E1~input_o\ : std_logic; +SIGNAL \X1~input_o\ : std_logic; +SIGNAL \X0~input_o\ : std_logic; +SIGNAL \E0L~input_o\ : std_logic; +SIGNAL \inst~combout\ : std_logic; +SIGNAL \inst1~combout\ : std_logic; +SIGNAL \inst2~combout\ : std_logic; +SIGNAL \inst3~combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +Y3 <= ww_Y3; +ww_E0L <= E0L; +ww_E1 <= E1; +ww_X1 <= X1; +ww_X0 <= X0; +Y2 <= ww_Y2; +Y1 <= ww_Y1; +Y0 <= ww_Y0; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X0_Y6_N23 +\Y3~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst~combout\, + devoe => ww_devoe, + o => \Y3~output_o\); + +-- Location: IOOBUF_X0_Y6_N16 +\Y2~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst1~combout\, + devoe => ww_devoe, + o => \Y2~output_o\); + +-- Location: IOOBUF_X0_Y9_N9 +\Y1~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst2~combout\, + devoe => ww_devoe, + o => \Y1~output_o\); + +-- Location: IOOBUF_X0_Y5_N16 +\Y0~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst3~combout\, + devoe => ww_devoe, + o => \Y0~output_o\); + +-- Location: IOIBUF_X0_Y11_N15 +\E1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E1, + o => \E1~input_o\); + +-- Location: IOIBUF_X0_Y7_N1 +\X1~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X1, + o => \X1~input_o\); + +-- Location: IOIBUF_X0_Y11_N22 +\X0~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_X0, + o => \X0~input_o\); + +-- Location: IOIBUF_X0_Y8_N15 +\E0L~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_E0L, + o => \E0L~input_o\); + +-- Location: LCCOMB_X6_Y9_N8 +inst : cycloneive_lcell_comb +-- Equation(s): +-- \inst~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000000010", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst~combout\); + +-- Location: LCCOMB_X6_Y9_N2 +inst1 : cycloneive_lcell_comb +-- Equation(s): +-- \inst1~combout\ = (\E1~input_o\ & (!\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000100000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst1~combout\); + +-- Location: LCCOMB_X6_Y9_N28 +inst2 : cycloneive_lcell_comb +-- Equation(s): +-- \inst2~combout\ = (\E1~input_o\ & (\X1~input_o\ & (!\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000000001000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst2~combout\); + +-- Location: LCCOMB_X6_Y9_N30 +inst3 : cycloneive_lcell_comb +-- Equation(s): +-- \inst3~combout\ = (\E1~input_o\ & (\X1~input_o\ & (\X0~input_o\ & !\E0L~input_o\))) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0000000010000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + dataa => \E1~input_o\, + datab => \X1~input_o\, + datac => \X0~input_o\, + datad => \E0L~input_o\, + combout => \inst3~combout\); + +ww_Y3 <= \Y3~output_o\; + +ww_Y2 <= \Y2~output_o\; + +ww_Y1 <= \Y1~output_o\; + +ww_Y0 <= \Y0~output_o\; +END structure; + + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo new file mode 100644 index 0000000..063aa5b --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_min_1200mv_0c_vhd_fast.sdo @@ -0,0 +1,180 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + + +// +// Device: Altera EP4CE6E22C6 Package TQFP144 +// + +// +// This file contains Fast Corner delays for the design using part EP4CE6E22C6, +// with speed grade M, core voltage 1.2VmV, and temperature 0 Celsius +// + +// +// This SDF file should be used for ModelSim-Altera (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "Dec2_4") + (DATE "11/04/2022 15:08:53") + (VENDOR "Altera") + (PROGRAM "Quartus Prime") + (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y3\~output\\) + (DELAY + (ABSOLUTE + (PORT i (540:540:540) (609:609:609)) + (IOPATH i o (1565:1565:1565) (1570:1570:1570)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y2\~output\\) + (DELAY + (ABSOLUTE + (PORT i (537:537:537) (605:605:605)) + (IOPATH i o (1565:1565:1565) (1570:1570:1570)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y1\~output\\) + (DELAY + (ABSOLUTE + (PORT i (342:342:342) (377:377:377)) + (IOPATH i o (1619:1619:1619) (1644:1644:1644)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y0\~output\\) + (DELAY + (ABSOLUTE + (PORT i (526:526:526) (591:591:591)) + (IOPATH i o (1565:1565:1565) (1570:1570:1570)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (318:318:318) (698:698:698)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (318:318:318) (698:698:698)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X0\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (318:318:318) (698:698:698)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E0L\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (318:318:318) (698:698:698)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst) + (DELAY + (ABSOLUTE + (PORT dataa (542:542:542) (481:481:481)) + (PORT datab (1756:1756:1756) (1967:1967:1967)) + (PORT datac (500:500:500) (454:454:454)) + (PORT datad (1759:1759:1759) (1985:1985:1985)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst1) + (DELAY + (ABSOLUTE + (PORT dataa (540:540:540) (479:479:479)) + (PORT datab (1757:1757:1757) (1968:1968:1968)) + (PORT datac (501:501:501) (455:455:455)) + (PORT datad (1759:1759:1759) (1986:1986:1986)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (160:160:160) (156:156:156)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst2) + (DELAY + (ABSOLUTE + (PORT dataa (549:549:549) (486:486:486)) + (PORT datab (1755:1755:1755) (1966:1966:1966)) + (PORT datac (496:496:496) (450:450:450)) + (PORT datad (1756:1756:1756) (1982:1982:1982)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (120:120:120) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst3) + (DELAY + (ABSOLUTE + (PORT dataa (550:550:550) (487:487:487)) + (PORT datab (1755:1755:1755) (1966:1966:1966)) + (PORT datac (496:496:496) (450:450:450)) + (PORT datad (1756:1756:1756) (1982:1982:1982)) + (IOPATH dataa combout (170:170:170) (163:163:163)) + (IOPATH datab combout (168:168:168) (167:167:167)) + (IOPATH datac combout (119:119:119) (124:124:124)) + (IOPATH datad combout (68:68:68) (63:63:63)) + ) + ) + ) +) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf new file mode 100644 index 0000000..05d6f72 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_modelsim.xrf @@ -0,0 +1,15 @@ +vendor_name = ModelSim +source_file = 1, /home/tiagorg/repos/DecoderDemo/Dec2_4.bdf +source_file = 1, /home/tiagorg/repos/DecoderDemo/WaveformDecoderNode.vwf +source_file = 1, /home/tiagorg/repos/DecoderDemo/Waveform.vwf +source_file = 1, /home/tiagorg/repos/DecoderDemo/db/DecoderDemo.cbx.xml +design_name = hard_block +design_name = Dec2_4 +instance = comp, \Y3~output\, Y3~output, Dec2_4, 1 +instance = comp, \Y2~output\, Y2~output, Dec2_4, 1 +instance = comp, \Y1~output\, Y1~output, Dec2_4, 1 +instance = comp, \Y0~output\, Y0~output, Dec2_4, 1 +instance = comp, \E1~input\, E1~input, Dec2_4, 1 +instance = comp, \X1~input\, X1~input, Dec2_4, 1 +instance = comp, \X0~input\, X0~input, Dec2_4, 1 +instance = comp, \E0L~input\, E0L~input, Dec2_4, 1 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo new file mode 100644 index 0000000..6aae15c --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/DecoderDemo_vhd.sdo @@ -0,0 +1,180 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + + +// +// Device: Altera EP4CE6E22C6 Package TQFP144 +// + +// +// This file contains Slow Corner delays for the design using part EP4CE6E22C6, +// with speed grade 6, core voltage 1.2VmV, and temperature 85 Celsius +// + +// +// This SDF file should be used for ModelSim-Altera (VHDL) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "Dec2_4") + (DATE "11/04/2022 15:08:53") + (VENDOR "Altera") + (PROGRAM "Quartus Prime") + (VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y3\~output\\) + (DELAY + (ABSOLUTE + (PORT i (1004:1004:1004) (1006:1006:1006)) + (IOPATH i o (2533:2533:2533) (2516:2516:2516)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y2\~output\\) + (DELAY + (ABSOLUTE + (PORT i (991:991:991) (1012:1012:1012)) + (IOPATH i o (2533:2533:2533) (2516:2516:2516)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y1\~output\\) + (DELAY + (ABSOLUTE + (PORT i (661:661:661) (653:653:653)) + (IOPATH i o (2627:2627:2627) (2603:2603:2603)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_obuf") + (INSTANCE \\Y0\~output\\) + (DELAY + (ABSOLUTE + (PORT i (991:991:991) (984:984:984)) + (IOPATH i o (2533:2533:2533) (2516:2516:2516)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X1\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\X0\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_io_ibuf") + (INSTANCE \\E0L\~input\\) + (DELAY + (ABSOLUTE + (IOPATH i o (596:596:596) (761:761:761)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst) + (DELAY + (ABSOLUTE + (PORT dataa (882:882:882) (893:893:893)) + (PORT datab (3049:3049:3049) (3301:3301:3301)) + (PORT datac (803:803:803) (816:816:816)) + (PORT datad (3063:3063:3063) (3333:3333:3333)) + (IOPATH dataa combout (354:354:354) (349:349:349)) + (IOPATH datab combout (381:381:381) (380:380:380)) + (IOPATH datac combout (241:241:241) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst1) + (DELAY + (ABSOLUTE + (PORT dataa (879:879:879) (890:890:890)) + (PORT datab (3049:3049:3049) (3305:3305:3305)) + (PORT datac (806:806:806) (817:817:817)) + (PORT datad (3063:3063:3063) (3336:3336:3336)) + (IOPATH dataa combout (341:341:341) (319:319:319)) + (IOPATH datab combout (365:365:365) (373:373:373)) + (IOPATH datac combout (243:243:243) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst2) + (DELAY + (ABSOLUTE + (PORT dataa (889:889:889) (899:899:899)) + (PORT datab (3049:3049:3049) (3301:3301:3301)) + (PORT datac (801:801:801) (812:812:812)) + (PORT datad (3061:3061:3061) (3332:3332:3332)) + (IOPATH dataa combout (339:339:339) (367:367:367)) + (IOPATH datab combout (344:344:344) (369:369:369)) + (IOPATH datac combout (241:241:241) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) + (CELL + (CELLTYPE "cycloneive_lcell_comb") + (INSTANCE inst3) + (DELAY + (ABSOLUTE + (PORT dataa (890:890:890) (900:900:900)) + (PORT datab (3049:3049:3049) (3301:3301:3301)) + (PORT datac (801:801:801) (811:811:811)) + (PORT datad (3061:3061:3061) (3332:3332:3332)) + (IOPATH dataa combout (327:327:327) (347:347:347)) + (IOPATH datab combout (331:331:331) (342:342:342)) + (IOPATH datac combout (243:243:243) (241:241:241)) + (IOPATH datad combout (130:130:130) (120:120:120)) + ) + ) + ) +) diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht new file mode 100644 index 0000000..a6d9e5a --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vht @@ -0,0 +1,870 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "11/14/2022 16:28:27" + +-- Vhdl Test Bench(with test vectors) for design : Dec2_4 +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY Dec2_4_vhd_vec_tst IS +END Dec2_4_vhd_vec_tst; +ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS +-- constants +-- signals +SIGNAL E0L : STD_LOGIC; +SIGNAL E1 : STD_LOGIC; +SIGNAL X0 : STD_LOGIC; +SIGNAL X1 : STD_LOGIC; +SIGNAL Y0 : STD_LOGIC; +SIGNAL Y1 : STD_LOGIC; +SIGNAL Y2 : STD_LOGIC; +SIGNAL Y3 : STD_LOGIC; +COMPONENT Dec2_4 + PORT ( + E0L : IN STD_LOGIC; + E1 : IN STD_LOGIC; + X0 : IN STD_LOGIC; + X1 : IN STD_LOGIC; + Y0 : OUT STD_LOGIC; + Y1 : OUT STD_LOGIC; + Y2 : OUT STD_LOGIC; + Y3 : OUT STD_LOGIC + ); +END COMPONENT; +BEGIN + i1 : Dec2_4 + PORT MAP ( +-- list connections between master ports and signals + E0L => E0L, + E1 => E1, + X0 => X0, + X1 => X1, + Y0 => Y0, + Y1 => Y1, + Y2 => Y2, + Y3 => Y3 + ); + +-- E0L +t_prcs_E0L: PROCESS +BEGIN + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 65000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 20000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 15000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 30000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 15000 ps; + E0L <= '0'; + WAIT FOR 20000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 15000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 15000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 20000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 15000 ps; + E0L <= '0'; + WAIT FOR 30000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 35000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 20000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 30000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 20000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 15000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 10000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; + WAIT FOR 10000 ps; + E0L <= '0'; + WAIT FOR 45000 ps; + E0L <= '1'; + WAIT FOR 5000 ps; + E0L <= '0'; + WAIT FOR 5000 ps; + E0L <= '1'; +WAIT; +END PROCESS t_prcs_E0L; + +-- E1 +t_prcs_E1: PROCESS +BEGIN + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 15000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 20000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 20000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 15000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 15000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 30000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 30000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 25000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 50000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 20000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 15000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 20000 ps; + E1 <= '0'; + WAIT FOR 25000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 10000 ps; + E1 <= '1'; + WAIT FOR 25000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 25000 ps; + E1 <= '1'; + WAIT FOR 10000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 15000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 15000 ps; + E1 <= '0'; + WAIT FOR 5000 ps; + E1 <= '1'; + WAIT FOR 20000 ps; + E1 <= '0'; + WAIT FOR 15000 ps; + E1 <= '1'; + WAIT FOR 5000 ps; + E1 <= '0'; +WAIT; +END PROCESS t_prcs_E1; + +-- X0 +t_prcs_X0: PROCESS +BEGIN + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 15000 ps; + X0 <= '1'; + WAIT FOR 20000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 35000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 40000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 15000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 20000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 35000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 20000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 20000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 15000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 20000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 15000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 15000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 40000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 35000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 30000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; + WAIT FOR 5000 ps; + X0 <= '1'; + WAIT FOR 5000 ps; + X0 <= '0'; + WAIT FOR 10000 ps; + X0 <= '1'; + WAIT FOR 10000 ps; + X0 <= '0'; +WAIT; +END PROCESS t_prcs_X0; + +-- X1 +t_prcs_X1: PROCESS +BEGIN + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 15000 ps; + X1 <= '0'; + WAIT FOR 25000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 15000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 20000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 20000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 15000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 25000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 25000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 15000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 15000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 20000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 15000 ps; + X1 <= '1'; + WAIT FOR 20000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 25000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 15000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 25000 ps; + X1 <= '0'; + WAIT FOR 15000 ps; + X1 <= '1'; + WAIT FOR 15000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 10000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 25000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 20000 ps; + X1 <= '0'; + WAIT FOR 10000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 20000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; + WAIT FOR 5000 ps; + X1 <= '0'; + WAIT FOR 5000 ps; + X1 <= '1'; +WAIT; +END PROCESS t_prcs_X1; +END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt new file mode 100644 index 0000000..eed1d2f --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform.vwf.vt @@ -0,0 +1,470 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "11/14/2022 16:20:00" + +// Verilog Test Bench (with test vectors) for design : Dec2_4 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module Dec2_4_vlg_vec_tst(); +// constants +// general purpose registers +reg E0L; +reg E1; +reg X0; +reg X1; +// wires +wire Y0; +wire Y1; +wire Y2; +wire Y3; + +// assign statements (if any) +Dec2_4 i1 ( +// port map - connection between master ports and signals/registers + .E0L(E0L), + .E1(E1), + .X0(X0), + .X1(X1), + .Y0(Y0), + .Y1(Y1), + .Y2(Y2), + .Y3(Y3) +); +initial +begin +#1000000 $finish; +end + +// E0L +initial +begin + E0L = 1'b1; + E0L = #5000 1'b0; + E0L = #65000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #20000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #15000 1'b1; + E0L = #5000 1'b0; + E0L = #10000 1'b1; + E0L = #30000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #10000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #15000 1'b0; + E0L = #20000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #15000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #15000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #20000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #15000 1'b0; + E0L = #30000 1'b1; + E0L = #5000 1'b0; + E0L = #10000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #35000 1'b1; + E0L = #5000 1'b0; + E0L = #10000 1'b1; + E0L = #20000 1'b0; + E0L = #10000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #30000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #20000 1'b1; + E0L = #5000 1'b0; + E0L = #10000 1'b1; + E0L = #5000 1'b0; + E0L = #10000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; + E0L = #5000 1'b0; + E0L = #15000 1'b1; + E0L = #10000 1'b0; + E0L = #10000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #5000 1'b1; + E0L = #10000 1'b0; + E0L = #45000 1'b1; + E0L = #5000 1'b0; + E0L = #5000 1'b1; +end + +// E1 +initial +begin + E1 = 1'b1; + E1 = #5000 1'b0; + E1 = #10000 1'b1; + E1 = #10000 1'b0; + E1 = #10000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #15000 1'b0; + E1 = #10000 1'b1; + E1 = #20000 1'b0; + E1 = #5000 1'b1; + E1 = #10000 1'b0; + E1 = #10000 1'b1; + E1 = #10000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #10000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #20000 1'b0; + E1 = #10000 1'b1; + E1 = #15000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #10000 1'b1; + E1 = #15000 1'b0; + E1 = #15000 1'b1; + E1 = #30000 1'b0; + E1 = #5000 1'b1; + E1 = #10000 1'b0; + E1 = #10000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #10000 1'b0; + E1 = #5000 1'b1; + E1 = #10000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #10000 1'b1; + E1 = #5000 1'b0; + E1 = #30000 1'b1; + E1 = #5000 1'b0; + E1 = #25000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #10000 1'b1; + E1 = #50000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #20000 1'b1; + E1 = #10000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #5000 1'b1; + E1 = #15000 1'b0; + E1 = #5000 1'b1; + E1 = #5000 1'b0; + E1 = #5000 1'b1; + E1 = #20000 1'b0; + E1 = #25000 1'b1; + E1 = #10000 1'b0; + E1 = #5000 1'b1; + E1 = #10000 1'b0; + E1 = #10000 1'b1; + E1 = #25000 1'b0; + E1 = #15000 1'b1; + E1 = #10000 1'b0; + E1 = #25000 1'b1; + E1 = #10000 1'b0; + E1 = #5000 1'b1; + E1 = #15000 1'b0; + E1 = #5000 1'b1; + E1 = #15000 1'b0; + E1 = #5000 1'b1; + E1 = #20000 1'b0; + E1 = #15000 1'b1; + E1 = #5000 1'b0; +end + +// X0 +initial +begin + X0 = 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #15000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #15000 1'b1; + X0 = #20000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #35000 1'b1; + X0 = #5000 1'b0; + X0 = #40000 1'b1; + X0 = #5000 1'b0; + X0 = #15000 1'b1; + X0 = #15000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #10000 1'b1; + X0 = #15000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #10000 1'b1; + X0 = #10000 1'b0; + X0 = #5000 1'b1; + X0 = #15000 1'b0; + X0 = #5000 1'b1; + X0 = #15000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #20000 1'b1; + X0 = #15000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #35000 1'b1; + X0 = #5000 1'b0; + X0 = #20000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #20000 1'b1; + X0 = #5000 1'b0; + X0 = #15000 1'b1; + X0 = #15000 1'b0; + X0 = #10000 1'b1; + X0 = #20000 1'b0; + X0 = #5000 1'b1; + X0 = #15000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #10000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #15000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #5000 1'b1; + X0 = #40000 1'b0; + X0 = #5000 1'b1; + X0 = #35000 1'b0; + X0 = #10000 1'b1; + X0 = #30000 1'b0; + X0 = #5000 1'b1; + X0 = #10000 1'b0; + X0 = #10000 1'b1; + X0 = #10000 1'b0; + X0 = #5000 1'b1; + X0 = #5000 1'b0; + X0 = #10000 1'b1; + X0 = #10000 1'b0; +end + +// X1 +initial +begin + X1 = 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #15000 1'b0; + X1 = #25000 1'b1; + X1 = #10000 1'b0; + X1 = #10000 1'b1; + X1 = #10000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #15000 1'b1; + X1 = #10000 1'b0; + X1 = #20000 1'b1; + X1 = #5000 1'b0; + X1 = #20000 1'b1; + X1 = #20000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #20000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #10000 1'b0; + X1 = #20000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #20000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #10000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #20000 1'b1; + X1 = #15000 1'b0; + X1 = #5000 1'b1; + X1 = #10000 1'b0; + X1 = #20000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #10000 1'b0; + X1 = #25000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #25000 1'b0; + X1 = #5000 1'b1; + X1 = #15000 1'b0; + X1 = #5000 1'b1; + X1 = #15000 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #20000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #10000 1'b0; + X1 = #15000 1'b1; + X1 = #20000 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #25000 1'b1; + X1 = #10000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #15000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #25000 1'b0; + X1 = #15000 1'b1; + X1 = #15000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #10000 1'b1; + X1 = #10000 1'b0; + X1 = #10000 1'b1; + X1 = #25000 1'b0; + X1 = #5000 1'b1; + X1 = #20000 1'b0; + X1 = #10000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; + X1 = #20000 1'b0; + X1 = #5000 1'b1; + X1 = #5000 1'b0; + X1 = #5000 1'b1; +end +endmodule + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht new file mode 100644 index 0000000..0d2b019 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vht @@ -0,0 +1,118 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "11/14/2022 21:42:30" + +-- Vhdl Test Bench(with test vectors) for design : Dec2_4 +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY Dec2_4_vhd_vec_tst IS +END Dec2_4_vhd_vec_tst; +ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS +-- constants +-- signals +SIGNAL E0L : STD_LOGIC; +SIGNAL E1 : STD_LOGIC; +SIGNAL X0 : STD_LOGIC; +SIGNAL X1 : STD_LOGIC; +SIGNAL Y0 : STD_LOGIC; +SIGNAL Y1 : STD_LOGIC; +SIGNAL Y2 : STD_LOGIC; +SIGNAL Y3 : STD_LOGIC; +COMPONENT Dec2_4 + PORT ( + E0L : IN STD_LOGIC; + E1 : IN STD_LOGIC; + X0 : IN STD_LOGIC; + X1 : IN STD_LOGIC; + Y0 : OUT STD_LOGIC; + Y1 : OUT STD_LOGIC; + Y2 : OUT STD_LOGIC; + Y3 : OUT STD_LOGIC + ); +END COMPONENT; +BEGIN + i1 : Dec2_4 + PORT MAP ( +-- list connections between master ports and signals + E0L => E0L, + E1 => E1, + X0 => X0, + X1 => X1, + Y0 => Y0, + Y1 => Y1, + Y2 => Y2, + Y3 => Y3 + ); + +-- E0L +t_prcs_E0L: PROCESS +BEGIN +LOOP + E0L <= '0'; + WAIT FOR 12500 ps; + E0L <= '1'; + WAIT FOR 12500 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_E0L; + +-- E1 +t_prcs_E1: PROCESS +BEGIN +LOOP + E1 <= '0'; + WAIT FOR 25000 ps; + E1 <= '1'; + WAIT FOR 25000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_E1; + +-- X0 +t_prcs_X0: PROCESS +BEGIN +LOOP + X0 <= '0'; + WAIT FOR 50000 ps; + X0 <= '1'; + WAIT FOR 50000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_X0; + +-- X1 +t_prcs_X1: PROCESS +BEGIN +LOOP + X1 <= '0'; + WAIT FOR 100000 ps; + X1 <= '1'; + WAIT FOR 100000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_X1; +END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt new file mode 100644 index 0000000..b12b1c3 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/Waveform1.vwf.vt @@ -0,0 +1,92 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "11/14/2022 21:42:10" + +// Verilog Test Bench (with test vectors) for design : Dec2_4 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module Dec2_4_vlg_vec_tst(); +// constants +// general purpose registers +reg E0L; +reg E1; +reg X0; +reg X1; +// wires +wire Y0; +wire Y1; +wire Y2; +wire Y3; + +// assign statements (if any) +Dec2_4 i1 ( +// port map - connection between master ports and signals/registers + .E0L(E0L), + .E1(E1), + .X0(X0), + .X1(X1), + .Y0(Y0), + .Y1(Y1), + .Y2(Y2), + .Y3(Y3) +); +initial +begin +#1000000 $finish; +end + +// E0L +always +begin + E0L = 1'b0; + E0L = #12500 1'b1; + #12500; +end + +// E1 +always +begin + E1 = 1'b0; + E1 = #25000 1'b1; + #25000; +end + +// X0 +always +begin + X0 = 1'b0; + X0 = #50000 1'b1; + #50000; +end + +// X1 +always +begin + X1 = 1'b0; + X1 = #100000 1'b1; + #100000; +end +endmodule + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht new file mode 100644 index 0000000..eaa536e --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vht @@ -0,0 +1,118 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "11/04/2022 18:04:28" + +-- Vhdl Test Bench(with test vectors) for design : Dec2_4 +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY Dec2_4_vhd_vec_tst IS +END Dec2_4_vhd_vec_tst; +ARCHITECTURE Dec2_4_arch OF Dec2_4_vhd_vec_tst IS +-- constants +-- signals +SIGNAL E0L : STD_LOGIC; +SIGNAL E1 : STD_LOGIC; +SIGNAL X0 : STD_LOGIC; +SIGNAL X1 : STD_LOGIC; +SIGNAL Y0 : STD_LOGIC; +SIGNAL Y1 : STD_LOGIC; +SIGNAL Y2 : STD_LOGIC; +SIGNAL Y3 : STD_LOGIC; +COMPONENT Dec2_4 + PORT ( + E0L : IN STD_LOGIC; + E1 : IN STD_LOGIC; + X0 : IN STD_LOGIC; + X1 : IN STD_LOGIC; + Y0 : OUT STD_LOGIC; + Y1 : OUT STD_LOGIC; + Y2 : OUT STD_LOGIC; + Y3 : OUT STD_LOGIC + ); +END COMPONENT; +BEGIN + i1 : Dec2_4 + PORT MAP ( +-- list connections between master ports and signals + E0L => E0L, + E1 => E1, + X0 => X0, + X1 => X1, + Y0 => Y0, + Y1 => Y1, + Y2 => Y2, + Y3 => Y3 + ); + +-- E0L +t_prcs_E0L: PROCESS +BEGIN +LOOP + E0L <= '0'; + WAIT FOR 100000 ps; + E0L <= '1'; + WAIT FOR 100000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_E0L; + +-- E1 +t_prcs_E1: PROCESS +BEGIN +LOOP + E1 <= '0'; + WAIT FOR 50000 ps; + E1 <= '1'; + WAIT FOR 50000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_E1; + +-- X1 +t_prcs_X1: PROCESS +BEGIN +LOOP + X1 <= '0'; + WAIT FOR 25000 ps; + X1 <= '1'; + WAIT FOR 25000 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_X1; + +-- X0 +t_prcs_X0: PROCESS +BEGIN +LOOP + X0 <= '0'; + WAIT FOR 12500 ps; + X0 <= '1'; + WAIT FOR 12500 ps; + IF (NOW >= 1000000 ps) THEN WAIT; END IF; +END LOOP; +END PROCESS t_prcs_X0; +END Dec2_4_arch; diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt new file mode 100644 index 0000000..2977ed4 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/WaveformDecoderNode.vwf.vt @@ -0,0 +1,92 @@ +// Copyright (C) 2020 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "11/04/2022 15:15:39" + +// Verilog Test Bench (with test vectors) for design : Dec2_4 +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module Dec2_4_vlg_vec_tst(); +// constants +// general purpose registers +reg E0L; +reg E1; +reg X0; +reg X1; +// wires +wire Y0; +wire Y1; +wire Y2; +wire Y3; + +// assign statements (if any) +Dec2_4 i1 ( +// port map - connection between master ports and signals/registers + .E0L(E0L), + .E1(E1), + .X0(X0), + .X1(X1), + .Y0(Y0), + .Y1(Y1), + .Y2(Y2), + .Y3(Y3) +); +initial +begin +#1000000 $finish; +end + +// E0L +always +begin + E0L = 1'b0; + E0L = #100000 1'b1; + #100000; +end + +// E1 +always +begin + E1 = 1'b0; + E1 = #50000 1'b1; + #50000; +end + +// X1 +always +begin + X1 = 1'b0; + X1 = #25000 1'b1; + #25000; +end + +// X0 +always +begin + X0 = 1'b0; + X0 = #12500 1'b1; + #12500; +end +endmodule + diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript new file mode 100644 index 0000000..226731f --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/transcript @@ -0,0 +1,47 @@ +# do DecoderDemo.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 21:42:31 on Nov 14,2022 +# vcom -work work DecoderDemo.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package VITAL_Timing +# -- Loading package VITAL_Primitives +# -- Loading package cycloneive_atom_pack +# -- Loading package cycloneive_components +# -- Compiling entity hard_block +# -- Compiling architecture structure of hard_block +# -- Compiling entity Dec2_4 +# -- Compiling architecture structure of Dec2_4 +# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 21:42:31 on Nov 14,2022 +# vcom -work work Waveform1.vwf.vht +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Compiling entity Dec2_4_vhd_vec_tst +# -- Compiling architecture Dec2_4_arch of Dec2_4_vhd_vec_tst +# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst +# Start time: 21:42:31 on Nov 14,2022 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading work.dec2_4_vhd_vec_tst(dec2_4_arch) +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading cycloneive.cycloneive_atom_pack(body) +# Loading cycloneive.cycloneive_components +# Loading work.dec2_4(structure) +# Loading work.hard_block(structure) +# Loading ieee.std_logic_arith(body) +# Loading cycloneive.cycloneive_io_obuf(arch) +# Loading cycloneive.cycloneive_io_ibuf(arch) +# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) +# after#33 +# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..3a3fbbc --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,76 @@ +Determining the location of the ModelSim executable... + +Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source="/home/tiagorg/repos/DecoderDemo/Waveform1.vwf" --testbench_file="/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vht" + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Mon Nov 14 21:42:30 2022Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off DecoderDemo -c DecoderDemo --vector_source=/home/tiagorg/repos/DecoderDemo/Waveform1.vwf --testbench_file=/home/tiagorg/repos/DecoderDemo/simulation/qsim/Waveform1.vwf.vhtWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/DecoderDemo/simulation/qsim/" DecoderDemo -c DecoderDemo + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Mon Nov 14 21:42:30 2022Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/DecoderDemo/simulation/qsim/ DecoderDemo -c DecoderDemoWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file DecoderDemo.vho in folder "/home/tiagorg/repos/DecoderDemo/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 603 megabytes Info: Processing ended: Mon Nov 14 21:42:31 2022 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 +Completed successfully. + +**** Generating the ModelSim .do script **** + +/home/tiagorg/repos/DecoderDemo/simulation/qsim/DecoderDemo.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do DecoderDemo.do + +Reading pref.tcl +# 2020.1 +# do DecoderDemo.do +# ** Warning: (vlib-34) Library already exists at "work". +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 21:42:31 on Nov 14,2022# vcom -work work DecoderDemo.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package VITAL_Timing +# -- Loading package VITAL_Primitives +# -- Loading package cycloneive_atom_pack# -- Loading package cycloneive_components +# -- Compiling entity hard_block +# -- Compiling architecture structure of hard_block +# -- Compiling entity Dec2_4 +# -- Compiling architecture structure of Dec2_4 +# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 21:42:31 on Nov 14,2022# vcom -work work Waveform1.vwf.vht +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164# -- Compiling entity Dec2_4_vhd_vec_tst# -- Compiling architecture Dec2_4_arch of Dec2_4_vhd_vec_tst +# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.Dec2_4_vhd_vec_tst # Start time: 21:42:31 on Nov 14,2022# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.dec2_4_vhd_vec_tst(dec2_4_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.dec2_4(structure)# Loading work.hard_block(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) +# after#33 +# End time: 21:42:31 on Nov 14,2022, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading /home/tiagorg/repos/DecoderDemo/Waveform1.vwf... + +Reading /home/tiagorg/repos/DecoderDemo/simulation/qsim/DecoderDemo.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to /home/tiagorg/repos/DecoderDemo/simulation/qsim/DecoderDemo_20221114214232.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info new file mode 100644 index 0000000..73217c1 --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_info @@ -0,0 +1,199 @@ +m255 +K4 +z2 +!s11f MIXED_VERSIONS +!s11e vcom 2020.1 2020.02, Feb 28 2020 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +d/home/rubeng/Documents/UAlinux/ISD/Quartus Prime/simulation/qsim +Edec2_4 +Z0 w1668462151 +Z1 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1 +Z2 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 +Z3 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 +Z4 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 +Z5 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 +Z6 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0eV]aK;=O=B=]Jjk[J2 +!s100 ]b[TgE:4=5WElgH>6194V2 +R10 +32 +R11 +!i10b 1 +R12 +R13 +R14 +!i113 1 +R15 +R16 +vDec2_4 +Z17 !s110 1668462131 +!i10b 1 +!s100 6Bme@05RTLTDEPkROGDf12 +Z18 !s11b Dg1SIo80bB@j0V0VzS_@n1 +I@`C[jj7c4zWd83Mkbk3F?3 +Z19 VDg1SIo80bB@j0V0VzS_@n1 +R7 +w1668462131 +8DecoderDemo.vo +FDecoderDemo.vo +!i122 6 +L0 32 228 +Z20 OV;L;2020.1;71 +r1 +!s85 0 +31 +Z21 !s108 1668462131.000000 +!s107 DecoderDemo.vo| +!s90 -work|work|DecoderDemo.vo| +!i113 1 +R15 +Z22 tCvgOpt 0 +n@dec2_4 +Edec2_4_vhd_vec_tst +Z23 w1668462150 +R4 +R5 +!i122 9 +R7 +Z24 8Waveform1.vwf.vht +Z25 FWaveform1.vwf.vht +l0 +L32 1 +V]RWc]K44[[FXan`?6Mgb51 +!s100 ]VeFd2Xj9^IV`eVA>UBS00 +R10 +32 +R11 +!i10b 1 +R12 +Z26 !s90 -work|work|Waveform1.vwf.vht| +!s107 Waveform1.vwf.vht| +!i113 1 +R15 +R16 +Adec2_4_arch +R4 +R5 +Z27 DEx4 work 18 dec2_4_vhd_vec_tst 0 22 ]RWc]K44[[FXan`?6Mgb51 +!i122 9 +l57 +L34 85 +Vgd0hXcMCOK6D0V?6Lf1CV3 +!s100 1cPYZ^B?^YH[mBM9]Z>;9^3aXOW2 +R18 +IS19P;WH<=2;ST`Df?6d[j3 +R19 +R7 +w1668462130 +8Waveform1.vwf.vt +FWaveform1.vwf.vt +!i122 7 +L0 30 62 +R20 +r1 +!s85 0 +31 +R21 +!s107 Waveform1.vwf.vt| +!s90 -work|work|Waveform1.vwf.vt| +!i113 1 +R15 +R22 +n@dec2_4_vlg_vec_tst +Ehard_block +R0 +R1 +R2 +R3 +R4 +R5 +R6 +!i122 8 +R7 +R8 +R9 +l0 +L35 1 +VB]0;STalBkCB1_B4BXQDW2 +!s100 >mXi5[`cD`bFC`UBKA5o7W??azG@W@@eFOTF0 +!s100 [5;Wd8QGQ>@2NGoJ1I]Y43 +R10 +32 +R11 +!i10b 1 +R12 +R13 +R14 +!i113 1 +R15 +R16 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib.qdb b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000000000000000000000000000000000000..ebf78cc7e7b09536a514d2ee82809b84d37bccbe GIT binary patch literal 49152 zcmeI*OKjV890zbaao=pLh$`#i`0vnmS=qFbcB4&O(e#nNUujbbkWgedUK=fLOa5-x z!3D-;fRHAEgb=R-n#5yVKtgC2gfxlAf!)}FjSJ;~xbcvN#DTy6jvKd2+8z)R(zjCe z^W*!o|NoDzUL2=Ws~IClv!n={dvHvMcAZIfHI5NP}~U{!~Iu^$$!Y)a}jc z32l8dn^DK6Q;Ctp+$q)W@~&srS2WX5Q;Ek@r&P}(%|2rkyR0nB6pxY9mS}NxVR|gN zkZ?}qo}l%VTG;HIz&UnnYqX*=kYG=wvnv^6lRllxT1Hx1w^sRjdVARE_U*;WsC2NF z=yprRIk76x&>%kF=3p5Xo1&%pb`r5I>WTBl#lqY-wA{K8EzXLsw-?t7(_sy#R43co z8u3*IdK${khMgfDwa@HlH$%03LmXniRm2j=@8l=)HTj6VNi1^N-h&?qKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##yaJIL-Pk?OVxnLz=MB2Nn#-iU;Y_1nIx7lm zMt;#)v3BfgJnWM~KEY1&k5CA8q7Kd`5g(U{!8Dp_MeI~t;TS{*ji)o9p*KG4y zQ_EjGozW~wWE~x>qu=f5-?Kxf{`Z`Ywz%EV#Lf{f(ZOER&9Y&gUdvzb{lFXiZGCP- z-(C&WY<}NqINr+|!uA<@m$iH*t>;!3&wCCm{8q^IxVfGZIch$5#mO~yvs}2?R; zkvQ@TPt`TY+*ItIlum0Ob5c!RcFG=GS0UvYnEx~9giW1psB?FSnkz?~5PK3SwM?;K zW5h4{rku+~t^E3;wVpRluTfKsbg}4&=#LDtSlFJxU~!_wtEp3;z=L)CehjmFM3j6B z&ONfYYCfW6J2sy_?nK&C>{!v0>{u!H4q48)cSvE2mS0@9ub_C|Ie+`S+cUpU4>jB4 zg`PRLXKwEvb~9E!RTx{+?Md#_qi^5xZL@ZdZ8LjsPti!TgtwiOw9U8;GkZ1YRD9HF zXr5*bjS+T+X{W(+k@6QR=AHRAPr9ke-6=N1`r*Q{CfwA-Jt>_YzU8Ev#_g0nr}4rN zJ@>14qYxT%Lu0!`?1s4RgiegIP}m;(Xz|Y3F@EtfPx?=cxXF={Noqb^T}TeQ$>CB- zHe26T;p_=FnJAfLe^h>Sl93@hY0r45nDkyfG3f+r$vc8f&I;spa)p@W97&VM$t5yQ z21zeDOOoV8@+|p_{6>Cd-wkkwd{1t&{}S*exlTT1|0m!Yxyst{0|5v?00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|_%_yu@O>%+2vKJj_d9A1{dnFI`={bapbHDsf?fuccDF%+K?ZO!6{2%gf9R zFVoY!Oil4JImye!1TW*`yo`nQvnl*gvGx{wArT zsnn4?-#`%GH$%<7V^X+DEWT##Y40t!i<&)0rC@O$p7+taOYhKWEFcB9$Ll#sSIO=@T* Y`JL1pDZ7)6Z@BzUohHiO2bwkX4|9Iz{r~^~ literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qdb b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000000000000000000000000000000000000..1bf60e54739eba5f576d3dc131006f4df7918432 GIT binary patch literal 32768 zcmeI4Yitx%6vywG>F&;U+VT)65$B$b1WJK`rEIsf!1lE*rQJf?ZKNtfq1#gWqO?FM z&ylBA4TMC#lE|6Op8x-U z=kA?5znT3sHxun_jJI{Svw4Cy5l`}b6r(=bzS7&5%e!JGpCx~^kN4@ zOGjh8x4WyYr6<0qVO3A3&g2r4T9h^D)Pr_Qozp__rH>f{?y$kxtBFxBCC2@8SB>{i zJuttfJX%;&AE=1bPY%R;n7w*IX+=y$j z2Rm^K9>+iNH~bZU!XNPleuLNW3%rCE@jSkPpWqq#Id4pW2`~XBzyz286JP>NfC(@G zCcp%k025#WOn?b60Vco%m;e)C0!)AjFaajO1Rga4X0a)RS)@v#Dg~;Ps4`QPd{y#P znW0LJDq&S3s^qE?qC%TTm@yS=RjF2GzAE!nsZu4XN~J0ls?1fTT$MShl&MlmCDr*^ zOmfC1VZiyp`P#YUoOMn*C!E91E_v4JcAA`er_u>Ko8@Qnq&$qb@uri7SMUO!k$Y&( zz%e|GyKyV67U;mG7{gL$EauANvR8J<#j-|5$UW$DTpoYaZUH`DCcp%k025#WOn?b6 z0Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyuy50oxts0n0GwK@MD5Zo7s~<;EE} zR${Nyq1X_xd%*6~p(qKU|A@U-heGox?D)&>)uF)n3bs9IuknE2FvCgjz~(-Cz1~v- z)v$NK?$%+ZxD9<%?Ug#@kJtn8x9lz*@`m1m*eQF34l|Nx!`xoGQ-@sh6qKGz>F@v; z^o%%dDCB-%wNtVnWQ2TebVzbJa=Tbc9fD~?PD92nO6#aYFm*b)F6C0P$u%oyPb0Tb zx#TQzwaWRQB3G`QHI-agIo}kyJ=q;ilK``BJlwf#yXksHF=&O~-_&hzu z9ilHo)bGoMi{Dyqn!XNEtH^Rw6V_K~xhV(R%o999aa57};#P8Dfa;oWn#Yw9!?&clhnZ5MQ!13Tg9N46{U6)8)$ z;LyorIUpv?i(D^P(X;zzxfrwQ`F({fk+Woutj9c@jDOI30B*@C_#J*J z$J1K?KE;pmB)vD_Z9If~a0k6LV6~KT6t?0}v{1-9@)vRs`m|!}L;Pp+p_u>^U;<2l z2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b60Vco%m;e)C0{?pgz9d@kFkkG`I#2A? zS}C?^Ef+6%X+IRN(^Ax@rEsm5f?g6ogxBa)vR=!~ZY}vMwd8eanXy7kZYK>25HdRw zYP3_cA3|+SYVi>D!&)Tr$W%%K$-zTk3NGs@w(QSI7sR@YQ+JaYsG6?Ys9NqC`Leb9X>BoVfq4$3om5o z8I^9-5?-w(vVrb}iIB6LWGpQ!SV%esg3pnRfZ($vsgV5)i5I5np{vDyO4?S5JrQXC5%_RoG>2IpD;<{rGzoXiwR?hoiv``h>9J0+^E<-c!&zIRX5EQ STeQv*uI^eUHtXq^ZTbi0-FXlI literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qpg b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000000000000000000000000000000000000..c7531056913c7d44e77ef48e5f698576a8e1ca64 GIT binary patch literal 548864 zcmeFae{>($bszWz2vT2Lunn8AOxd&rN|Z#|Gz9(-1xd6FNu))`R0La$1zD5<5P(F8 zAOMpfMJcpGIc&;p)s|6|Z&-!duv@jEw@kw%%r28KF`X(~rcuvfPt{ZQSZ$b8-m+b_ zt8L{y>{+GU&*#3+of*zx@SOp^R;3<(|G>q4bKiZRci(;QzIpG>%<7i=dn%Qynk)D< zfqn+GS^PZF7SZ{jAHekmpq~Q03iNu=s^|wm{|NjCLH`8wYEY2Mb>dq^KLq-xNdGYC zb&&W7Xje<6(gykk(2t6KOmrdWRgk|1bOGomL>GaAty~M*1iA#Y4fJ|Y>S@>YPlEoF zlan`o3Up%U?`>HO`rV0}?)x<8AFlbeAAJV&p81u^$3d4-VWoNAQxiM3wNw_gR6v_R zw+`+YCf~nhY=U%XTV-M%uj9w-_deEj7txe5x6g>WJr= z`ya6Kw)WoL&FdpQE3G~~k9UobU)%f0W2Dr*3ptX`uNm8qouXV$M6;Ps8Y6B|jN_~yDk(p3*W^G(v#UwYDTePva^<@Ne( zPQ7-IP7N~OSpSsa-99@01o;Xm>&36THtW4IcTjgfkF}HmU&(y?rv-jlSQ1C<0B%X&K+h|$GHx`1lFy^>Tv}MY5e-cF;gQ`e zzdBOcJ%Mqp8ro-m6`daODW=p%c~Z+Sp7r?uE>)}am%Q?rUKH0&F)rG%I<{k+cBqE- zjPW==6nh!2o5ZgLZ6vOnV_cKOGqIyGiT+j%eo`OT&B8U-U|g%wU~HEs#%(;W+it6c z z@tf{h@htggCkM?BCU#A2CSL)iA8`I%#LjoB9>*G7kGt~f@uiK1|Dg^2*01hcFZ$4f zGuEf5U*DzryxrjXtj(*>x_dU!?)P*(YxvO|76;J`HhvY2H;gm%CzjL5{`iGF+q zx@8yZ^LYP6@F&nR{`3XaV{e1&ad%!l>iJVneTw?i-Kx(k4X)1@^XilGC%}K4?SW<} zuj$0h*?_b0FfNBMl?Vd>I{S(u>Q|Wgo{SKz@oZ4ajGc>h}_a&GQ z?%KimpysETC))h9s`+VE^V2A9T@3Tlt40#I*)KR>tX!#CHIueJ;SK>j6_Tl+BDh5Sd9uLT{L zyvr}eM2$TAHSF5X2?vmc+i;YJ?D#dmU=xu_b8Qhg$kkdwAy;F*`IUt=*25{}BRr~K zO?r|393-1-S6O`*zQpU_sWdflz6^bx#?ge$d~AN=qkU*E zHJY<-GZxpTtr=x5Y(27ifX185mM(wo7<`xD~X81!`-4?O3=6HjkQ zU(Q;f{K!7KzphCNC_et!ogvCXs5N6c~6EoHE&e&rf;d8{CZ#^>p!1g!EE?7H?jSfVSYt_ zWBrtF^BnrW&r{lP{nDkj+OW}uFOerM=I1zMJaBz9q~8+K+nN@EXF2WoOF6p^xi0X@ z@+g-qufzDbJgx_`yv0GT(|G7v7}9SE>2Y~WC1=+mHvm3a-m-8#svq$r>qopSkB&#& z#zW7?L;5WtJuYvxe%%20Y7MYw6Wa zT#xi@G(Ohz3GmdjA;@(a4?X9>C-wA(>ye&5<6}K+7wXv*I}2f0q;p~vHm>v=w<=f#wsPfO21=`kL9JWjZtLn%E+ zjF0hf-~|Wcjjgo&QlQOt|HkXir|D)ST)Omu%=H0FZ`1u?TQvXl{KOZ=H>PCuuTJg%uY+M` z+W+Uc&;9?O2Ic<$KLSne|9>Am_y2zYn%w^<&;5T=?*IR5Q11UfhKULH|6l6}`~QCq z%Kd**?*Ee(+5cyIa{r&>6Zij_FS-B!@t^uKR>_Z_qhN6XSZzn1@8a8pH|V^O z?mtWK`*WOSJ1l+RLGB~9tl!wreT$a$_ieb9w6pWpLDI3Q5j#&Zc>(JArNalV{3zF>|QvcAzcJh-rAVoSpwG(StJdY603t6D8 zfyK_+!!pDutM)p&#OVRIu?-9uC2>s&*W#AO`u(mLm-+q5l77ESxOkt_ z$o{xHg$wOisz2@)uDuP$^;8NM+OZU_r-bWRgK_N}w3pZwu$!4aWIwgfq1J zTPbn4d>4a=emM82X{?byY)XYV$Um#>=@*8merBre(o1m$9GO)++0xjxwKzV`8bd8to-eHGx#X&zORnnq$f}+XuKtGf zo)G<>Xm)-Q{I%$M`*&53Jb5fD*}4`f>-mZ1`BA;Ou01t6Y0tCtk5-1+pNr~Ql&5E6 z#M%eu#xMW*!8ERGo3EZ8*G}6XfjxHyKiIK7_`#q(j|{tqacO*NhxyG|Wrxk{sJ~44 zpG?YW`eTw?=^(p%g2`}$6Xea00eq4`YI@isT zb{rMY`_N>1zj(jy`90nFEt2E?HS>G>VH%0w{OYIhcR^)gb%p9bHfiq%s7^gS$^Fo3 z1(fy2aAM;Jh7(K2aAI)=!-_RKFgi(+(ihQVhdy;m`)A#A+;`Q$c7tAqjyRmd{SJvZr$?*Wy#c#ench7NP9S)?C z7A@~L=2+ga%JXsIy)sU?zxp__M>reZ@9OEhN&UEJe{lbH%GOQ#x%*Rx>XYxc>2;fO ze*1luThx!Zj$J0srv0`R=N`-W?eEXAyyYtIz3#U?!dcJH%EpU&`Wo%G?oSu$`fYk0 zubkih(~^FBW{!UJT1mhC$8#+2?e~e_R$D`VuIFd(b-#6gIxf5Cj<@M`U9Fe-{G#YM zdajQDQeSfZcB$#|`+K+-e#P&@ar8QhFVq{a4{Wjf9Nbsr-IFGpP3T`>FK(sLx}#ZovDU58*)z#>wH)$L+lZ zBRj%<>C~vb_pI8#eZtmvMk*7-v$-Gr=OxD{x1--L_XuB6e}7Hoaes*Z=lZ(Av!0u! zZ|CTCyU!jQ4EJ&U&-9yr?yU5if6_i>{JxrMk0In{`R~^JbyVeT5l!Fc`nciq*S{h= zjON@Q<=H#kFI#i&_g1df{T^uY{b%cuoiaT+zxDBN zkK#xEecY(6e-*xuOYdjRa(w=`!dtZe`aIYB?@~O-T4tX6Z`41^mgoI(UCHwPOy%YE z$6@Jvuk{xnC%wN+3g^4+zwRHcDnEC=(3je`oTWehr;`4N=EZNmtwS@OoEOf#@q^Od zf4M&K@8ut}^~ZNgmiHf2UYBK8_~C2s6F)rv-^mZ%KlZ8oJU_(o1KanjfF{>} z^Zdf+Hyo!pK79}gKA%X=CyVB9Zkl&le#UL;dABJ0MY25aC#)yO>&M!{csGUvBwQ!o zKDd+bL#|dpXJfB$G5Ssvxso=dP{!uFg{y2l--u8(%2eNG9-@PxewyxKn%&aVt@4d+)}KF=SZ=Cbmy zSNUG=M#mSw?{oblD&Nzc`u>>9xjnW^ev2sW;O%1ZUG^wi-y2llO?cro{c8M)`}mw< zb!2FpoeRRY?#?3nOhl1vN50pM?N}7YjV0x8l6j}K(hJkDTFc z+@f-Q-DG&s-s@f+#Dk-(Pjs%UGEr*Z+Uxb%9Q|7wr2lg{`iB~%e|e7n;Rfmdd`kZi z4h+!lcx>11TXCMYlwb0NaO^*M?Fn`K{zBdT-?X3Hnxog-akhH9a`bxp%~tP<9KGId zv(>vYr8jP`U12_g2AfSkT;+QG+!Z<^{a|p=zT;53y;kSx+cG;@nw`EidHRND zr|>vfLK5BxK}j3+>IMF_9vWouyYP8``4JBdiQ7kzS^FJVchOPhhm)b zewC)txLcXBbxP;aT$k}M_hmfHWqf+P7=j;K{Zszv>uFAjgY_d#&WpP>ADCucZOq1} zkujqf2Kj!0>Xym`9{r2sUcGtyipoxYcct(-H^=uW1Qy3jd)8}D#v!*m>$fm9k8SjP zop@MYQN50zI5`jdq5LJ!KhyPTPt~XByxi9veEsi3?ZHodzUcF2r|4ez1$z@`hvbKl z%KESy^kCvwVuBgAy zR<2&ZO828bQ2Q0_M>nSGGuwXjLzP>!A6=CE`?VicKOV-7yneJy*Wc}aePNFM=(d#K&9;AdzIy${bx%Kytc^6B>Ta|y^+ zsQq1zlvuhXXEv$WHu-LT^gFWY_FPm~ zWd%M(co}|6Uy+lJ`ljSp*>hEQR94w@Rk3|m@x8=&@6D=}m+KGdt8&tBQ~GSbucY^W zR`uS`s^0rq)q6jydhcgd@BOUmy`NRR_p_?^epdC~&#K=0S=D<#t9tKe)z{_p-p{Ju z`-$&7h3`uGJjcKD^e2nL{PJIka(v`CUgUdEE%UP9dwSIhXs$WsdIz3ltMM=CF8rPD zdrx?+qFwjzJ!yR^$_ID1>tQ`Ae*ZVs|0UiRj^isvf8irRe>ZrXqs!!XJx=rTBRhW0 zHUHie_gSGY_j^;0e_xQx{oWMszmoPa7UgguHoSNI`*ywT_ofaZ7xAGPsb@I|e(`sJ zt_^&R^G@1jF(}KUo&7slPLufDPSOi|K8GCfcam5izVE#Q6#8<%H+34{t_Pr%HWgU3_i9m@pT1#x!;?*5Xz0dGX)mE*nXXXkG`X{7JM0e^he64?MM3) zUr)H+X*}MSzfQdJMc;AQ5YjXD`#jQVzYa7Q?YA+=6>eYY&ER8yBfj3CFZ%A(Ch^MG zgpbl$`}T$O3_iT36hD0LO!zhjxeUI%{vo}<_kGjj{e$b-#5WN1Mc<(s7O#9w1H!j8 zq-XHaKZsA?r>Knuxx)CQH-nG+`NTIF^hMvLni8*kO$UT;Pe{+;yA|ogcS!cz8{`V( zlim!zD)bQFzMwDqPSt+#%Gb2S_=PVW7tRCW`f{~bljsXPUrU^)h4c9!m%&Ltr<{=l zm0qMn0n)vlIj<+qgPY(tv64Enx79pK@jtbA2AXgZV^k(pIzC!!F8uWD<4}U%_JZD4tauEDt z|G6RXwR6Jr8u&8)BfY@$9Q8*13)3dUb1vwMzF+m0@Vp+q&I`-W5V-}@E8yO*(W?4KG6;tJU2p~_BkXxEkUlZeWW*o2iulr zpK8$8X*}@oeFgA~_SPIcHwn)f;b|+0M|v}O+J&cGc#H?0^TNaRo9XPcOn5E|58oqS z#-F7(g9pp#W}gn>F&=o@T7rF+=HR(mc$Nh@`)+0#Jkp!NbB*vYKtW&h{jwh6>B_C&3yM@Pi*at7eGymaw$8_z*eG~fg0paN>iAQ=fcsTA8 z&pP2T9(axj59hJd;kiY4P72S)l6a&ygNOHT#M3K0#skk;;n|dfhvO*i^Oo@RmBb^x z89cmSBc9E|V?6Ni1vc#O137pY=ZJ^rUKuZjOX88<3?9xqiD#?u7!N!>!ZVhGr&V|c zglDoO9_h{CSu8wL!ecz}>=B+lIe0j)wDDPZ_LjsWy%{{87M^{=V?6L27oPn&c;GgM z=dAD?D2YdUGkENKcWAHYg~xc{xgb0TbMRD!hc6tW|GZcdkMw5nTnD}MpF_f9Jn(dc za@r5);Nkrz+p9--j+DeBy%{_m&`LZn3y<-@(RhOJn)z!Lw9&UK1YUfu~z|&gJ0Y zyp4GJgy;1jSGc{TH-qO!;W;ln#siQ1^##3Wvliyx3tzfP@}lEJ1zb&xA1(L}uFF4- z1g&b-n6s{aM$(|1Fn`f*=+bVH$58Y3wFJwDQfp15brlQZ{o18tol!d%eFUJw2+=0|o2fC;5?r@{^MPc0u_AlK;hm@-IvN zHziNIav#SjSp1r6pGE@hdQ$R#Tu}a1$zPB>{fK@-|71DmE&ZdBUEh)XBJ^|jr=)zF zrN{D1gIs&BAjKSlaCq~srw+7#@xyqGA4gO5 zI;MK93v!wDG{<*F`8Eh&dK}HeC%wRXIlfNe+bDd-1K)X-mZFKFDZz3KTe0MY;PapFLOT;wNuZ*{F* z*VFOlR>;#|7O6dqM|*_%R~&Ejp28^JbSa-`6mK|BV!UyAk2hS`1^y`RTRy}a&SMyF z!u)F9=<pZ&n8VQM@re5pP!M`f5$3d1$Y6LXK!z*#TxeXl04?HYp@y7TW;?3S5mm6=63*SEBOOK0r_@p-z zZ`KIke&I9zO1z2gbJ&{G#aEaMzvdS-Zr9GJU7|SSe2|O$#N*BDx}J_Vw?m%((yR6` z9__(6ki5Uq`$eO8)2)1>QM}>01>=p&d%U@t>JstB@*&>vevR=atar>CeLLWM*W=9{ zz%f(2vFme)H+Kg8QM@re5pV9&^|hdkH_m&!vGhc|vFlgjP3d@3fg@sm)}D8Nv&gQ; z@uoxadGV$@C0{Sz^rhtU;>{tAx9NBj(zEgAh~)ixUc4Cy^|5{VWIU@EZ(=-`$D1z% ze{DLZ@x%BT;?0R5mm6>P2;WK7r)a#9-b}pV`F4(Pr-aY=EAggqyg_r|hx=l+OVN1q zvgFh8=8I~N18NWB(H>#lF229fdx4{P)1!Q%QM|bZ>5Mln@9_q=WBA4QH$C9KgLuRJ zQN|nQV|+UmaK7vD<{p$jQ@pY3bBH(h2K`aIF+LG*?$h;kpo}-pd%UsqM7*)|S zcyq@6E9d^^yj_pu%>~Kl#TzWjBYws5_2NxON3P(INp-tasN8sC7(BbZb-@ZN`7mgHQtvd_b&!g z@_Qtow|{XcC4WSEeLd-@^0&ZYF#lc-x5O_#r|RqcrP+rv4NYEZ!^Byo;MD3q~z<313fAEym4T4@WTf*i&;FSl z2lQU`Xg=^&fcaS7^8x3T`9Oo_14qoB z@qFN@?3*_ZoKDG~k$m1ba4scZZydOolFu6lHr5#jDs5qY%jc)cj04h}83(=w@8dYI zN&Ue1_wYEN{#08eI}{xUmP;Ph#4kSI`H<@0qxu_<`m=u~#{s?HH5vytDW7OG4s;-$ zdwu#Lt`NNVwT2TI^uIfl)@`pvl3!X-{_~Ra``p#aKODx_{Q0f&@p<1eaZP$N zaqXwjj~Lg+G_D(uaeW|B4?oXK%5iAA^{dBA%8!+l-%(QD?d$7qPV?~ZDXD*-UngTz+2iXeRvP`(@sDvi&C2e#WEyZZNqxF6%w=QC$9p@`*-qc`4EvmtEfDa#$CO z^aHj#pSnB^7Z0!1qa!Z>&f-vaz{%3 zN?g7?E_bEub0sd%9Cxn7<(cjCeu>L3hVeBwE_YkMsM`Ce%G@tYZze83iqVwg`eBXh z#$SocKeo86_*UyxJ9<2JYT*rW*Qv+lVacPJ@QdT}W^io318P6x(SD4VjFWz!uJ2Mr zarrUj6OH0B-vhz8?D8I$!@77Jmn|RSGLB_b4mHnvlKEKPo`5ro%Ll~g#pR=RJ&w!A zC7&0U&!pwGE}gfIeJ&+mFD_q9$zO@fm&fJmHJ8tGuEgb;*9y_)0Hceb!D|s{%esNsx z2gmk1qV_W$?Pp^6!??K_aTAZd;x})!1^-;S)XvxG;n&=BHU6GM9FF2Q4#aU?-Or^B z;5zk-M)7+Y(iy)I#_)^d^#C|N2gOwWD93XB{Z7W>kC*4~Df03LagF7PM&*4L=`0V& z9Po?FGdYy^Ii$AXzMXO`FM5wrs89PM6nN=UTg}hGbKjKjEqV(N1n^v(zqiQc{QX5~ zIe%Z#7UZAl`6|0Uhv%z?g8u0FD&rH+R}JgB{Cz}eIe-6<%lUhV(sKUZA%CBczbDAw|Kragv7Onz?mzzC5%-@F zT&4erM*g!L>GU7=hot|Q9Q^0=NTvT!j{2kblE{C03;K`C)$^anQOZpIW7p^4KTibx zk^dN<@Sm-^?s(mQEPV$5art`w<8t-<$K~qzkINPHAAj$Mzt6+{hwYs7AAgU4`_Cw@ z(tkuF|LH_J{fFaa(tk`2{&Oo*=|7aC{^&ge@}KZLYW?xYkEPGxKQ3R-e_XDf|F~Q||8cpZ{!>9ib3e61)cuF;ob(@mF4+BN zJFe1yL?i#{LOT5i;Re4rewiHnX9ZH}Ka`{X=(%C}Pk6qpzW=yfJ^vxFnf%AD&%u8t zg8s;Vj8FK_q^>(&_a952!GB!7p8vR9J^yjJdj8{bMg1pwE;r>rZ0Dr^_;Y^lKaAJ( zAJNEvRwAALqxoO-9H7a;e^%jo75+mx>i6dW{k;FhI`PY&`*S&e{x2P;{dqr^%WDUJ z&d=ridB3zif4)ZD-=Cjy`-SH@^826L&*kdb&*kdb&*jS6&!127=Z@TdtY^}G{#=RMZzrzO zexi~6)*zksWBVoTXL7LL?MS8lC`bMN+=<&SoP)1#KbNa#KbNa#KbI?OKYy;npVx5v zv7Sl$`FVV|-xRLWexi~6_}nM$r~9s`|C$`^cL%Q1ew3qrKd#_U0`u20VdiHa< zdiHax?99}V%|&-?m0UO%7f=Wcx+GMpQ0s~rycqW8`Eeb;g6bGcJF za%WR=ujk0Um6CfWN6yd7dbxgXHa+h8Ia!zU^Rj6*#k1M-zmHk3A>KAK~&VHeD7*W44IrNJ!;5z#S<*47!8T$D` zKR4K@ele+Ws$RcvxqAJ=lZGU*DuU%@rQoAAAXGG7yROV zFYOyNwIX$S)4V3GS8|=t_YWaEu0xLFPqMu3a6Kxo z$N0Fsp8?PE)&{vwDDV*J(WT zd?%#e64K-HHc8H|L#{hjUSGH#`OARuad|%rp5<*0a-GIQ&;F2pOGuB)3+-O*wCj)? zNR_uW=!wdkG(IlxuLQm3*Yp?Tq37pR-dK>2{FCbsl(XxQJCG`GPq-eHw=d+6{PVAZ zXZ`jDxlZG$C#2sJ(&PHEUM$b9LvD%j@%M|pAM!fnP%Z$WUmP?(uIK**p5;Ctye(rDLsEpdJajC@z7HNkffd?;d-R!sPQqLzYd;wUJi1d#zRk6O3$&B zo)alOtPk}ZmmcGxXCS5LWJ=Fz<6}I313dMd3UZysL(jpKo>#*4s9vw8^!!cfIU_yB zLl4)(llD0q>3I(SHP_A=ALIF3;ECt8AlGR;^t_eQ^Ln@rJVDPJDLwQ9>Ny|eI*o^( z4$LExcrJwNk)F4VkMaCGc>3KnVE=rH_(6cY4hi)AFIn*nB zHzvmO3)0gPaZ-@2nTcMfNk}q33)`&!Uu`j+CBXl%B=XV?6Zu zcTv%7_%*+3+ zS)0Pp1=<)AG@UZc~N?dhn|iFm)qxX zO3%wFJx8SHi1Zi_J^uYi$8$8L=Xgrb-;thU(qlaI_;(v!&xvq78plo3KzZjE5foPNM61HKpgZl%AKR=dAP?4?X^UL)UXIrRRJ~ z&)<`t*QLjJ=<)oM*vNxlN9WZ3zT`!t``rPg^M3c^pct>Bbs&?&{q7)Ax$iQ-%eYVQ zb82lUh~v*iY}j-B*>Fwp6aP+>;#l-tKVae)pQk^ke4CVS4El)oJfs*0DDUqDm@c2= zIIMh%Q+4zGSCz|pu|96E^f|j&l@+U^nO=* zH%PDX&^w@Z^6!$VKh?GOnDRL;>8+b@ukyK_RL|(WJ9+-}yz-UxLvJU4Uuqu;Ncy4d zSA%)*!~9KtIMgTj)0Fa4FZ=I50JXRlHA zllzm#)w+I>_9yABn=kE8lfwN7@Xa*NygW;P@^*568ZPNiZ1A9KA9Z+=?SFLpD0KDeh$yie--toL$aTbPsdX2{tuy@_>QXGjW6I&>FXVvwKv_DC2-F#_(Qa$VX(`&QzCvPYB zr@bZpiS3^Br}R0!-GY?0%%1QklY>8PLn^;}O*!iKb9xu$4?aHSy}uD+ z_%*-Kf&v)tyxm)kkK6qOr07r8AlGR;+Wma0-P=-jp?5+*^akqlfH#%TaY=98cK7;u zJLo#?UihY^m)JE!By5%G-`)2q_Z8aV)jHkm>k++J5t#Wl%sw>@7E?f z7Hx;csdiYJYKQ*~+KG?rQ)sVFO4Dq?aWhL8Tb*dfKrrO~o%3(Wns~wC- zJ5)ar?(4iAmd&yqq&Ko>UOV`?NN|GqWfTz zLpyv6*Vzt~qkcbs*i*6{Hm2HPQ>q<)58BxdTxVr}FdpsDo@$4dlwI=dnI4y*Yy`~2ltos{eL}wVY?>%C4KJgduk8S$X|9N zo&NF}W>5Hw$-!TqLMr`*a@6nV-VT)Xm-KsH!h2m}e>n~9^p`{O7vteC$J7pG{pFPM zmGzfbm9MP7ysmt6^%vE@uD^IYxWAj;+zbsb1x%!LhU)Nu}9o%2i_wV)m#hMd;*uI<5zO9xJpOZo};MZ*HwzzI#@tsQx zYkxDO*KP^*tr=Ts`K3U!?`iaOr&auO>Cy+3FTT%Y`L>=S8hGB{^4DCuh`-@}H}L$+ z^fY|{NV(q2bmIM6CeU14HA}o)u9*&Rn4h-QIFBQ4pRZbRz!lPC{GOhsX}kwbpt<&i zS>kQY!5i-L+iJ_9pLqSg-|>X>@_2t>E-dhAiQ6l zCEleuc*A{TTWzE8`hBG14e90a{(|s6I!nBLh9}$KHVfx!t4~`k@G-uS9`jz0G)?>O zizd)q8<{0uuCq?p-^MJxt=1#FfsgTp^q5cMbUuyu7wtlGZDN*q_ZY6MefL^A{6TmF zAL9$@G4J@&G>tb5c+It^XNmW04&K*<_qb@_V|*b!=F>QxPvZ@Np}F?6v&8$l;mO+f zyrs9*PKgFS#uw6K9%A^_j~9m|_cvyV_X6@y=f7`SdRy&`Xy9XfAwA{|#teApeURlW z?37$n8v;JZ^<^Rbr9~;eH^uvYn9KWqhV#B2?R=Z&d(Qj%ob$d;brpM>KmR=k$=heJl`fvE)Zgs)DzxJ1)t@G#ooWaMuTJ!T4{H2T{hl#y-l*wEIi{}CJnxZJD7~_v>>rjth^St$48^PBv57o4Mi$yy`K`tvduRO2c`W40M zw@iAMi*}{T0c+*fE3dC$dEL^tRkm~5pug`L-!{(rO*}PTsN5sS#d2R3Jt_)P zs9cU;EO&Th_XNuwsqCI;E@0nd(tlj^geXX%a=pGNcZlVV?I>2?lhS`m^mM8`uvUJa zowaYx`r?Q??7X9bDO7!bdPREAh`uTcQmDQ<2Q%-fr}~G@&buc@NMY`grUK=kRXMzW zV0)iSl?!&pdh1+QqWqyTmJhRI3#)$pUzh&#qHlL zrs!LuAce|zKZpGvVZB#X`X4Fc=bY!#-WNsRNtFk7M!UPeq1^Q>7vX$;5qnoKp<%gP z|01o5dU;Os{5(-#D|bbue|?eqwyGSiW3k-!*_YeL`l8&vBIRn<#rV; zm+MX}kLyaL%V%G%`PIsTe#QF{mdE=I((XBy3p*F`XWmz^Jl;2uuA5`Iuydhud4It2 zIR7W@Em`h|>F^joHb1iJS^Cw)t|=S8cTM%%II_9YUuYcJq;h#5N*tSKjbr7Ua15wi z-d_^O)>-3NF(({jDwp?-#4$B%99?t5u}9_devml!mBbP53*jH@gFQyaCwI|L;2xVv z;T~w}`f+Z*$~_?Z{A_Xb%?-yvmHVRTp;_Yy?J`??99FqUL|-n6BkH%fk!3qP3m~Lr z`|VMcdrb6rNgN;X@ndv+r}@RRLpE+~-!c*WWOHR#k+^(9<(?EhRT4*A8pn!fZT>p} zAi*x1;s5ph^t8%-MfA*Eajcvjj#pLgS<%<#ieuI6aGX=QuZx~9iKAWZGPrBX+M)l6 z5xWl?*)cf9_81xNA0-{%Ic4qhIou#lxBkO*CXQcRHzsvHkFr>oqdu2wbwA0(yWk)7 z%I7+@>z#?_mGATQo&Ei{vEH|>=wCsK`OS(V^SNazzgv{gRS}1m*Cjr0{_6KjQTbi{ zYgm2-w8%KVPWm^9@_Dsn`Hmy6{Mi2KIA6^E+!vV1?%vLhgM706=W71}l|L!U=eCmd z-zq+@{&TfIpYLLM`$YGr%J+Kbl^@$bJ-;btf9}Kl_q6{Zm48%}&p9RSe?)v<{pV_b zJ`ct6PKxq*sAT!Y?Vq046|?_omFIq*M;%9_{r{}WKQH=5s{ZH1|G4bW=Z9$Tw?z5; zP#OFCdQE0Nt9eSj@&BUA%d@+u=h@%=Z*|N4J(bE;(8ecXu4=v?v|0Q-(H7D9pgbSB z0Q5_sSApIFS_Qox^aG&Z0R157FzD5wAeHOHw}Os={}AZ2pdSYPX-Iqo^l8M~Hqd_v z`ccu3f&NoG0I?91?RX6+6@Ef=5h&QowV;>|RF;4)2E87X`Py~;lc48+?z>~30{!(} z|HrY#pqB>!YWJr>|LEF1w|oZlD_BtZIA|9Yavf`8$2N;cpo~YuBSS06_pk2XO}ceB z(2=2jn?GRMFva;Lb5s7YuRUz@zQ?|@dNt)Aee_GVUNSg7@I~@#9(ZC4>1``2TbOS> zGgg}CFAsFy=*UP|KLn+|om0d8lpmXT!saPM{VOb9uUTDLkt}!U>-QM$I|tW=`OJfT z-OTsRuiyV=(iJ1ydP&!=s`N5nugbka<)R$;G488I_E^0}CoZqoGwW9j@cPEy37hXf z@y&HsuT>8|^G&9&{?e0%>np1QF4yn=o~NJfhx#w@d0N@}nhVCghIyZ5uQc=6FMiG6`+w1qu*1{(p95|Bk@){4 z{%!I9LHvIa|9^_-J|^;CEj?HNKjPmK{l7(}_v-&B{!P*UOH}1t{qMzJ5dC+eD);Ii ziho1&-->ddlQ?j*TI1V&{lFy?!Pf)!PIVi9FO6^}_y$AX@uW?E}J`P$w z@w_j>Ir16HVfjVz+~jz|epog5PmCiE&rQO!w!wIA79Qo2&SWB-0r`tJ+7&q-&qU z&Yj?m6otpfFUSr>?Rj@e`7f4~?)`)p|u$>lIb4S73f>>lIb4S5&oL zQQaNZE2u?ELVCtNUhl0zuC_GD z6}FG`X7IqB4G-`CiN|>0*#{o=ZYPlG?1SdTFT7`*_Mtt?*hhLZcvw%`XLZnrH9GLX za}<<#xcx-&ER1@iDzTb*J(WPTny#3_lEQgo+{GWUc8}adu*@;}ZS$OAThaeUEw zPwjxNOHb{G;Mq=$r}Uq#pd3Gqhrfk$N%Kav{$GpwAJwxTit;>IvRubEiR&CsO$_q$ z70h0_O^#ZQ4eYpR`_7NRtF6N`&Zsbe%XOq)=JaGL<6QAJ^<&(IC!@hU3 z4EmSgDsiyiqn+CwC)+Eu?{oOCxyJE<&!dKMYvD_+;E9`*eq}uTstbHlPkXo?jf0Dg zkM*#g)Uznabs7&n9IumlI>Plx&objaYSFY4hqNj=6x&sp$EJ?p~tNY6&&V?7@QPdyugT&MBS za}j(}Pj9#$>7o6S?e#J6)Uzqbbs7&n9tXYMH>dQ3_Kxu^l%4_UF&=t6f7-v<{w!BC z^6yU}o&6&1-zEqDPVfJ7e}AU^f5tiP|5Gpb|E~v4?*IQ0c<%rI321WvpFH>fNxA?3 zr=Z;bzYZ1R{(o0X*#G|mDEI$Kx&Kef{eR9Uxc|?24)^~_r`!K$`*Z)F`I7tp|K#N4 zjokmA*!g=~xc~p%iJR`@{{J7Y`L!Q$|NkCL=(zt+e}@G!`~O=9ci3}1{aeQD{NT{G z%0zPC{ocpA?!tXs%R`$6$4KwpcHh0EEBf!X{r`LWzPgV5!z(N6m~VY3XZ{^3Z|Ldp zyw1ekGaQ>h&jw4|4`GaTff56Jy+IzR{|Bv*nv~vMH zk9XO=_}bn_9wVM@D=Uw&9Qrlt{XgvagU`y&>2n3UN2hon-ZIudWp>{_I{pOt3MkKA zFdOMl+`Zn~b7XML&JT3m@wnOb{%6L_FYbBt89N_x_bS_0itNepi~f{t$K3WcJW~_f z?Yzh2;7~Y+0-D70@Pk|LB+dsO4gPl5#Mhr8fB$Vm1Egy=jNDDSuB&o)vVA*+C*KaX zkJU1|eKef^*gazBsVkt#^6$TUo7tn)%p|8J?*e@WMun<&dE$5o`ik@x$l`csGoE3b0+&>kXfBGK?8IB zO3$lS^}K2|v`_u>9XA3H^Rpg^+g18Y-no`i=S^Z?Lr)++)ukn zJm)Em#C3Cwi_cwD^}H)TgM?pwd)*8v;v55QB(BwHFzVIw+|l`EzM(vWt$ zO*r(NcU>H}3CAl9#<4~?^!#^K&wm&8pEbhuW`l9vE?jzUxr)ccIX|fCx#j5Gfw!Ae zz_`r6Zx_E9{zyOK^+xo&?hHPgCsp-av-R&He$F^df7E(R!|>ga!DsWbs-Al;JZ^Cw zNPK%6Y`;5&Z}YSFpy?J=H?9BLJ*55jJj8Lm`e6S$<2T)7=kTk~P7ZQDQ^oU_e6Frq z0Y%)ea{gV!&UdOF#~NIZyYlMsrHzLFp$+}x#CzX*(T5)7^NP9k3GurA_;{D<^LB&l z=TwJFgz~{3)kCMg7UoS-sNW`g}33J}G|!{KwfIXpU0;Q|Wgo{SKz@oZ4ajGc>i!&ex4pcJ07@ zZgPLr#xc!Lu`g=#(oH~U4A{n3qBH%af0oV8S{Um(TEv-*;sJ3*{|BD&#KP7xnK{IVCR34vJw5zp%~>y-0t~_+FF7LRUPKQr=Gx*@vwyxC?^hNumOT{Z+(*StdZ&^ss z*pKU0EO!t75+4O<58B;vIh_ul^k(p}-*erqE9i^%O*x;X{gkh1iSY|xItWUf-QhZq z+2R+sb6DSQt{p)tadI4=4kvLZaT<&INiT4+<(q5X&Xgz49#G;k9_xuG!4uEAkiMMt zN#bb@yuE*scs779gGYKZczAz8JR5_)Xg~Elc;e{|>D=DIFSd{FukF2q#Iq^LW!jPT zo6bJco592TU*hQlrG1QtecAwkcs7Uhj9+p6o8>GEaZN{l5#s^L$9p;L*BEZ4rRc zpE*91@gM2U;9)-@o)?A3c-W^al+%7F2aorgKH)iB5|8v|@U#lgk)SWy$KvzL>|Za3 z^o)IAKKw8q3eV9XSGc{TH-pFb!;S@g(SF!T;W-}CGkCQAR=XfPCraXx-V7ewM?-s^ z6dvQzUU*o>>~ksykH+iTvLIJGT@sJvi|e^ zT9^&L=4fA({>JuK`U@Oq=>I-XX)`|F7b8!e5{AxB9R6$8 zzIm+YTIm@Ga-GIQkH=Bhvo%}?o}g#a_*l;;!4uDz^cW949{*g=R7%g@lpgMzQqLag zF&=t6Zn>U);d+GUfblUN`W^M`4|1KxLyyNB*YkWz&xp7It zbHw-<5BH6!=WvkgG@kZ>f@HhD9Ii+8I+oINo%9@)9^;|M$7RQJJf-JkN>2xP;yDrI zI*o@OA5UG+sc=2Q^NR6tz1W|q=X8+kG#+|54)UB=D`@iEhtHpNy@^+`{$IO~|FGX_ zoHn+^_dhlx!*uWC9XH7NK0AH&3i`~RG-#^&lhG37}{Zd}0ooD;rfh+s|!OmCq{ii=qp*V15-(UT(=)OP4S&oxSA9&Et z2dv-N&wY!Q_4jSKm9(?-)(> z^^seL@G2Obdm5YIeohOL?YvU|(1g9mV-g3Xm_9zWQ|A%F`${a(R`SmyEXTwzc^+Z4 z;m7+~MY(=3(|#qzxR2k9g1COH?*tUyXXkvE`8e;xe8~0%XDY8Kp05gzzn8=JXPpu^ z%OxfLuZn*elsIU&M&S6G<5*Gd{SIFfo>v=;=ON+I_Xn(=MfNWr5}vmkjAxVM=_+TR zO~T{*>WylzpAsH@zo4*veoA=OA%7$N*q<-f_YDf;`MU7v{C>mwl|PSP_I)J}3(t!U zw$Bp?RP4t^&(l019H)c>Y(x6_R^cdmo`(0;#QjEtaf}MbY|qn-3Rf%i(2pBspC^SY zeSR&h^VUCa@T71xdf$FqjB8fs*R~1QScC0Fee@4~uc7q$wK3s3++bYWg=?1C0x%p7#9v*xV;RQzsIQPxVA&MPBj?U&KOr8 z?d9)LDvE2TaJ|`JTzDA6?Pa+9Jx)b&O$pcHmd5(mt{9j3y}oBr*zb1<7w<0`>G!)+ zxX_OL?nGf+yM=3SgK<5T!lmzF6vp+Ga2;zfu06t~?`813rk(%Rd-tpQUPfV@yf5W= z_!|Ac6nMX#eXpbRIncerd8)xUzwJ1~_dMo|^V`Dtc7t&~8{rJ? zK397_E1b&_kQ(WqKjS#V_du%p9th4a+jo3Qk4rxzoWl*qxzBNi?}b#S!uLX|`d&yC z$F2FjkizSG`-JmwgK<8W#u>gRQq}iFN}mgVPB>p{FwXC!afa`W%o^u+gtHX~;Tq|m zKbyuGzDF`^oIfj^>k8u3_eZMw{zz5dAF1m5BUOEWr0{zqe?{f{`%j%B*~VW|uKT*& zq;Tqa=Bl1&uIhQ_YWn@BdcLx%=aQ>>E;;jF)ZdWa6QbV}&CXB4x$~my+uv0^^5n6s zWb0a_tmh}1=STJCy7tuQq&?5lKUx`Pe=e$LQJ$WO5$InKoi8t{@7m_8r^mI^_D5jP zoqXOF{;-|<#?|pbd(ISgxA&G;$ESAKb0A}t9sCYjv_4w2{7d^ZE2l1@z8_mQgo!#lW-hj~$GSKMaU^B7Z=N!&`-Z(nLp;`_UE#K(pwzAd|U z^7;7c)(Lz59`9=&8>YW`eTw?=^(p%g2`}$6h}(I$AJ?Op&ULe-9Y@9UJ~Wx$FW#?v zeouFPi{yBJ&HUbem`36^zxpZsT~JwAU7`AqP1=5Tb?WI!?uS+@psYWJ6B|D;oLD-B z6MHTW!-2dHTyg7vZ!}UhE!}q7E`uHg)E{_uYCTlc36b^SKIj#tia|7l6TJu^o?dab12 z{^L28_xAh5Z>z1LKfAv=eZTpw`_pmRJ$JlKuj^{PjB$nWx#&204$?D?yVS>Vm6Y+| zQq$%4_i!)#^2(=x~W^HceY#z~*YaNU6SJ0HS>6pWL@qmSEr3r2Q?`_idVd+%AbfBS^3 z?~GI?hG%m>`p-*_Pi{wSlNqia;VbIzuc%n!lBju)}KCg93Ip!zjc;@5Z9>tIR`?yhC|0;YR zm)_5s<@o$>g|}$`^?9!M-=%nvwah&C->83-EzkSox{~Gnnaa!SkHgaUUh6MDPI`Zt z6i)YBr}VQQr~kTtw5t5v`9fc6-*T4z_@7GpBbpb#`L+(tcyeAi^TrQKdp~CX{P*&Y z+4|!`TtIS=>D-!<>&b!jvv^*Uj;O|{+s6) zKEGi<;`sDIB=~$HIiD<=zZq`^Pv&Rbrk;0;vR@?2^M1m5a=d=5EsS?#I6%U6^6i5= z`99=o1#~v{;+xQu^&M9EgQ7flNj%OEiKjgnM|_^~^TM@rSJ;OEK08<6kApeHw+kC& zMfNACmF2O%Y|kaw@FBhlrTh5elybyDJJCL;gkyNZ-UD9kpPC5oOWPXGuef}kKTun; z{OeV|*Spd2#n(q&zwbkOx>MgDb2+!icH!M3N;`PFSbUc~iq`iA)prwKc+GYjf8stq zr&t{s+Q#P;tJv1vIh%ImcdyuvMRD9%QvN2%NBhP1ZMFSAz(%?G=NxFQWP9H%J=nj# zkNLKu;-ot(LwIDQ`2Mc@?=tE8tjh8G0VJEhPU1x@ydU_o#=XJuA;aA}IAwOPfEMZ3 z>3ZCva(&%oc+lSKULC}PqpVMKuB$RpYTw%H_1PT#TNHmC6 z{}2ug(C&C_*X~N3XZvZ1t|l z(d+FtTfHk&dgJ!m73L#ou-Wv(Rj$|1U7;h=4+aM-_+(=F_FA2%Z_DiT-Ik|sXmxy0b>2g<6cp}zZ*Ab>`yrFu!j0p_OCHLeqZL4<0|ER-1GO< z_ACtJb`P#Ieo$^Y8jZV^DO;y>9?f+b4|89}!(7Iv$BQBOq18X-kG`Jflz51nG&wKs z)_h=^b+s|-9U2)kieZrN7pQKjOyJSKIPTS(x38$|bJ=q>^ zZ`N;NY98C@`8x5iyrOy?KXGy%_Cxtgo`0t6)1InN(Rn%C8ozj6{-O5Zr#@fwd9zb= zFOw%;;_Q(85O~)2{Wjn268^mTR&M->2mV_4?7uS@t8o zAa*YOXlcstiu(I(U;9z@<6+#$>qpCU z-TRMI_j`_K^!u{$()*87*GEcy?%#)G-+KLM)hzqb7v|WHZcF*yZ2O1jtJhClE{~@B z(J!j~iuR*5srt;eAN_&KE!vOHO8))YkIoB!UO&P|{OtbU`;Sxi`yW?7a{rzbzPx^v z=O4tEoPYf?c*dQg@_a958TntUBTqXKkK4=7T_V}i-T(9OrStna(4zTWj+EonQP48= z`|XnQCrZkHucZ9xlJb9AQvTJF^8d1qe7gPoTmrHcYJZm_W&8aFXqoo=k0s?V){*!6 zK+NzgKmzfQr=J(~XU~7t9P_hd&87Sgl+5q-L^i9x&m-#fU$5^cN|wiRlH=K;Ip)81 zj`=@1$NbCYnE#eJ=Kt&*^M7uR`SG!wIr;0IbIgC&9P_VD<&VD;v|_cbS5;QvlY+_b z2Zi)iIq9o&(r?R2Uz3x5dro?HPWm0$^xKHPtFi*0BD@U0rLV|I$LoSq@~ikB;p!cg zRVyynvx?uH#d~j7t(-1>RZjYCN}uibmGs`vs^0rq)q6jydhcgd@BOUmy`NRR_p_?^ zepdC~&#K=0S=D<#t9tKeRqy?*`nsIn`-$gZ?Y*D)&Xc|OGl~oTou@xp6y}%zN|fUx z$MGWHduo}N{od277I>O#PI;e(_ny}Hmvk5Y^1JRTzxH9=kdR-g>n+$YPJH)0$_IDH zuelc1qvG#0QT<=yec?F1V)Pe267qM0$G%x6zw2?Dmmk^jYp!u$l6bh!3VpfXn{xd7 zf?V_-aa8BB?RN(?Ye)5h&cH^bu7#BdZ+PtpE_=DjaR;=L*R)I z&B*lSAo#`K0lGHuHO@O}m&KqgFOARbV&cH%y!67J&*4)1og~(W_&Pv|&v@WlDqi`T zPJ<`DWg$I-?~_QU-m~~id=w~yPkJ->*uKQq74+qPZ|XuQH~P*LSo~u9bp}5Aj?!B2 zW$<-NK5akRpZI#hb-be&JnXklyz)igao7;jGxqyD(rLdAG#Kr-F~}8eU+K-*kNu7K zdV{{`yHlIQD_;{nN@wlc7t%BM@S0ov@VztP+Z^OF`11OP^a9`aO^^2vu4fb9K+qR` zhiX{7@-+7))m}}aFYtUVah?{= z=Yw1ZC;gmqMiNwdkq!k&_jcyIo;VMJa-1<9{p6hRycp7#v%e!>gl9?MYZrv)5cui( zZ5|%!&EWYU^bpVCpfCD<6+Q-G{oqJQ=W--|F`kaVN8hh{8GIQ$Mu^bDSBkWM^& zUmM4dc-W^`c-{`_89X7Oxwch!E|$b2y%{_o6P|a3$9Uk`Cp;WJ(GD3rH$tBF zIV3zSL9VcUq&I^H+m>dZYS7ndJn-;+1@MdZ)*L)H3C|hfX)B3GdNX+1g{NJ3j0c|c z!o&5O>Fl#icrFSL-y>hfpQSg02g~SYpAO+M9(dYXf_;|e;JI0NmIXQcZe|%g(wo6^ zjqor)L0|O!vL4~-%E9wF;lWEqI7<7xB|Lp4@knn55AWBAXS47a4?KK<4g32*4j#ri;^Dbh#*5*Sc%(Ojhx1P2 z*(yB715c0ejOE~I6`ld%nJkG%dNX(y3(u7B7!N#qglA6<9?mOmd={R)CGkja2G6I3 zXP@vG4?M?(XMYYJxQ*dCD?A5E;*s7Ap3ex+^TK01@LUj{gE@Gr!owF1(SKeniAQ=f zc&-zkL&9S`@N|T7+7IX8;r%Dut4DZ_l*A*w89W`(N`HP?c#H?0KH)i(x z*PfJGX^$UZ!NJyn0hr^C%Q;20ET4%d23 zuetU^v-iT6ZU)Ex(uM*!-!mTer+xT6PA^yQM~m8l^I_%_9XD~b1LqZN2bcGD2>Rl7 zuzY9-_Pf<+hcF+RH~MU z!ad~LIY0YBrD+4>G0wBH+3;&_dNj}%?0QR6)b2qbUI%yH#9?2@_4`Pme{enu{gFQ7 zq3^eJo%3g2=RgU&e%bjYs)waRp7Q|K$F76-dVfoLdQ_hW3f8|*@*@T1Cnf*wg7OC> z|BD6XUzYrDN}hJ*K8{nc_%+u)jRe~Dq~!m&p!}Ncefjq}G+JkbmH>nZO7!R++>qFdM;5fkX zlH-T*7(b4t>UB)@S{LLp>uHYfjPh*|zVtYnhfjKe_i}ul!naZQj0e8+D#!IZW$x+5 zcdw7jIn9&zcI9=ppHsIplJSeV*sN6&8?89zbsOF7?1V{^RGDG=skr|yy;Rt(J0<OPE`lEPbd?Mbg()HD#j5p4Eys`8| zys_(7;!Wvz)9wD16K{I$dK^FcB%c>=CR6hD;?2R7ypK!ic*Fe{#t$E-Jl=%#Y`obj zdB2_)Zw`g})FxB80alGk}d|tfiPRZAcH+?Djym)g+<83QhLVavsJ{iyI z#hVz<Y5XvLhIn%#$mPbHJ;HZV^(h)}q&E|9c)p$E+bQ8Q{z|+l9B2y!oQqz@m@#Y?sK2yB0>vM=V_Xhn@yfHozZ|>9eb)bwl&U?JE z^hCU|>sR7U>3DO-{VV7G=Db~x`+t(zEgA zoaFs_Uc6am<>P!)N`Jk06XUr&-mDM)+Vn=y*J=C=@#f7Smm6<-gzqikD;jU4HxqBZ zBz$iRpYd1XP2qT>al6*1b}1Tf#w4GPH}|VOPOCkPM|&_1B=2wZUgRj=Y*0SYDBkcq z3*(K;d%RJ((R!NYL%g{b@*Rjb%*XQf2b@v7IVis9{>>4||GMf+f1PRn=A`6{?suG# z{J$uu|GeaXQ{x+Z>rDF*Z%MxBenbT~yqvFh1ZwO0$^C*wmL89Xt0kW|er`y~_ey?i zpf%o?CigD}Qu2EwpSOQ;C?$VHdVM|VsPebKVKDz*4!6WFKBwyI{HK+V=R-M9FFGzs zZ)RNjGD_h*xHahOG=3K2lGn%aIAyy}w{B5(9bWc$LH((APIh=RMQJt8OP%^UN9Dtz z{XD<#+MYKKbfo0#jRQR? z`Mhypb@0dBabQEhWzT1nnGZ;BW*m4B-ba64tA1eodw3jBf2s}04n@a-DaohDfnL>r znd)ym>d*d}90&AX^=LluRpk>MH*t&uJcrA1z~y}$;Jhd~4p=^n13V|oae(<)-tz(H zmH9w}<^xB}p7DI(sO+0J4xCQOpOJjtIB+f{UvC_^n3B&M2R7Cj2P$o0e#_^l%8Ucj zn;8eb2JhoIuu1*E`1kNQp#D@_Bs&xx2bN17)xID1TP+uNRcRAo;fo%2#l(gX?Lnf!1xWMUr1yQ2z6h^ZVS@%0C>&*Zldd z^6`1!GI33MGjZ*w(2p3`#x$-Qk8ynhe2B|8K%Q}#`52ea1e{S^J|{je zE?>0k@i0Vnpmytur`uE+BA;_|YTe7(55Atiq$E?*v(`%?C~5|?M5hg^xvGu!9= z5|{Ue@ijLt4_Lpb*7gOtOgv!x;kfPdcw@m!ZzeAHL4f1>evRwKUx~{ZySg1c&dxS2 zE56nCs2x2XJN0s$dR%^9@@OXf;`?RZce4E^)qcjK{cbS1I4Qu6iUas>z3 zlIzL!;&Mky{z_cFJT7;o>~ke9&m4EI#O0ao^L~lTFNX0oH!gQuzo^>#sLI?gOK&DF zKZ?&9P+%RjcbtoT;zRXch-c52}bao4HGE$0eT^m(Qf-wJx2vj(sjAUoS3SOvzt~%a_OH>NS_obFReYnd8otxID9c z-Y;?aSe>}sX8odCEYMhbT$5-LmZCc zHx9&cUER;64d6QUi$?K#8PflM_P#&9tGfFC{eJHadkd4j8B?c{4n-Y`bK{p&QHO$3 zLe4}TiEgkBHW_TfKr}*3QYuVDeT#-R3locUCKf3wDjI#FK75jjPhnx1QK6FACo0VE zd7jrf_g-Fa?(V(Yto%NB|FN_4dY#vKJzwXX*N=N&uX``%Z<@yF=g!x)#Njz8q~ZrT z)T{4zVwV1Befpjvt#2yjp+2EbeJ4{o>Z4;0^mFTDIjZjzN=?&sJLFKG^ByD9p28xk z@csAG89fJ&eN()*Xb(LQfOB#B-XfLL_ZQi6`o5xRRKBz4t2n~9ft|d9NPv1+V@_Jt1ZlAu7NaggsM7Es1he+l0eMGjLzJEyN^gTqj zoW6HR-zTK+3DWof=yOQ$GyJRZqwgKj_-UYA#E(!Xeuh#y;s^b~8$T>Z@pCGrB7PtT z{my$yBz~$w#*fMc#m{uArL*|q{BFe045QzPALc#r(5?2R9NkATKc6Xha)ggWt4O6iCnjF;Z{VL6JQ(>4+a$|2fYAvK+1prwl?$?;%0;!GKG&hoYpDIuPOts+JigkmjdEc>p^p9V+$ZcO*IiEk zWjV6n8I%wEK@R%$yuRA6lCSTA?Wb}<_EWha`>9-1`{{XjJ?F0WLp#0pt4QyEy*ZC^ zVLzdc{m!Ix*iX)ZIrd{Yvfo*hUqtqU9Q0Qjy@gdm_1v=Vuj>6-z3)1(znSm#ysw_) z)$_S}?pE(Znsa06%qmmPdEcyFcXfz9mD}JWx7Cu{;Ul-llH2Dar{`t0UOhK!kGpzK zR^{}(tSzVa6;)2p$=Y&yE>`9Ae5@^}=V4V&&&AqudJb0Q))bgHvE}sqtIFv)SX)ld zy{ep^f3@ZGKB3C#xmQ~*Ho(M-%ISGmTTains+^v4wdD}M-hQFy2z6YUPr2w9LY;mw zn$poPw?zEIB%_Ef)Um2oPlU#MJAzfifLexY(f{X*q} z`i05`^$V2?>K7^()Gt&ns9&gDP`^;QpnjopLH$DIg8GHZ1@#M+^XnIgUvIzAb3EEF zuA^M^3!zTGIET{FFJvFo=@%?V{o-88N56m^^y@hvJ>R3}c6zE`EDhN&R4%Ars9aFL zP`RLfp>jd}Lgj+`g~|o>3zZA%7b+LjFH|n5U#MJAzfifLexY(f{X*q}`i08*^$WzW zw_oT!y7r3&l#6~L)ae(Ml#YIJEK%<|p5>@t97?IH;yKq;BAfb!?z8Luy6&6zRKI8s z*)LQss9&gDP`^;QpnjopLH$DIg8GHZ1@#M+3+fjt7t}9QE~sCqTu{GIxuAZbazXt< z<%0T!%K7yR4qN(zI9@_=Ot)X?=k9ySzCk>XQisNK;<#Ul`+T~ekRl%Ys`@=!x_sb$ zBkZeSALV+Y=vOFDa;41Ee%lQueTYd<$BT%k{uM8zzmVg6l3PiMLX3t?$#sqrRa=u9SJw zv&f_mG3jo7BSen#Ne<(Wx4sIK@6=bxyj$Om#G}5^My`~3(zDp44>9R(ePczA^GPmE z7u4SR#+!VnzAEP3`p|0BH^Io2GEaIwW73D1bho}qBFFh8S7FsxZStLXsb$`+?`Gmr z-xMQP$~@^=V$z40bhkd^_f#q8lU%J;U!&3E)YrGvm3Xvop^+k<5A8yIoKJFtn0LQlto;!A zBuDiUAnF&(nRnayIpR_8G9y>YJn6%Cj=b@3m&teZtg`fcUi7RKJX*c584Ag9ioSM(o<{cS#Rmt$h?c^HsYaYgOMv` zp7boY^lUQuPP?{PdcG)nHj5tSNe}Lad+oE;(Q_01m&j~q-oI1|=t&y6QszldhovXa(o@L1s|WFpc41sZKVzQs z?6mY0S$c{sJ$H+qfue_b(xcx+CAZNpv0|{LXQ-uz9Kd)=L=W?%r!u*}zsf8<7-w-_ zqn^rR-n~ckj1WD{lOFvJsp1)J=^1P3K|hCmDn$?Tq^I4&Gv3luW$C$B^h^*v%#$Ad z?x*6JWa*h=>A6qzREr+wNsoT7Q}xtZdKxV~UlKj_qKA3XQ%N_Py#1ot($i+?!T1RK zw2B_)NsoRXQ}HaY^endY+%I|-iXP@kkABxu^(?XUEVJ}HAbOUH9_C4peveZ1EVuNm zwDf#g^xP$Sm?u5Oz4y1zDof95OHaG#X%{`rlOFy4qvBa(>FKcaJSckBiXP@kkAAmN z^{g}b&N#M#dADDDMf9u}JDg)Nc}Vo^5IxM39xdNW8+jDh&N;QOiM&wfdbgI+alLyOQ5vtD z`#>y5*Sk|G75gr=$foNAJ*SqYiZK4{r44(GKjRNKaiZUel6lN|uAgAi&pl7SUCK?8 za?PXEM*YAMo z`yceXW~z6r=+$-O1WWHDqIbOLWuElbil6kmWYV7k?cFTp6qo1?EVod~shy;q&U<(K z;%S+biyDXOCw*UPHC5n^L$P0m%tJp~zeyZvJi+hYc(TvkeVuaQFQHC6Vg5!u;dKoAK#&%kPhqcEr<8@jLS&;%T?&)$z%E7r`;XgW{feVmXSZnUsp} zUPBK0^_<>bi3c5@{NCS4V)RR_NKyru@6_*k%)5SHM^cEVl#wfCp8USk@_X8{3!;uMRAWG zSdRQKi&EhS$U(oJ_e+Z%!}?*M<%hwRAHGf6fe-hm$X}(*lOOhpJ#~FBu#0{Wy^cNo z{HN_xKge~kQ!dC4u$R{l_PN3DNIQi(e!xHQLoXEf_<`lf4=t1mKbQjUxxtc%ei&i- zVYKCk^;8f1P$7O`p8SwH!d%y>A4iu_Zq*m$2iVK&2mActccq;| z9Y3^EI{YBGoaXGMQtp8QZ~`5|f9 z#m}DhxFmW5{h;krKgfL;r(BR9U@xy9>~oAyOFM-+ewah)@WVh9_w)yrBR|ZgRIE23 z2mO_46Q6p1OvfdCPoG{7>3a|b_X_*Hh0T^9HjsAsp;7$6Jo%wm{7^f<+^?|5Yd=4f zNjZI=p*_C%m8+C;%~GG;AN`fpS?n1#UKUEZsPVE)%0-PAe3%dS!MhtT(*D4BQ9o$B*w_C-@dCek}P zcv&lch#D^&q+HZ^*&^kl#>);V*WGxL_6Np``a$ExzP=BNm+Q#h-gvRkgFR2V@P|+* zUKUU~;^kNr_rwd!QM?>Vsm&BGkb{0b57r(rUhMY|nD-61@$v#`2fhx87v?Emc8edP z#>+k_7d2k;ip)3>HC_fvx$ee`v_CLj)DIdj_Vs&Eys$gz5BxiY{F}!K?l~!P1N{kfXo+7dS-}eZ-&`e@*YF8-_xk+N>lXD`|lqj<=pE`)X(=*gc=^#xA-M8 zd+D#a-Zeb_BHgAF2`TRPA{}^dXMsd!co*^FcFlqCn)PWqgLNEm>w1-|BU~ok#joi$ zwec=zfkfu)F5=De!E3Jb)0v^9A9(e;U-6jq=y>lCycc#6Z;=mPb3L5Sj266leXMv* zdUU)i1n(tX#5>prueokaXC??c(VK36u~)y+mp^1-ojL%UNbQyGBmuG=RQ^EmyPMO~nax5C@8UD*F3&JJ z!JE?u^$aK#xpF>kZ{~J*hm}h57qj>Ob(?Jzez2yHxr>{@Bau>5SP2r*j_6yY|=paZ09NcHC&X z9q(fV?}J^$TgKx>cD(C2T`%K_JwBUs7r)}Osg3u1!TXIa;vMaSSI6mA!E2AtCOtad zaf0{BF5(^UgV!0S1+P6mFXZ&_c(Ja=_0m7-gS)BTIiG)zXkM?JTNpmAt7Uz@;vHUS zCo<1Tdz|m{QfaJXUnGj_BG5!Ko+h&2?*`^_npi*8!|Gy*oXNwf_E_)a(Mc*7>y_$F za!H~P2M;;$NC3?fiV9TDOI4n-xjZQHNj?1%IpfME5FcD0HIvH?6k05l$bR+u)u-(n zS01i?C8Bqz&@!tYV!7U+`l>_LS0VaF3#}ANBvSjT31}GqjTgNWgjQMg5F4s}lZS>q$PiKP(l! z%Y-hs>LIq%_Gx|d>*_+)hxIx7?Mk7mgc9L&rGEV(1p(^s^JdSSjrO%%H#=0l?Nkc& zt`@pRD3MV0V*EnA^$qh|P;WzQeoG>RebDb_?Ajlt`%hHO|TYlhN+sv6{(Y;vDN-*n6+geO7(Mc4~KxH>!6W>ZR#? zTo`-DXhDN|asLZ6B~KEsp_Hw=Dv6^vV+M6f!;64`WE$n)|)o3r(TOFoe+^<4? zxE}>t+|7E+Le`7>PN)y}l|YAfy}F94el1U4i;=-2gha zoAr{NL)DAx1JsB0KWJ6Ndix>ZY5bTndH5p4Rm;3K9>3?c)$lknB~}w^9GN8b;yM&K zrgRy{ux{a~m3nb~2^@`G#!=oa9L-WMt{Z`)t;;ydx`ktb)Qjsu;8+|Hhq*4K_!wvG z(KNet9^!<;V+tsR2e~?Uo?9aIE)}}0t2nB=hhw?adza9aUB+Sj(p7t`l6u>Pu8xSq z>9=$t3qLF(AfQqE?HZ|ft?DD`d6xFI6 z^r>8)#!1$EXW}EMe%z;4y`53N`gOfNx29$!+C8$orW};kH|1g0b0t!Lg-|?K1sqym znecw=SG`_x>MyGqh5BPe!;Ir&MgMrAcwWt0zvA$#-?hIz&xf-=_60h#yZTvifcN^p zyY{b@`dfwKxh-$|8-@34e|PPV=etnfVxdc{`nBDD^}F`B*EiwpkA0Ybr~Ow-{cD8c zIVZ3E+lBXQe|PPV=b=#FdZBn8%3FVU``hcfaQ5FQ^=X{@QN_{I@xN8--zoHUtNq)B z|Df!T=Z9eLJwoyPP!#*?{hF-xtgKUl#{a!ipP$_|-Ov6SeoqvqzzBR;8(G|_L)dwK0ZqVG?=wc;a0UpR8XkYk8mL^o6pBU%Q9xR2E`XC}`_L@^)L zH`EOSUo)a+K4@dTp$&C4yndi%LmSqYC=L0mK5+%F`>wiZ#0bb=dF2Iszhvs{+H=8= znmA(`=*aTeG?W{Mg0V!ep@!x(H8hy}heV-oZd-i~4*QQ{k?Ua7Pb8x2vi>a zhySjaI-l(~y=BfU;2PUtt_SKH=6oD{OMOEr==|%Z8`@~reOx@2z_DNYC7$~~>PWQ1 zllP9H@xKWFmhkTi{|Dj!Ec_e7V;_^s_Z2;T|0Mh#p>GQny?x&lez(v+3YB{L{$BW9 zLVqVz>h1em;a?Z}8==_e1P;1bjulg!qjeasFb>3tg7>3zo*;Dsm4LkLSIYh+-R~iN zSl1|p9vug{obb3VqI2Y()`R-P;yF?AnEkMni60k-AD$Bh&*&b;bCTeZ{m0OFP7*xz zJ&dPB@kF#wiQrk*!+3@Wp2;-8(zp}aKA2w+PwRRZ&&i4>qJ2&lJUe>?en| z&nbc@Ngbdk``1v#W6nc_#)J6_{u&w*Pm^W;u>BwNCF;RB5R5-cDS5x~N9D!~9-0R* zkEd!z#D*abWnOo9nfFsMGw-Kl9!kZ`JnW2nH;BF)g|^kS&Vk;#xx5dQl6|0*oi6({ z-gL~LDcMKKN|${OK6gTIqzFAeo-K9=YtM5c%AXrizA~cxn27S{MU+23qWsv1@)uED zAU?y||B8t6brI!TeB`N{XU+SVx5rbzIuL$8`Hw}^e-W)4qUfJM>-i}16C>*Xctrgd zM{MuKbi*eKep;MIlD{Nkd+~g66g-zlZ0{8j@l;2&KW)ZF!vD#L`mc=G-YX;ecS=P2 zUlp;vwGs7Ci`ZVexQ%50`iSMnr$^L3BVv1JMD%ZCMEldldZhN^x%4RgcXq_~ z(k4kH{jCx0e{Dqlb0X@W8?n7}Bl?$a_C&G|Z9=lVyZ)@1ign+dx<*Rxos#<%DY;*f zlKU03KIQurDY;*flKT~@`R0B_YOlGj&!w9@&iWs5!RMzv=ce`h#Wda1FA=Ba#>Uh0 zi7%0z@H_;mq5A`g_!5(!QL5*xIhoG$#`_R)4X8oA71BNy5}qBjcKRR36nV5aiu|&O^6MhX?}#Wb_pw6TNA5F) zmRJ9a|M)pJ*sEFM59D{37>zGD{_2^kjZZJp6?D6!FtY6yqoJ6mRBSQcjcH z|Iax659-kmh2lJzw_e59O8FR1S&Za+$$TdJ1x|U@qx4HY`r3T-$^L?`zK4ADE%4DN z`w_nS9`n(+&_^H6|Ba@81-sH6?$Aj{(VewA>K;DZ>aPaqTN=W}8$^{Ped-8Lk;)K79e{Z7y5*dsScplY^ zTLbRPBObUx5m(GpT$K^;)l+ElopEp=^R6DW6MBk_Tq*OU2jjI@PqE2&^pr5~>cO}M zJ%f#0Df6UfG4WnKLruP;X9V-E9`p<7K|9bc=1I>Q;=Ot*OunP1l6hAT>;*j-C!vRV z(zBI#ub#0c-_bLHc~?(=;-P1}kt=1M^z0?xtEbB3J9=P0ufGb2hn`7Bu9SJwqw}Ep zeTt>W_}j%ZK=jm#9_C4pmbc>@{ztt+o%lYQ($O#M_+~kZZ+rhA`}>{k|6`uR{y+3$ z|Nl6m-u?d*n|6l7{Pr&|v%iO1?VgLV;mJ`p%{{OS19{vmV|HsgR z4*UOzcd|g%{(s}tIs9Bt&9r7dKUg<2*5ciFKkurtv*; z*>nWVJ%4b+g%i2H#;S98|G%Mf7@rHMoL+_y4!&4^9?4 z+vf`AH?`q9JlR~+#&)08Gr>$icpZ92;T4&Co5cT4@;^M|Lfpg-OCf?3!x%>w3 z7mlo}1sy%U;T+JhWwCR-{w)E>H<7#h=*YKCWeGVKa zGQRdU>wrX#-#Hf!sBWBd!F4C$>y7n9#JK8o+`xDWy%;~CSMER2xu-cijx@|_k#jOF zG@qD$L2}QrI8i<4qUTH&lR>(4&V(F{`&aV3YD%70O&R|LpYJ$<0HHkELGyMB@#1%` zCDM5l7Z>c9lIK~S{a`(Bq7-%k4p8i;ohUrkDLsknBo`N+yGY6NuJ{ZR{etav5=jAP zGtr*JHG&)lz4AP_b3Vw=J|hIjD#1adNBlNYaL9AsfjCAAj!iv`W0c^K=f6|({CDX1 z86~)O_b{&01(!UxoTA6Xu|7!2bIZ=T1NECyg0WwGpDz4Bibuo|@_VA+Rb=7gby7;6 zYv%qPCeASrBOc{`OpoC^BMTp|%Tn^(bLepk>pBO6^=pq6PXvED{6X%B6i<5ID~}o)#t+R+vw0q=sTqaq0P_2&(=m>C z(sBKS^a?Be3`su&>2urWaQxJ@&ExZR4Y7H1=sMTCKg#2ntWRlQl-H-uyrui24IbR+ z7h(2CC(wNpdw+C0^($KU@^@N6!|soo`@sJDqqr_0yB1<%qWf65eMUd`eOEkTQGG>} zN^<+{i|Ti(lmZtQCrZN>{mgx4(o5+#F(0%qiu*&jpC)*m`;DmoK={o3kl_6-;2UV< z_`ba2QhFeKqBjd4g*D&TDmMC@ebT|gOSyP0@vvWsNzbw$?pvYW1@ssAAOL&7?utw4 zf$)jmEPUwqxbIeG^f~*cSkJF4^{+}}=Q+9?${F^(Sy zCvbalGE40fy@V5uv!A+?c;Klr>Db<(pKBkvzUKE10?#BPm*q#a??Cnuy;*p0{R=$RL}4H1 z$v$ZU06bGndRAQF{x|9=F>;w&;-c6`^k&5s`V;Wf8-31xYNg<5H0fD*a9;~pBYLy&px*+|Qlrn=|Jx;amYMV{JaXS9Q$)ZJ&ln$~#ER12O}5%GxLEIfIFr`_mt_ObB%GWyqQlb&TCG9UeDJQO@@ zj9h4ciQX(cx*xXI=yUeN)(f5vlb(e~?!RSr37&Nk@rd3mJiL!a{#q}1m?wYH!!m52 z4L*2eyv~#uxy;6hctmd&9^IeYBzSax4t6`hKJ2yPC*Dt`e8ZBg{&c^V%tpV2voDHx zga0Lc1;!b~zphi#%)9$y;1Sn|Cydj~lbvM$Ziq=w^FAl)DHJ)~&nqL|TVIjMcj_x< z-mOpf^9C9@XFpH&=Z2Vcx4yw5r~7ra#Cz*2G5Jn?z~kLN1YWccfrs`nPwhL*qz^Ia zZha#}j`K-w5cBRjL+x5&&C;{b(t~|d=vg3om?u3tZ>gTe zCf~uclzA5q;tqP27`amBNsrDqs%M#{=PpYRzK;Yw%S8|Kq(|oo)w9yl)6Tq$2m8j* zv&zVoG7tNZ0y1hk4SYrbOU;an#wZ&Q2!e=HfMv;BXpbFlyaDWcf_f03wn|NnX7vH$-I zqTc;~@Yw$c#s2@RM6v&W6)jA#|G%x+?Ek+;6#M_6*#8F&v;Pl&;`x7!PuTxQIq&}e z*QX!;I`;qn^5!2N!2bW|Ui#*(*#EB_Q+5OP|9>~w?Ee#?U-tgLJSRxc|C{|?NYVX? z)lM;VA-Je~JP%O_1N2S0q6c^-Zo3erCN!F_*YhvSVs zeD_O{4Lf)By#ojL{f(Uu?)xA3JcY~y2lxG@ABNrc$2f~|a`429`Fy~*2{qWaNRB&y z{Ar-2rKe2=ZEkD8ecR;J)8;pV);FKV=Sk+&oyO-8=-3mVr=nv|^O4_hS{=O#hR!`T zw_rafNy&U(siv-l-{a9r2c(cbyKSzVM=lcld2eCcU&l?3t*z+{Ft_JScdl*NP;OOdknkK=OM|u#)J!PM31ebk&&D`e= zK5uZ1;Ogmp`k{4L_WXPEVx$nFs@mGtGnl-W(mG6J&bR5 z7QU{Xi<&L?_VqA6dRaUB)wN&O&PBBdK5R_(WIx4yDa0M&qgP4vPRaKo_uxW)mOzDKAR)fWcWwSsF|596Xk7iurYrSCBcJFd+UTpM~A*IXA@HSDGD zQ3{J|uHf3;!?@^S47C^I()T!p#nmRb1}1wpzUH~OIPT?p7NO&Qp5VguMNh{4d7!M;ioB+`Mu5EwkPi6 zL*J4f#)S3HW**MMjL{joSk;v!5ZxWo_dKl+tY@FshA6>@z8Nr!H2jO}$ zK5w>hn(v}?8RyM{b8JYQ^8JyNe19Y*-yccI_eWCl{gKe`jl5s#*Y}?)rDPs|L2=(# z#G`g=R)%fsqBGSTxV-8P4x18I)U!FSM{`=ep&Kgw=TE5A=Z)l{?p zdHJyVj`q>l(qP8<7*U+xr|w2S|M#HU{u}6{mvBylU8c_A_vMH7<41h-Db8u6uq*yN z#?o7TF@N7Qa$Fp1>6tip#>MRa*o=$A_!-vK{TsypYPycax@)?mPZf&$WRS!4E@*>L z5~rW}9yP|QQRzi`pMFzLlyzP{fDEQ?aziKW^>&Hqqktd8!X~2^&Yaj}3Vpr>9bR z#I?>7_B?CHZ62L_j1sp`ceB2sQr~}i+*S%sjW4B$hYwTSYCP=JYp8j<@!GUAbR|c?I)x*l~0_Nq08x zLLbIeP|OGK$M?U!r|_bme|>g&I(p6Zp%nDG_Xno&bq-x#P7QP2W$TSwdZXe>SmoRF z`drqBbeTRU%KeKROJ7tx$!?bKWt9&*PwF}b_YH8pb0|GXfqAmNX*$2Rpka==E^TY# z_nxI{X0`DBorYLTeOInWUx_$AsU2yXjB!;8zOeDWP3pt`5aLhuRfxVRqHk`~EWXZe zo@%b+YHl#^i=P{-;rNVtUnOPvqfYAoSFK;0q&~mvT=XaOV_m;~R_xH^bA9AzZ@XXS z`CRV}8^PZjPQ{3NuYYyiRti+!bqCIifqzo)RZ>3u2)P4MUDqmw9Lj@YJk#-Sfy^KN z*KtjJ|10!$oV}mb#qs$!f;Vjc)pf4+-@)`CYm{~FFQtD(txx;o*ogK0O6v3LkM*MO zKdry$IH~=mRd8zDDn*=qknyYWktg;0t{1AUeakM!<69Bqk=#qaUVI-K^T|%Z={J5r zTKh5j=kFyxx*CuBBG&f@sn0JS2j-jpR3|w9Q^s#Q9!mtL#-UQ!_k)Z_jgQS@ci(u- z+MkYg-SszVN7%Tq<8YZl*-!Bg7_yOx^o;(f@e7}2iS3vWXAwzppDpDGmRE`dk+I^kgt%pekeaSk_s3LD?J-O6P7?|{s9$(~7kh+l@A1;! zN%X>N_-*!#^YNTws-bQso>NTGw(i`n_z~Z|f*-@;I3c3^i6Zap7oXqA`+WqP>h(Y8 z0Bd>ueUj**{p<5lu8|rJIyY8FkBo%h-_`gn5q&31J$gMr$sDh(^dc5q4}4U{y{WV7 z7wubijV%f z9@0P5M}K_}=|9!dUq=T9V0U_Km#hS#q9yihd!}hb&eDtax zyQ;U$N3Z&?t9r|Q^s3*ws&|;B*Y(#tvmPObb)_E;SG{`fiaH|VVCvKueKIk+zef1! zo7OdbBmMN%bxq$WKYjIG(|5Y9j~oO0#fZWmM7SU6ycag^&!G!6^d~y+FbevH)r?|2 zdR?Xz<0|BI+|&2fRt_-Zb|vLw{(#(ps59=y+W0=D^5m}lcry2XJeiAmd%UQlIOO(Q z@u>IHlmZWMgL>D+6|x>UzmXx&~On~U$Rgg)n{ z_>Lw6D_+FK`x%d>!#~DQul-votk9i)uKiA_>H|f5 zYJ6{$I0))T!@Jmz@PgRx^rOL6+=Y#IKe?#=#@1u^qi4i_Vf)buR(rbIkA5rlhV4gt zMgGIuk5Y%3al@}4l}Nt!AEg@i7|#&*QRhqTKT1^}DD-K3uN3_=yJvmcGL z;?9oip5}x4nca`>7XO9qN29FvbhRHnBlU*uM_Wby!`hE_3Vy$SL?7|v>woP(N;U33 zsD7mJ-75I}`jKCJ0H1gL^(Epl?}U}ddoiQPKO7(rI{}Z{OV3?WGN)_&`{A?8>p9S{ z^kCK|Q4QKL@clEq+;BDT}K4y8(nt8DkMv0&<&%E8PkMz<`We~jBZ0pxR!*NH+z&sem;0pC>w+!$ z;dqa5>WtX%^8NJ;$9HGxy*I;$9VmUcPx?qn@9OuJdg<(**VJ(fh*b_zKfI^G*2*;%VP3tGwz_>Q|nM(=U<1z9jHq zpOy6aes4V_o>ruS>%^8Pw!Kw z%UkB9Tzn<*z(;OG`cNYDbH4+0q~SAIcfu|MiK0FmpW21R377Jsm+W~HWx3x;LVJL( zm?-cuPxuB4FXiGJi3h$Clb(g|C`yOkt@Ic8AP@zg=*_|h{{ml`(dYZUsa>XC=Q~rx z($BSDso|aPD2*mQ3cd=Fx9tb}17D@dr+4%cPxc!typ(gk<1pT&XW8#mN{9W5$zibH z1S1#PzoIwGe&}z&S7r1$-<_Hyyp)U6N9owV)h0a)AHC+5e)PRF!8gUoW#RMdAEKA= zJ_)epA;9Dv7TWI7$;}gAE_)???_!b*|&UdPo2ruR0gP0$1Ux(ma zYVwDQzv4ny;CwA`ZWNr$j9eB@#5v@cc&O;5bW%X+>SwI$fpa-gj5EwrKiMvL?lS2^ z(ch_@gJ+Q8GrI)OO5zXHZ~gFy-Yh(QNDuI=GWwkFSJB5HxF58ebli@lpNps1@Xq(E zRudluPrJz5_Myj*7|$A$U&=h$N54z5)}&|I2j824oyQy8nGPct+FznK%RYIe2liQK z^f}+JsulaJH|be;4ySbB!TZ`Uj%_e#po+#p8T~@@N6~dLy6GOji2KUpV=;Wwh=8UWOnMfc<0u_^u&{)`b`lo_kLb<9lO{c|&+A5?^WCaqQ%~V8 zlb(fVFr@=eh2Yt32tncRw;POeDItic<3dq+}}qSxzO<= zdb99g9zuVw5IoG2edus7#}Dpz9LQhTH$glv6+D#@@rd3mJQ(+ZXRP31p768_9;{;z zglCB0Suc1dM8qR{v+&^h4S1>q5A%d)tKgaBg9qa%?6XJkR7b=kdb9B0dJTA{2p;AM z4_;t{{$A^Y2lE{8;M^!#v?xAb1w|;K90*$7jK_Fd`n&n}z2if@iVdVV>}G2%aTAcqnWb&sM>+G$J0+ zn}z2X!Lv;8Fi&`P37+LXcv6A~FC0Sr+!Yaz=*_}&tl(KGc$g!n0iP ztTX9Z>kZ7iz|$dk)*HFd^M&Zm!h>}u@N5t~%oCo?f@h--9<1AdXP4mF6cLZ;&BAlM z;MpvAm?u2+(LWv!xA@?}Ith4+jU0bxIm-Mldb98h7ChSo5A%elLhx+&!Gm=q@Kg() z9Y!v+zeH~qo)ZMmPQk-G;gNVzF+H?xdM_a+;P%`*%q>`EH2QK8$zzU3*Yyg*|>jHyrprFagH6 zqjE~ASzM0AJ@WFMjnMLH4{1+kx%gqVMYSHKay}!oN#tnxO20(rw`}hL_nkx>`b(NB zzB7X=uT>a6RJZ{{RTp`wHzlg=hV?L(y ztZ*Cs67eeyUBUUuxZ`&t;NAyUp2f+&itA}gKzv|5O8Omr%#*%vNj}!k$j3lQcKxXG zgQOjtPV!g>pgo*VyteyV(NihynHaMD)gs>zQodE>KOItjsmR|QQhv3_KQ8jHEB0}e z5=*~C<|C8}u+iYG_^cuRh=$o-ip+S6wE!V=RTx!ovl=DPv+ne_e{>6e+5*a7$RFh6Mi|4_Zq zr~UVR`oV6?rM?PF|74QKxCVPb4)z9RLe#~B9QXbZ_7^Y?V7$cm!90y0Ypiyym3ECa za#{D&6yIhkH(v1Bv`L+8&it>L;&$MLzte zRP9X3^mFHrsPoOQBjSHu(-Fr(j@Z7Mz9^AFB9k>{HV zjW3`1ri%03`J-Co{pOoiOFn46S#HVexMa^a*nh$Nq2rX!HzqxMzG)PB&G(ycR+{!? zS}pxL4q_a_IEZ>dnGkjHAjh3=MiPhd1K)+he8YSv^UXpd=R4nY2)@OF&mI^3@QL26 z`DT>hTO#FC6Sn@&hO|>QOH{Yz3@z$PiOnUZw(=PIw?>FDnn)dL%ymvkenr~b@ z`_DILn|O_{mGOi5PUf3+M$UJ>Ss?hFAb-tm?G5WdJ zHwy+`erBRyYtO1k@uT#=%zf)U#@)6d{b=6`^`67O@GX~zA@?9 z^UZdV*L=VEriAOK^G%lip!vqdv;TZE&cti{b)&D8`A+7W-A2xLzNr*^djwzD`9}0+ z%{LbazIO#5^9Sdf(DRLq+nH+dOW66QS>)~c=0fquM)3#pBAFS0Y=jF)mk zo%sgmSuo$Iyv{dLuX8_*%h7yuB*_=ke1meRZ;8R_%s0!054(TUF7n@$_99+8+rL>a z@?rNoHjDgELh9cs@{h~-hThuQe#9P;54#@`qYGZF*NYA1`}*Gff+9|L$HNgK?>Byq zx8$otzR}POu1mf97qyoB0+ILIzgTI>w~JoApR`8GCn;cP{XLYzl78+vRlU!@QOejIT{CW zP8Q<;%Avkx2Is-`Ko6}4+S#7&dSH#%*KZuyXvuFDdB1UByCokq4(zq${lIAl5Oy3GD)Q7!`nl&jFO~LJO8c3o z_M?A##{qf2t1}Kvl5#?waiEyeF%GD_jss@CcdrY$9E}5mNPaYp11N|3Dh*C&9H#`zMFZx?x7pLwrmS6cENBEMPWVIS|hdZQ)3P2~0Z7y7;OdoB4` zf3CkU&rrU<=)FIn{?zk|14TaU{ecpZ4}1S%gveKh#4|zUt3%4yi~M4d$GqjW&jL<& z{k2@=anKijf;~ICpVJ}o8$!x&75N<@<@bpEzL4_i0^{F-h6dsvD)JQ}<;RQsq>%FU zBHtQPezC|e3n{-!@C z$mw&(-*Uh8Rxp63O{ICP-^YV7#{pRJpobQhFF*@Lce&;tY7jeETA2ctQSn@&h@_0-B;Jm#5 zyj*SB=it2D`8wp_yxh5cJ}mR{LNmVl&dasjFH)JsMlNeU!2E-8Ti5Z-5-)nQ=H+S< zz_`9d#&zZo&dXVLRXgfD+tqnl=C{lO@uSYiO0^!PIxjC1d2$o|-0Njrcfxoe zf5)?&J1@(7lTuEoGcOOObj-^tuk*6G{&weOE=Tk72_%ns8Ral9w;P^%X=*Opm{k)2id&$lY{2vVoUzuyuAOsTxQwl;Jn=VymN3~?%X~f zmU;OuGrs!H%N5)&Qv5!uDA&uPH)~$Ll15XE>#Jm3Xa3;4{DIBOGQVZ2#E&{3E9L2p z=3S*aFV~Aaxru)6ygY?C_;0EBk9qPR=1a_zdYvxcrEuoutE8MzXI{p8ATTehyw1yJ zzsjALxg5>QbSxvbGLdr)%Avjm2B$MGFBRTzUS7lb?!4R~@_zI3W?NqFOZ(l&-fqbU z&C7c&`GfQF{_}F`@cq|02j}I^=beM|a_9E>u*}PA1Loy4_lr~p?{ADUFN@x+dHHG@ zO);*olX0E-gY)tSHZRNkmMIZG>U^w}r?&&l%cDh}+(bWjUalby{%aTiF;D(uG5Ujf za|+Fy^w=x?a+;F#&-?Ee;&poTOT_!q-|aLHJM%Xkh{Jt#J(pHX`Oq)anZHXY9rHI$ zWAt<9>ssRQ928RVgBAD?qsLy$ik!eq15mos9`{|6HgU7xp-dnVX9tgm>IDK!C%IW)yY&m^j(KIUG+4EJL z-;L+1>WqHp`6}i;&sWt;zT(yAsyLnGv|ZPd9NMSvB~p1kuWz?c-$$f!`d%ViPTxbM za{4|ZTTb6Uq;mQmB3n-1JEZRu()R@E`+xK~B={Nr)%el(j%fTeP%h#}s1rX!DIM{H z{@{%tmZSJNl~NHukb{2by(AJpRUzX?<$~g8I@Quy{BV9Z;%A1@@5B%Dp7?2$e8sEr z!|9#GkIDzdkIDtbkIDtbkIIFOAARqKzRyGB2Y&X(kG@Ahm_=%CDv7cHjRO1JJ_QsDs7p(C!i*gY^LY??2qjba% zO*iy&=P#C{_$jAU#1G`4-+69W;>SE+792k+7Zg7L)>-^;emCN$#prk9hj~x@v`W6> z)%fA`PU1)9gW^Z!g5pQzg5pQz!p4vDT&@*A@Uu65^f^C`AI#T?AE8eC45M_!kF5Wk z=Kxub;%7MJrzn0P2mSgSpq}^N8!&(AbAKwQ&;Qx;v_9{ra(;f$=loPopZBx%>GOU1 z+@7Al*XQi?`8hrRigtSKr_V>J{pL_E>?hQ*-v~;F{ir+9&+UIKNA?>@sjwg9pkJS# zQu~?bIsE&d+E3+z?5A=;_EWj2_S5H+^tmIoAKK})pFUTj_M1z&u%A%JexoQI_JjYt z_G3A+-|3VJ`#}!+^|=$ZpE(B~Y(JF?vY*NY*-z!7+E1VB(C0POerTuHetI5X?bk-R zu%A%Jet7N^_LJ)_r~k4X+3yU>hy5T2{d!(s?N`aycfs~kxgh(gT#)@#E~@?XJiMNB zSNoxzUi(#~_rKnpN4c<{P{)2}QabD>=fE8Mu^id&EXpq;`#}!+D~;a5DxrFAS@&1< z{;b}29oXN@_j=w}&++Q{Ts?QI_aV)>v2jd}Lgj+` zh06K$3&gLtU+6g=?HAWkF8YN~r(c{y>F5{sIUkmzesM14qhCM{`t_WTp6}6fJ3ZAe zmWJ#XDi_o*R4%Ars9aFLP`RLfp>jd}Lgj+`g~|o>3zZA%7b+LjFH|n5U#MJAzfifL zexY(f{X*sZ`UT?G+b?thz0BN=LtteF$g1U^(g+hf@Bkc+NGDgMQs- z*Zpjd}Lgj+`g~|o>3zZA%7b+LjFH|n5U#MJAzfifL zexY(f{X*q}`i05`^$V5r>lYlh^apXggyNWPztGR!_mX{scpjw=jpxL1zY_QPbUz_Q zJoZ)fd$x4>!23qnSHV8Y^+eIHP@d#UnWz1>8%+8Tlb((j5l`32@k06wInF1!mBf4N zgPf`FCdg-snNMf5zE2U4`f%L?JF)>mTksePutGUna- zK21F88*1cAnI}DqO!^R$?$$R#Kkq3N|`4;i%t3v zlkV0xR^&LJzgEUoKJEUR(;hb z--(x6=H2>kCLZ-oF>oa~&m2y7G)mrs68a+;Zt<1aieb(sZxJJA% zPkL^V`kIZr6Q8*M06ESlxusTp3rxOK-(pkViO*Y!NBb5Uxl-n#$D|K2>2CYbF4V{Q zBsYk8_xr`#50OuDR4)OdezBZ+x1FCO9`!CWa;40ZK78lM8xMDxd`HhJOV8&;&q~q5 zJn4xM5U-wglkezR!@P^<3&aD@Y9m+5Jn1R3^sKe?th4l>JR!~yi|G;*cPlb&K)M|knwoq>(FSp7eBBdh#qih0MEp z5btOg#zpiq=1I>^OHYxdr`XbSx9AxtdYC6Y`dw6V8~qY123vZDT6)LCx|gDxOJ}o+*}|`$SK*=wY7p==VBRPpze=(bDrJ(Niyam?u4zbfd}J zFPbeqZI&L4kFZax=wY7p==U)d&jL%&VoT5cqGzG#VV?BpcP&-V5=+lAOV0zMXQ}96 zp7iMVC{@pLOV3J6&zD8dU80A1(o@`ffBUSm^sKh@w2Pj0(Zf9H(eFPho;8-94olC2 zqGzq>VV?BpcN*kk+|f4GSg{Z5q3W6pE^ z1e1R5dHU^AZjzL1CVjxWlcX>YKwjSqaG-LEW0jPXc`C5n-=to&3++*R+2`yYrd;%M zp^m+#Q9A6EkK!JCu^ic}j#6<>0dml<=j@88BCoyt-UF?8N?0CtfF%+c*ws7UV|@jD z6-KUa#0H{uD`{xC-~hPPxd*yZ&EJ&CDe(h>6DIm>Wku@@rmUqo@P)g;t6ulujlae z{8!j`S}FF^@oBB)_eV)P@U0QQGan+J?C*$du=GAAde@6y=1Fgd6;Cq12F8UQPog)l zoE=Zn&cN|$Ll@&o{iN~K8Zn;WcW*q|=khwlu0ox7YNT|;6AgFtbJqtfNAc7|sfZ`Y zLBF2M!}V{J`EIN6C)wSMPp?~kf1I=`<&hrVppL~JY7TSh^HJB z_rw#+Q9RA0RDAaua?r2m^!7?T==kLK{zej`Ut&d)D!_cFe$Qjx_4_)KLOi96Tq*P9 z_nnsC)0SNjour@i8mjAn-BM0*iQYiJYx~p>avkTCllElQr|S;b%j*aGyx)_Qi*^ci z{LoD4@Ix+&d;Gw1w|$^^n>Vi?CIw}ZJ+uW6l#z92uq zUS2=g=MTRt?G)D6VJ@X&y#YDsuS}cx)bnFHF6n#v^m<6&gDALH*zYZDw*0Vxw8IaL;s@r*55?k# z+5zT%g*{&T`Jqh8>H7@r@x`xPrIc%y`t1JTSFTFR>H7_B|M``xm2zr7X}{xlzjEq_ z1%lJQKM)iz@T)go?DKukh(Cln@zO@=h?kF`xF=p%j^bq=r6OJ+2mN}!uT}gVHeT%a zX_@zDx$B8%Nju_YvBV4W6fezUXTP|8J(mt|5eYP{gXe7FzZ-FT7q2gZx~LF2`~ z{tt>5_|+RP_PMv`#2-SPc$rV>h?iqg+!HS>NAYqUr6OJ+2mN~PZE3`KvETDz-s|GV z%SO_Ucv&g&!aT*xTJb~Fc-bK3qQ=V>DHk_DQ*@@sd|$#)+u$GEmBOH(sRuf$^e#(0H-0--F_X-ARAo-zntZ zJWg=WNs$}qm*D%hl+V-RzV`=YzG%`jLri-!%*K-U7@GZ_Mom|mqJQ3h{}3tXUT30y zzMmr0@VLIkFOk_xf6eu-;qe#gHl0XFalaSoz!@KxQy35-* zmACPli6N1>xr=yrFrIAt?&S1zW`j_}yZB7H%aa)Wg6E5sB6nLC@$RDX2a4a_oSx2X z7HW7GpGkLlhS3S$oIa>$K&i;Z(=_2@TrV-{_Z3-umBs6Rn9A#ZhVptpTKTlB_mtQB zbIR*|BIWgdh4Okms=QurD6i{D<>}PW9xt-vUB~Hq8Bgr-*`&Mp6`xIQyypwvZ*&pwXdk>f zPPYnPdwe$O(eaKGyiax!?|2`)&NwZ2?eTdbr-#Rjbv3S+{z)I)P4&+C{Ch<6dga{0 z@M&Ew>+==w@IpJ0c~08ne4m#}V;%b6geES2lt8;QFYUTyCJy zVxdI#tJkkSZQr=^aP2D*y+ehTS@jUh^#;{f9kRX((KlLXrBEV~+E-0L!}xE!=$#<6 z%BqLhQ0<#MEL?rnqHl`OTA@TDwQq7rdp3&RW}&TCJ;a7ziL!7pgw2&(UvJ3SA|X2&XIc>klaiP=B8{d**Dk zujRVgq3Ug?QmA*e&^1DdgsK9J)@UysaVI;^iO~`&IG#3%-pxX{2qhA#y>bpF=ep}^ z>e3AWaQy&(Z@20tw$paYxh_xrbLa#O zyKB5rz2i_XP3Pmn*gHlG8q|yXU!Wn)Gfj0@A=JgEowu~2Ve*XylD zd#T>)F!kbo73#zNDA3|=)>{^`Ufg#=eYmd#I<)Kca$F4y8CSR-L4CO10Ild|y=3Q5 z@r>&V)Q9T^(6QaDm+Ty>UR)obKCJ&it0LCh4*^f($CSy#7a^`%=C$$oJ+G~X$B`+q zno#4&B&iqIp};Yv%Q%L03rDTgi|b3^XzVhM@^0a1mU?mB2pnx)#!=QS91EmgTn_@r z;)poRbs@#aIAf2d*{$;sClnr2Kq)-P)xq=J5~+8o&}Ci4QQbWp%cb7Cgs$u|4&#@u z+GCZ}+b(ox}Pr3+d3VG#iVjoNS5NWE)?c0|OHuj5D4?718li|TmXm^H1%#L1M{ zyfE|fI;nTP&n@IA zUBj_m>fIr9XG9!@;+LuO+Sm^@GaC3htYOa7Hu$5VzNQIu_S`o1&na|)c%b_~xX*;~ z3-^sdmG`5lR^^~i-D)cH6zjPk>xezptQaz53`;t zk@_oy;<+l|(E7@R_glZ}^^#M6StYTo)4hhP1!{q1=^oc*yc z(3#!U&x!-Q*Z$-{rN@N+X>z8B^2yW~fIZ~V<$MQyRKfB)}y9(wP?u^(Mue$T*D z{}^BPtv7}>48Q-=6%X%Nl>5(liRZ4a`_rL0U(C65@8M_XUAE zkhiuxr#*34-dD$-TX4plRk_F3^m=U1HR-(jAIN$9Plp|`;vc2Ej(hd^+}#iLexT-4 zzsbAhv7CN84}0@-lkPZr`|f4A?_Hkz+1Z=k$y>NC=fRr8j+xtVPM=f$`b_S57p88U zH*;d%?H|uszUQ#~#f$QvJoC`6<-WQ1kY%@j^4EC}Jd^W<=MU?+V@csrpZ({Q+#Of+ zxqso9SMmm}&AImHhaFpb-CGNW#U6a@?LYVV!Pok3o0`A%#l!CW#K?W|#w!!Aey8@v zAMfka|FWD#A06=aG4o!#;)QtSGs&xdGV_Y53lBN%>YSB-FSxDvs@$Ko$1l3O*ISoe zHs#j;K4fBTPRGE4L(jYXwEE)sya#%x-Z*{QV?z%4VIt@05e3h_&^Y)rcgBxs&n>2R z$8V1Jd3H(8XD=O4{dk|FzCJI0#|x><}* z{PDlsRo$nxf6mnW0Y}{U{y+bGW;}U%pQEpxKA_;tK1ZC9(|=V#VZ35<#mdA@eZKVY zz3bjOKl#INpVV;Z++RGqe%IBpwbL(~U)>RZ{QkU;{rsF~d;jWFeIMvpv+0qmckMlX z$*Y&VeA>CM#5aB;an0&ptA4cpwbZjWCmuX=&+5GU;`=I+U%MxH^*gIh&Hd|`+?mhi{^_rOy7s95ihucx)O|N4@4Vxq{c|5)mKy$ppFDGEDxUX| zLmt_jd@S+V<8m814ml!s)r}j6#?PJ9=k6<$b>$ZxlRIW%pE=(zJ}-XMHwJz^v8m)o zw-!Bo;pE@H8GH1CL!X^F^1F?nzh!Q0V#oPKXI&D%`3s55*8lvr)LrBHT|cJpoezBL zjT^sq;++2}TT187^LpjKzd3)!J^fGFbLOFs_Kv^$PU7)Xzw}D#rU%odvA%!!!by)0 z`qc}+TRwZ&d$HJ&ucg;~F8`08DR}nb`nHeV8DF(FvHJU4R;2c>>-Y2(eb>G7;^5kw zewOpS)6yr!Vzuw4&;Diplm96AT5qt*Syg8FKd6g@$`+4 z9{!01kUi(N^#5Lz|L#Kt7yoq5%oC@@uYM|V^Fz;6rG9s3zmJ{R_bYEc_LHyNv!~_T zZx(+%7Q6A4^p?l+zxsN?s26`Xdco20r%p?}@Q*d?QXM}|4sS`fiZ`Q~9zH(Z}JD0xkM(fW90>d*e zrT_S1{*O;A81nkz6K5ymKmBDQ_U7%|Q_rW;aq^$%p0uO^dM8)qfBpXa z@4e7}(L*iO|F|^1^x?$o3x0GfA(kn z|M*V%pkrT-fB$cZKdrj=d#P`}mVPVI_qB&J*N)uwnafw)0NIfb<~M#Jf9Iq9^Jlc) z*yp(T1M3q@KbN;W_2-rS`rOmE{cktlJ?-v)oS%Ct@Xp+xUUp3WgL?{&y7{DY=AIt! z`*z}|dv^6oee31)v2*+Wb^YHL|Mi83&iu{#m1o9cC*P93_wV`t_jo~F=37mtemws6 z4T*ameebo@Z*T24eR|(%Z?FE_fGb|F|Gz1~@aga6?|eJI?v4IuzkT_>=5+k;4(+F|v3+m3;kOU>e&UG}ZvQAS>{_0FHj{tr+=7LVcN%A9bnrZ{SDNFjARx|w z;mFtWInMg`V4OkkIk)9=ob?ZgGqml4h%;b#=B0d&v;F~b1`Ly{(i~?6AA&do-mr0o znEdqj(i~?60da;tA2!Z_;e&`X_^tNcd>+sG2aIQETiEdodT)FspU1QQJvg34i8IKC z9nWCj3G324o)z@qcm|t=9nXOG$Cm=>oZ^o8)wiPc6|ofuyKZ1 z|96jPz#BHsV6(96GsuRWHz7On!8Bh76!hSA0QCO5;|%r)4+hAD{V9a{J|d8glR4obG!^pJ(o!a@Rdezp*O5 z9hUFAG<{o9{)XiRFMa06#~;5de&|ujZ@2$GC-Wll_x^VCJ{`XrKRQxIfyl*w+|MKPhTjuqj z`ai3V{LzH?E0dC!PJHUs+`G?D*AMD5;ma5P7_@cYx zH*HCNYV#Sl=dPKVe&#EEwteM=<39abDlu#r@RpsDKI@eHpM0a>$`KVGTRcC0&Yt9L zIW=XuFW=wq>P>xiZ8_xm7he76_b1(k5&!B})7vNJ|NfAO$rbH$$b`>dX}{M_&S5qPIPkw4(k{LBB=fBL}JzF%}v{OKXdUw!GiCv(UB zcfY#beabJsW8a0zwU;bR0mG}er+?d!Uv@!3>JN#>2A@DTa+9?W-?S+A_}28HNA>yf zkZ-P7_PYVIKK~+QpDs&(;m-V5iVH?8`Tm429T~qRp6p%p_R`#qhxaRQ>vQCP6+C*w z(0M<8eI#V7FHe8xz5JpV3%;=a+*{xKT71`ElfPZ`${V?T|C0XV_&#sP+xi#&JhN{5 zm9W{JPo`7P=f9OIcr&-tID_7XMQM(+f*y=B*zEK-@;T1>2gDgwG+Xpe8LGQ~u^Lae$A26Ol_JfEs==~tZGw6M~ zET6}-{vU$z40!u4&F6Wuf55y6*$-kogWj-l7G*qx-mr0oJ|A}8gx;{@*_x^0$1~`C z_4a(8H~R<7n~)6~XOOMFJfG*y{vU#Qv+Bk0>obh&Vb^Dfov`yJ=Gd_FCiI3~p8;>! zID_7>>oe#LJ8wd7*m*NboB>16&YRf(|NEBD%@{rA#a%?qroa0a#|UEa^l>pdZV<~U zJ~_tcDawY@S%+Ax5)UEdROKC=OEg()5?eWOao*853YJ`M65BbkBkyP&J4kLciCZ~w zXWr2`3zghs660x3jrY$x8b>6O@d8O7VA2coj>gfUWc)BmKis4rk#{tX2q)u3l76H~ zKPvC&ew3aQKlQ3ACsJmOwI(#nRqzod|Yxa zHaS(4a|yk8h_rn|axOJFlPKr1BF?#7a;`8r)s!>&NY44B@hED1(UOka&9}3bG|4!x0{^hlyk>P zoU=l5?ld`fQO?~ZoRg89drZzs%DHz4=iDbbUottXDCho@Ip+b%`LfArri-q8f$WUYi_ROrjUEcIFs8)bNfne9&!)8z~uJR+M`i%Wlu?0AzRAC(iEZvO6(55ZRsgjkCMB?5@m~BfHyv zadvl?-GkXd$nLp+oZZW1_hxn;VVF*#lknAZ9C&J@~*ldx*;p zVRk68!w!nG!(Da+vm=omRS{0Zt;=4=>~v(WuZ*)d zxa^J0-h}MUW8>^CE_*Ap^~l~fF3#TWvUf0hC$e{qkF$5X>^;ohi|l<9;_Uq{`v9{K zBKy#harR-CeT3NtWFMUvXCHIf$C-Ts*(WE(*{59gX=a~6_SwmjEi5c}uAs2s`HngH zkOAj&M$Y~pS_%tZ;M^B6_obvxV{p#P9?p=Pu3`7D~3T=+rn{?6Mu0?TG9mr^VS4mtB_INRA}mtuBlWV@I++tp>4VRl($mpdcQF7LAO<7HvtipZ{X zW}NNjvi8}ssIYJqWLG^a&USa%)mV9TWY?&UvunESTFkDE>^f)1*&Z&tF0<<)yZ$+G zw#;QWV74c+8=f0yd%0|HW;a51~75N zj_e*6$Js$HyC<`IA-ne_adsb<-Iv+@klp{%I6K&74`B8{WDmM5&Q`eW!OR|l?2yaj z>`<2-#_VupN7TjHkuE!m*+Y>%?20%$+GWQudpNR3Tp4F8U3M(9CQ$X-_;XQ#XD^~~OY?2Wg@ z*_&MUW@c|e_SW0uY`x3g#_a9L-f>5qz0+myV)kxi@3}M1-s`gWF?&C<58M@JA9UG= zn0*-8NA8ZZ4KDjAvyUPB_&ss<37376*{6_w`rbJEjLSaD>~qLIe_x!P;j%9<`y#S0 z-5+NgUG`;WUqSZO2jc8&F8eyOZy@{TgK_pPmwlVrcaVMep*Z`V%f8R-2grW-aGY&& z*^ik07}-xAiL*0Z_ETm*L-zBAIQxame#z`t$bS83oSo&e-!S_vvfn)xXPaI2duD$? z_Q%KL>`yNHGqb-S`|A^N_BWUPo!LK-{qxBIY$oTqKJ zD93D3L7Xirlx$JaGjX=qWjiq25!pqajk6^#yC}1ZA=~M>I9uwni!-|fvP(W6XFI#> zQp_%mY?m2vwyVo7!|bxiF84y5UEXC^V0J}hS9&qdc5~U4nOz0hRbPs;-CcGyW>-gc zjm9{;rpvCy?Apk#^KzW+;j-&8yB@OZzY=H5Ty_Iydm_8xt8uoM%l2k=BV;#zEzb6F z*-e<;6xq#Qm#o|j^(`nY+Pq`#jd<=BxspXOUbH3W_QTw*-i)(byX-d1_D6Qxx8m$} zF1tOm1CZU}?Kmq>zj5WAn8nj?(a!J0S$X=6vwZq3!qacjZtuofdHRjBdvGnDev9^e zFV4!-Z=B`RZxNn;i}rm#&dSqooaNJR5uSdF4)`F>9_X?Mv2q2n2Y(o64{_Nc%nn6% zSW}!G?y@789f|CykK(L6{l>nBF^i|)qA?%GS$X=6vwZq3!qacj*iYiDJpIPm@m!0i z-=ZUD##wp#jkA3EEyB}p(Uec)tUUe3Sw8(1;pw;Nn9t(uu`YWYD_0?V{O57@1eZOL z*=fk0^hKOK*=0{*_Ecm~`!deT({Jn>F^i|)qBFjVv-0#CXZiG7gs0!4v%ikB^7I>L z&*fS?{T7`+E6&Q(Z=B`RZxNn;i!S;m&dSqooaNJR5uSdFF8wynUgolwvvM7>S9}*| zuXNd~n7ta=YntQiwJv)dv(u5i{`)v9PrtG6jm+Zdx9H{{;;cOV##ui77UAi)=(Zo@ ztUUe3**mxvPrpTX{S;^A={L^u>9+_^zeV@`9B1X}H_r0uw+K(aMGyTFXCHRiM_9Q5 z*++kkvyZv#~k*rJhL;9ec_Kd`=ZOf#B3w7FaH^5 zUvb%2nSBk}*JsDsH(d5jX5T{g?Z4veJ1+Y!v+p7M{@-!-1DE}f*(PK^`X|nQ?6RLQ zI}_PYTjK0zF8evNUm*MCzj5{}m;IXAS;&6#U!48cWxr##8QJgW#MvKQ_D5!aLiXoe z0X{h>`o(2`W%f5@f5#sHlk6WZ`zN!rk^M_PNk;Z>m;Hy?7G(d$UxAS9e=a*G&KBn+ zTU=0Vv&DtX78fyFjBJMvakit&F2ZaHvWs?%vx~WGCuU2LU3`%^yM)Uw$!uq2mnw;~ zOS^0rX1gN0%%X92S(jao+2xU4VX-*7qRXztY&T?A?i6QNaoJUw?T+kfrEzw3mtBL| zHIZFw@i@D-%dW$04`kO}BF?Vovg=rJ&CA0mI-KtBR-P&chVYWZA+jfn!+qvxa%nm?yhh^gIjxM_s zvjdUcdD%F-i_7lHY&o*KEf;5ZciBCd9fa(j%g5QhTy}3}_d#~w72@oE@{-7ZK)wU{4&*zK??Ao-`3~ee zkncdg1Njc*JCN@{z61FVK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{z61FV zK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{z61FVK)wU{4&*zK??Ao- z`3~eekncdg1Njc*JCN@{z61FVK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{ zz61FVK)wU{4&*zK??Ao-`3~eekncdg1Njc*JCN@{z61FVK)wU{4&*zK z??Ao-`3~eekncdg1Njc*JMjN}2l^E6)IXP7q!5iL5Z$;amn&pkL@lOv5WS=zm+L6H zk<42}^eTx+_?fZ_{Z(GZ~#jpW( z-ncym_r<(J_Sw#PMQ{QSpt><+z$B40je zd^z;6Lk8I6+IWv+4?)~#$HOhxt{cZ(u70OB>f`*$@ptUXd0CI&ZUkA6^N;hl|FEgH ze}|5rI2K$zryg}^tfTF5<))6FjK13Gxx&Yt{xN6HoLsJ`^p{u*erJ3RX+0nsGn0mqkc-AN&STS zG4&&A6ZJ#t2h{he?@`~SzC(SR`WE#~>KoM8sjpFArM^Oanc7HwiTWb-1?mjy^VH|4 z&r+YEK23d!`Xu!U>f_YMsE<+`sE<$|ranY{koo}ie(HVHd#U$O@21{Gy_0$e^>*rQ z)OzZz)LW=GQ*WZ)NWFo2J#{+uI_kC5Yp7RKucBT_y@Faty_|X(^-}63)QhRL)QhMW zQZJy^P|v5HM?IIy*Hx#p8CO%!qMk`TgKDS|^>pfK)KjUaP*0|wM4d)Gk$M94cxn~( zIO?&~W2i?{kD^YcPN7bwPNGhv9!Z@*9Zwxc9ZRjG9zi{vI)*x$dKmRk>L}_+>Imv^ z>M-h1>JaK7)Pt!N)Ptx8QV*aGrtVMOkGd~)AL`!Jy{LOq2T}K+?oQo}T29@Sx(jt@ z>OksF)E%iiPzO-Ar*22xmfD}X4RvekR@8pfEvZ{jH>dWcZbsddx(T%pbz|yA)ZeJR zs2fsyQa7NMQP-!gM_rfNgSrlNZR%RoHK}V*SEsH47Dq@3w3GgQq<1WC8NnI`)UT;u zQNN^qLH(Ti8TC`@OzJ1pkEtI~o2VaBKcK!(eUJJs^&RTl)VHW_Qs1DyPJNB~D)klW z%hX2duRKpLGJb(NgZe!6IqI|2XQ)q8pQ1iVeS-Qp^)c$B)CTG!)Q71LQ6Ho}K)s)O zAN5}9J=D9YcTw-8-a);cdKdn-fs5eq?pk7a%PQ8wLE%h4e)zqt~S5mK_ z)=@8~UPir?dI|MnYAy95>V?z`s5R8{spnD8rJh4Qn_5jhi+U#Y4630<)YGY_QBS3w zLOq#!5_KB&MCu9DKN+3d|k|x2h{HJoQmg_;#`N4MMVol5vOU(oGvA6$qLNhp;tMc?@HnapAIGR5GT+3 z^AvS34{Fyj?kxJxoRS6lz`wmbYdfCa^0Xv8@$=t24#x!!pJ#2x{r<;1>yT%#^fwF7 zI^-GoKj!J-crx~<2YIUh$2{wj=YWj;S(iNb{*QUqb37UQvmSYx{>MD)JD!cQ?Vt6@ zQ!Fol|K;ne%<*iTjR&7U;q_M5PM$HU|LuMK={9JBs%+Kvg__XbN4OY2irQ|di@)L>#vbdz}@@gg8b#OFqhli zFMxlb04E)9+WcMQz9Gx}T{~o(kN5v9^>@oyfA@^__sCdZK4j0tzh}n!du6P@cgFhr zWURk$#`^nZtiON8`f^du)c*rA);};~{ev>rugF;c;EeUCsGGbCgEp&9EB%UEB& zd6tQPM8^6fGu9uKvA%pWDii-<8S9VESbt2$`iEz%e?-Rml^N@g%~*e2#`@zk)}N5E z{*f8$%gt)0{WB?JefcJ7rutJd)|YRhXR3cx#`;HRtba_#`p0Ihe_Y1;RT=9apRxW4 z8S9^zvHrA-^-s!J|KyDIPsv#S)Qt5{%UJ*PjP;|8^-ae5XJo8@X2$wwWvpMFvHsZ^ z>z|Xc{<#_JpO>-z`5EiiWUPNd#`+g#tbb9)`n4JBU!1Z2B^m2qnz8<68S7u3v3^~~ z`d4JEe`Ut{S7oe!b;kPFWUPN}#`@P~tUou%SpS}k_3zDC|Gtd%@6TBOfsFMZ%vk@SjP)PR zSpSiX^&2wQe>7wL$1>J`JY)SQGS+`GWBsQx)_*!<{bw@Pe>P+N=Q7rRK4bkE8SB50 zvHpu0>%Ww-eq+Y^FK4X(O2+!HW~~2O#`>>ktp7&F`fp~e|5nEOZ)dFkPR9E0W~~2S z#`^DPtp7pA`X6Sj-;}ZbM;YsXoU#5V8SBr?SpU;Igw{x2Ep|C+J>ZyD?Vp0WNP z8SDRwmZ|KdRZ4uSq11N|2X^e+kYUo_Bvu|WS$f&Qg|{)-3tFA?a!WI#uX5f0u%y zApd0o{g(~w|8jx;%Ln?e5a_>Rp#MsN{@nuoR}S=FCD4D>K>zN6{;LK0uO8^XMxg(i zf&Oa+`mY`6zfPckk3j!*1O3+v^j|;Fzbw#ygFyeDf&Lo?`u7U-?;YsBQK0|Ef&P60 z{Wl5p-!#yFvq1m8f&QBZ`fm~Fzh$6*zd--30{yoR^xr1Xzki_rwt@cJ1^RCv=szIP ze}_Q-9RvM$3iKZs=)ZHI|1N?4y9WA~2m0?8=)ZfQ{~m$xvk{s#p59~kI=P@sQBp#Q;v{)Ytm4+-=i8t6YP(0_QK|A;{Uk%9iB z0{ssS^gk@ne{`V#m_Yx-1O1N(^sfx`9~r&8 z{cjBPzbVlF=0N{j0{w3d^sf)}zb(-J_CWtT0{!m{^uH_6|L#EldjkFM4fMY+(Et8G z{|5s79}M(=DA51mK>tSq{Tl-P9}V<>EYSb)K>sHK{htiJlne`Da!pT8XF|61VBpT804|7KwS-wO19JJA1~K>v3G{of1p ze?QRwgFyce1O1x<{XYuy|2WY9lR*EOf&QNc`hOPa|9PPQ7lHm?2Ks*$=>K(~|Exg& zZvy?l4fOvm(7!p*|NB7y9|HY<4D|mg(EsN^|6cK>t4i{r?Q~pB?D` zSD^pjf&Tvl`nLr7{~PH4U!eb-K>u7};P0Oo2LAqepnp-Ie{rCHhd}?1f&PmG`j-Uy zFB<5-SfGEWK>yM}|HT9Smk9JugWFCXZ? zLZJVOf&ME6`gaTTUpdf!l|cVh1O2-P`mYw~zj~nm8iD?62KuiR=)ZQL|2l#GJp%pL z4fJ0x(0~0v|FS^;4Fdgp2KsLp=-(^QzjvVjMuGkt2m1F3^xq`Vf73w!%>w=V2KsLv z=)Xmv|CWLN{Q~{B3iRJP(0`ji|Nepg+Xnh?7wErzp#OkC{~ZGTcMSC3DbRmlp#RQ+ z{<{SF?;7Y|9_YVYp#ScH{(A)a4+`|(GthspK>xi1{r3s<-#5^Izd--}1N{dF`X3PJ ze_){hL4p1if&K>v`X3VLKP1q9XrTYFK>y)^{v!hYM+W+j3iLlT(EqSN|IvZ|V*>pT z5A;7G(7!U!e{7)txIq8$f&LQ${f`XvpBU&rDbRm%p#PLW|EYogM+N#H9q4~dp#QOf z{>KISR|WbXALxHVp#O=1{?h{ePYU!uIne)t$%{Z9+@KRwVt3iLOD{$~XGpBds=~0pzi>^U|Fwbrzb?>!dZ7RHf&MoH`rjDne^a3U&4K>61p40^=wBb` ze_Npc?ScMx1p40@=zmwB|J{N9_XPUi8|Z&up#S}W{tpEDKN#r$P@wJ@x|MP+VGXniz2=sq3(Ep`C|HeT7 zmjnG@3G{z8(EqhS|JMWk-w5=7GtmF7K>xP`{oe`ne>c$oy+Hr>1N}b;^#3r>zbVlF zqd@KJ)|5t(jUkCcn3iSUb(Er;&|L+3*n*;s7 z5A^>b(ErCk|DOW=e-8BjCD8xZK>yzY{eKVi|0B@<&p`j#f&PC5`u`p1|4*QQOQ8S1 zf&Tvm`p*gU&lQFJ{(C`D*zeyL2KpBT`WFZKcL?tkw{WlHt-z?C-Z=nC?f&NmR1$>oX`dA3(B_s^V?5{wqh_t)kI>Ck#OPCv&D=K(aCd`@BrKe1nI)w$N+6jfVPJb!FKw+&N z7M&vhA@eVzZ|xESf_PCop|G~NIF8-?H9N%dYFsC2aCQZ#5SDSC{B;+671ofqc6o_p zUTvwU%&Wz^!d#bHk98lHou5H?k<;M-O0Ivg3h8+ucwWR3ksxdmZxhx z-in7@wf*CHmMaSn>$c)SUGQ{^eS6wicm~HlUAxEQt$5sVkB;Znt{Km3cYj#76%S4` zczVS3dfHfcrb&$R1(2lkC8t-u3Tw}ke{sIh&n*36-Bvs}PVn@J>-Ds;@Z1#pbnP3D zx9U$v83)gU@-KM$iDuzp-Bvs}PVn@P>-Ds;@Vq85`ZFLNZ^g5SjDu&U{0p9eqFH!Y zw-pbL6FlW{y`DA}p4qWa*Fo`kE1nV=2TzH-0Kl_PJg?pRhjm-=;5flEIIh>z#=_G* z_UT#?kGJA+*NtA}85+-PHxKK!;=yr(XJlNjr;UZjU1tW;Z!h21j11sUtdr~Vm~tNfEUxP;qv$*Kv%8M@ z*EOud`T0;l;Dh~}Wj>BK%lzt$^Y6_#zbWJVVxE`w_NOf4e0TnN{%QwveI<1gRj}o2 z-uvFM95+FhJRKfMfmhfMo2uPUY9;xgV(URUTV z`swaxq>OwO7Icz-@j7+q*S%id>l=BTAL=-)!aCILE9>;@+0K*KGxLYr=?nM=^L;LI z6qL$n52?SJDE0~VwY)yNI!^3^_%*Kmoon$r#(b;?Hx&EI#MS46>uF=DgX^_lr+b{ATc?MO=heY=4RzLx=k>I))R`u+U#Be2 z&#lwP#`Egnb%8oK4jh+_rOr(f`*r%p`MGua+jw3b^b2)xokSfQOP$vw_UjCY^KrkQW;I zfc}cky)T#Hx%cJRzY=1k_C2nf!F4!4Shu_=_Iq3F-r_p+1?#xq+FUo2>#Dh~S3CWh zx$a)B<9?d!$G*2ZzS&&Y#C6<1ZLTYpg6OAvzuQM~rulW|I`_Uew4Lkz;`xy2_6x87 z&T`&yJ@Kzw+$Z+BD0#l0b*}Bf_niqc8~Z{ITfPcwJ94}mxiI?Q-2Ksc?tKo%F&}<7 z|2JCgav4T3zO@v@^=`66N%ecE`td$$UEl4mwE9P<)bFe6$NQ~yeYX$O>Q|-IAE@fb z`?7U?w_nrhltcvq2fyG*VT&aN5!?AxPi;6$F+ux`&@{@)p?!yxmeFoqk6?9 zp?tMIj}I!Y9~2j^XW+8xajhleJ{RI7F4i;D=o!V8*00wT*Y}ER1#ttHRgX*BG9MRW zaCP5({(iBZp+-%LOG5c--LF}St66caD67C_)#F-M#{GUF2AAIVtY@gvZ;C6eUpYzO z{hZu2-zhHKUxCZ2$F;tU`&@{V&Lis?YE();%*J&htzXM4u5T4rH(3uZs~*<|GVXIB zPU;uy8EUkq;*wClTAxQ;Z~cCKqquNA1eaBhYeO0LxezCDv7Vtu{S;SPzXmFn6qZh2mOW+`whkaSOG5c--LIb%*QbgL@5kV>>Tzu&<31PSr1Qvnh8ndfuC)DCQat~4b*AE4 zTin29)#KV$#(gftN&R9yLyfx953?6^zuH}KeWJKKE%7YE-7U z()!g`aeb_~dWajita@BK$hgmiIH_N(XQltcPp}5leHCk~sDX#Uz4O~_|uAODv=R%xx9$C*&Bl)Jcy-&dG1?{hCit9tg zh5IUSS@pPfm2sa7aZ`}*Dx9PxezCDv7Vtu7b>o_eqF7&UR7Lp&Hd}-#(gftNnEUFsL?ZuE3IFzDXy0l z7oNYsW!2+4OvZgK#Ng7O7qXtAMoo$(c zuC#vTCB|8kN!yvlsOIxV++eQE~N?_29DV zagCL6p9^tPzgW*uqcs&*TEBWJt``&+o>#$T)#Dm3<31PSBreu7)Tp20O50xp71s>K zwY9i`%c{q9q>TGqh{2`rCs@x=qkR-tTEB)WuICjOo}ltcP zskqYmb+qDoPI2`YH*i_?xTeUs&xJUNi}egOI#qF{_3J#v^{nE;^F6q%dR#}zxX*ACMdWIU+DXz4B-K4mlQC!=J8@Q}`T*t_`&xIIV`g11MGt}r_#g*2tCluGyifemu z1D92g>o^(rxe$X(-xso;p+=30E3IGeDXym!*8p(?msOAJcp3M(5GU;~)-%-T3&oYz zub&jxlZtBxaRZlCkLyGk_qh-!aj~AEMlFgftzRXJ%>O?0gyPy!+`whk<2p&keJ;dF z=aKacHR?(~%wEvv6WtZpKE%7YE-7U()!g`aXqHE@bfI- zvg&c2CgVOA;-r4Do}oqq6j$2*8l<=$Rb2S|2wYY@u1LmxF2qS(tY@fEh2l!<*J#Dn zptyDsH*i_?xXzGqp9?X#9yIfRPR@FU8ckMQY5kg}xE@hl_*@HIRz0q>WZdUMoYXJY zGt{VBai#U^62QG?=2>(>m$^`PRCZ=+i-s~*?+GVXIBPU;uy8EW*F;!5k+OvUwp z;@U&p&@ZbV*99`}b0JRJU#w@SQM2Mo>(^|>b-&^oByQlc>Tz8p<31PSBreu7)Tp?m zou7Yk9=U7oQ(SwB8@Q}`To=o@&xJUNi}egO>ZZ8T`qe{m-K)6v5;t&J^|&sTai0q@ zxb*!O>ltd)M{%X?ul|bb9>uk{xPi;6$91`k`&@{V`o(&N8kH-qw0;d%Tz4xj{M;tE zta@Bm$hgmiIEjn(3^f|5xYGJHL2=!sxb_t{a9Q=Zu99(|3vm(`>ltcPrMS}iWfa$) zifcb{1D92g>lzvNxezCDv7Vtu7b>o_eqF7&?oeF&iyOGCdR*7ZxX*5>8^$azt zS6pfRdQfrQuDAw^8@Q}`T-VFE&xJUNi}egOdPZ@j_3Jgob(`WkK-|D()#JKR#(gft zN#~LE3^i&}TxtE9rMT)9*MZ^&E~_5b%`)zDAx`3AJwuItQ(S5N$}KwobH}ZU>mYFh zmsOAJRvGuX5GS2S)-%+olzy1Kz|X%F*DZ>xLe_)Js>gMkjQd=OllsMah8nG@xYGL7 zOL5(-xDFOKa9Q=Z?vQbx3vm(`>ltd)PjRK~uYro|CdGA#xPi;6$90#C`&@{@rSA(_ z&rqX%6jxfmhAOTb71t1P1D92g>mC{RxezDyi}egOs#IKQ{W@B4-JrOJiW|7BdR+I( zxX*84odWIUEs<_hnb)Mq7UU3Z*H*i_?xE_#kp9^tPzgW*uqdLWv)~}ls*L1}- zT-?BA)#G|d#(gftN&R9yLyhiLTxtD!LUCQExJHN@xU70ykI1;sg*b_e^$ay?R9tEO z!tW9J-&eR+ag7u=a9Q=Z9+h#Q3vm(`>lteFh2l!<*H4P;8pSnA+`whk<9b}ieJ;dF zT&!oPQH$bA>sQHQ^Y`m&#dWB-fy=7L^`wmZT!@pnSkF+SuJps~1%CdexUN!MhskStE^|JQJLaO>sMdJb*17OEpFhl>Tx|Q<31PSBreu7)M$X>O50z9 z6xS7sYmB&o%c{rqyo~!?h?BTj&rqWZ#g*2t(Tb~1aUCvh;Iis*y&&U07vdx?)-%*- zvf@hX*EGd-x#BuP+`whk<9bQPeJ;dFT&!oPQMKYq>(?cU>oUbvDQ@7h>T$g+<31N+ zaOv;Eu%4kt(-l`*zwT6AmnyEY;s!3O9@ncf?sFjqmww-7JwuHe6jxfmW+<*p6xTR$ z1D92g>vb9TxezCDv7VtuZz-;{e$7-|7b~vu;s!3O9@m>P?sFkd;$l5RjhYo#TEAKp zSFPfjAa3BY>T$g-<31N+aOvwR>ltcP+Nph?e|6(La@Sm>xQ-Mza9Q=Z-j#8m3vp7v zSkF+SGR2kFuYQW_Ld7*v+`whk<9c7leJ;dF{bD^sjmi~Q+Wx9gTo)*=N#X`Bs~*>f zGVXIBPU;uy8ERCixYGJnrMPMo*JN=6msOAJBN_L(5QA&R9rJ&`gY^tGs#aWS{i;)3 z=PRx$;s!3O9@i%_?sFkdI*+Vps8PM*O6ymH;yO=pO%*qAS@pO+m2sa7aS|8n8EVw1 zxYGL7q`1yiTt|r;xU70ypUXJ7J}8ZUUlcL8xZY5sX4YRh&L94V)L+K^esag6G3UTf zeoy&#jC;TT+QM~Tux_dN;C>(Bg6=1~ah|)roUM-MXjzZrvFaVqS2FJR8F5mdSfy=7L^{tHi zT!@pnSkF+SO2w7duPViLrs6tI+`whk<7$?1p9^sk7wZ{nRIRwu`cr`TUcWex+%?B5E<-M>9#==jg&17= zxs&w_H7ZkFY5nS_xT+M_8RW9+ag``8#7SJNXQ)xR;!4|J6^iRP#dRjRta@CFDK5m| z(${;|Gt{V3ai#UEN^u>lxXvP%RgbGwaUo9HU#w@SQMKYq>sOuPI!19-lgp~dwS?kA z3@&}0U_C>P>J?X7zZw+R(TeMAa#{7bIx8;3N&AcS3^i(0TxtDkQd~zVu5-v`)#F-P zaUo9PVm(8RniW@CzgiU6RK;~JxvY9zT@@GNr2WNuh8mSF+0N@1=aIW+isCwtTvk1< zWfd3Vr2WNuh8mSAuC#vjQ(Ti3*ZJhK>TxZvxDY3Cv7Vtu<%%n9e^n^1Ns6n6Tvk1< z6%`j^aOs~LV?9HSDiv2+zp511M8$OhxvY9z-4qvMaOw9U)-%+oT5+ZI3;!cv|NiSp z#dRUMta@ClC@#d{(w_sco}otdiYu*O4T@`m;<|`jRz0rniVJZP7wZ{n)Tp@9`qiYk z#w)H`a#{7bR##kzlg=aS8EVw5xYGL7qPWH>u8YZK)#F-IaUo9PVm(8RN;|jn`o($V zt{JPiE+Lmyk85qkg*b_e^$ayCQ(S5N>ZiCW71yQYvg&d5P+W+UxLD6nqjJTSw!bPA z*Aa^AGICk>pZ4xURCEp+@zJE3ID*ifgpux`JF*J+5Ag3vp7vSkF+S zM#YuZuO`KHnBuyUTvk1ltd)thmzp)uOl#Ra{q*%c{rKM{yxe>KE%7 zYE-&ZJFj1yNA8+YitB1}S@pO!Ra}UZ`o(&N8kH%ow0`wdTq70NHRQ7DarISPh{2_w zJ6O+9qjJTSw!bPA*9gURExD|ETw5qE#7X^PJwuHu6<1onsub67#dRIIta@Di6c^&8 z{l$8Q8dWQmbE-3%RU%T)QYP#7X^PJwuHu6<1onsub6OitAQ#S@pQe z6&GS~-85|epKoA2Lyf8xS6aX76xRWYtDam|J+9pq7viLTv7Vtu^@=O4Uk!?Du;RLn zTvk1()!h;xb|0Ex0B1N$F-N@LY&0KdWIS`E3UMDwJ5Ir6xSW( zvg&c|qqq=*OW#kho}osiUD|p5;yiNK?5nu$B$ri>Yd^(>7+m_g%6f(xl_{>Ye)Usa z`zWrv$Ys^z8mzbwCvmZ!p+@D3D{X&OD6YK~*WKi@>Tw;YxDbPD(9`o@Cs@x=qe{h< z)~_nXwU^?$hg?=Yt_sD4IB9>eo}ot7iYu*Ob&6|G#dR;ata@CBC@#cF=aKacHL6!! zY5i(YT!R$XedMz0aSc^mh{2`555szf8Z|1ew0<=yu00gj{p7OhaSc~oh?DxodWIS` zE3UMDwJ5IL71smgvg&b-R9uLY_803JYE;^_o!2kUBX`Yiit9mgS@pOMRa}UZ`o(&N z8kH%ow0`wdT;+=EA#z#uxJD~3#7X^PJwuJk6<6B+s!&|JDz1mgW!2+4TyY^z>KE%7 zYE-GX()v}UxOP!okC4l%$5pAg5Q9s9e$RS_8dWQ+b;xF#wt#7SJN zXQ)xL;!5jRi{jc*aXn5hs~*>6#f3PDi}egODqW_X*DuZ^cg+rp>j`pM^|+=gF2vx{ z??bF-s8N~XO6ylY#Wg^2JxMOB9@o)|3o*F#^APJ9YE-Vc()L${;@VzuJw-079@nvo z3o*F#{VMAjYE-GX()v}UxVBSVPm{~4$5o}c5GU;~)-%+oT5+ZIt4?uktGJ#amsOAJ z1jU6osb8#Ts8PM*O6ymH;_9!so+X!6k87IZLY&0KdWIS`Dz3DCH7Txb6xVa)vg&c2 zthf+^OYeKuGt{VAai#UEMR9GdxSl7MRgddb#f2DL`uUOd3^giUww>26&LeltR*Gu| zxvY9zrz z$z|2!I#Y2WPU;uy8ERCixYGJnrMR|GTrZK!s>fBWxDbO&-+!^5p+?n;E3IF3ifePl z)krR@9@jaF3o*F#zGpo{jp`LwTE7|;S6{{TGP$gJT<0k+#7X^PJwuHe6<1onniSV& zit80}S@pPT6c^&8ezBgRM$L*VtzRvQYg5JbD!HtBTo)=X#7X^PJwuI3muu(si}T1` zvx(w*ja*heu3E)~7+m`Pi}egODpOo({pzQ<`Y5i~$z|2!x17uJshx2jsHqaowu85GVDE^$aztR$OWQs#9F+Dy|R7W!2-lO>rSk;$l5R zjp`LwTE7|;R}aP2L@uiy7yiz!zwZ$zaj~AEMvaOqtzS)wYaPY)5xJ~-Tz4rh#Ng8R zldNZ`QM2Mo>sO27T3c~_OfIV)*FB00aZpsPW zIEjn(3^giKTxtF4r?}QsTrkATn{NO z#Ng8RldNZ`QKjNa>sOWHT3vB{MlP!!*CUDxF}U>m5bGIgRIRwu`c()!h;xK>qM zUy{qJ$MvM*LY&ku)-%+oS#hQHt3`3GqPV^ymsOAJX~l&YT>b0j|Gqfu8ERCzVmq&2 zoJa1Ol@-_5Tx}T$iGxDY3Cv7Vtum5M8^UsZ~0MaA_kxvY9zFDWj>N#~LE3^l4& zTxtEPQ(P-3uJ6cY)#G|uaUo9PVm(8R>J?X7zZw+R@`|gOTvk1ltd) zsJPPl)ugzVQ(WJZ%c{rqy5d5dw7*!-P@`tWmDaBo#kH*B`hi?lJ+3zu7viLTv7Vtu zr7N}b`o($Vu31KL{YWmW9@pE73vtr^Vm(8R$`n^xzxpYzu8Qj?a#{7b-c?+PllsMa zh8mSCuC)DCp}4vzuAj+e)#G|!aUo9PVm(8RDiv2+zp511(u(UBa#{7bK2%(Ylg=aS z8ERCmxYGJnr?{3k(_;zA5A{XWEch8oo?uC#tND6Yo;;)^|(G!T!@qU z#d?MsH7c&Oel;nsB^B52J?X7zZw)*iQ;M@msOAJ zN5zFWiHr3NHEL8`Y5i(aT#G2Kf5~Ol%I&;ltcPuDH_nSB2s#Qd~l0xvY9zexan1Q}{_l%+AeU8-t3VFa|GolZaOwLT)-%+o zS#hQHt3`4Br?@(j%c{p!q__|#aj~AEMy0E?^ZLbkN#_|T!t$6>ZiC`6juqkta@CFC@#cFT&!oPQMuwu+g}xm>mS9nD7mb9 zT#G6$#7XCo^$aztR9tphuCP_Vsub7Xifb`)S@pO&DK5m|(${;|Gt{VBai#UEPI3LE zxH^%`s>ijs;zFEs9$C*&qk6?TxZpxDbO&Ki9IJp+=30E3IEm zitA6swK%z~dR$8>F2qS(tY@fEv*NPL68hDmxc*RFOOVT|$JIq~AqJPeuCkt?My0E^ z^ZLbkR56{i?W@B9~Qeo}osSipwrb*k4tO>lekfG`XyLTq`Lq z#7X^PJwuJE6<1on>J-<{imMB`ta@B4D=x&~()*tE3^l4(Ty|MPzZw+RPl~H6xvY9z zt12$UN&R9yLya00S6aWC6xWZ6YZ-D`^|)43T!@pnSkF+SX2oTfCG@LBas8mUmL-=} zk82IZg*b_e^$ayC?cUDo7w3_?=6l7p9J#D|Tx%&V#7SJNXQ)w`;-YWs>zCqcR$R-I z%c{qltcPuej{8gnl(B zu33t!8@a4{TpKDb#7X^PJwuHe6<1onniSX9ifd(ZS@pPjD=x%I{bD^sjhYpgU6#vP4m8o8``T$?K{#7X^PJwuHu z6_;I>u)nGl*Jp}rb#httxVBVWh?BTj&rqXk#g*2tI>q&=;#z}TRz0q*6c^$oF4i;D zs9tf|WeNRiP+T(=*P7(A>TzwOxDY3Cv7VtujfyL+UrmbZ6UDU_xvY9z+bS-^;L`8g ztY@fEv*NPL68hDmxIR`~Ym>{W$F;rULY&ku)-%+oboF*#zc|m9%@x*sq`1~0msO8z z2U*~MUWhn}i}egODx)7}FX-ij5EbzGyC-sZ<3^i(`pW{mFR}<&iveK`271svjvg&c|DGPiq#Ng83 z=VLuXjhgA_xaixeUoD)+e9b$Gt0%dvdR%+U0-p;pxb$_E^$ayCU89}XFV1sZZ!4}1 z$z|2!+E;NQ2ABT-__3a$MrDeNzODMzPjS7axO$Pxs>ijz;zFFXzgW*uqjJSngk=fu zLluhaO~uulTvk1<0~8nHr1Qvnh8k5WF1sv&t4eXbp}008msOAJAjO3^iHr3NHL6xz zMOc==Rj0UKS6myD%c{q9u;N0T#Kn4s8r3Td}%aUo9HU#w@S zQKRB2!mltcPrnuehGf^`@+A<_tpL17p~yC(X3n9&f}?4 z$Md2(p3QkYR=wjnoX7KFY5e_J#7TW-JwuJE6<6BprA~3Zpt!ammsO9eQgI;$m;OEp z>ltcPuej{8gx5=h;+mnjwj`HTk87ObLY&0KdWIS`Dz3DCH7Ty=6<0rUS@pOkC@#cF zT&!oPQM2N*%M$w4qPU(@Tw9ULs>d}^aUo9HU#w@SQR!OkyuNTAxoe(PTw9aNs>d~1 zaUlkm{v3$)3^giKT=Z>yeNkM`D6VbDW!2-Fs<;q?>!xAz|2`D!8ERCnxYG7lh2nZz zarGycRgddv#f3QOJhGmlMwN=oE=$;7Rf_8=#kDQDta@C>DlWuHT&!oPQMKYq>sOuP zdQx$1M=q-#SC!&IoW#X?h8oo?F1swDUk!@u3B|QNxvY9zCnzq&;L_Js)-%+oQE{d9 zt4VP^uDAw}%c{pUO>rSk;$l5RjhYpgU6#FWgR8ERCz zb~~?MoJa1OM-|tOm;Sj6 z)-%+oTydrCuL{NWh~gSZE~_4wQCx_V_803JYE-GX?6QRYRi(HdR$M!i%c{q9rs6`J z)GyXE)Tml$aXqBCb|IHlkE>d7Ax`3AJwuJ^6_;I>(60u?^`PR~m0VUmu5%O@ z;-vG)dWIS`Dz3DCH7TwK6jwR9ta@DMDK5lGT&!oPQM2N*%M$w4qPXr?T)UCWs>fBM zxDY3uN7ggcsC1onUcWex+%@+puHDIH)#JKQaUo9X7wZ{nRHnG-+xq&Yxb9V4dyvbj z$5pGi5GQf5o}otNiYsk@RVc1|6xSefS@pOsQCx_@r9Wq6JwuHu6_;I>u)nGl*WHS1 zPjXrHxGqy%h?Djg>ltcPt+>+qRj0V_Qe1nH%c{p!r??O&ok!L))Tmx@*<}g+YEWEv zDz3fBW!2-lQgIltcPuDH_nSB2ubRdEd_msOAJCdGv~iHr3NHL6rx zc3Hyys#09HD6Rv@W!2-lMR6fc;$l5Rjj9z_TEFTP*UgISKyq32xat)bVsPo}J?j~2 zRIj+~vV?v$D6X3n*Fog6>T%t!xDY4xi}egOYE)cl{c2KNH!7|Qa#{7b?o?celek#V zP@`tWWtS!Nt3`3$ptueumsOAJZpDQdT>3h}dWIU6uG`M*7w3_?=6c0-2)V3!T=yz2 z#Ng7;wXA2TQJLbRZ|m!q;+n3whLFpu$92ErLY#CSSbRlzbX{hb&6{!xvY9z z4=OIi;L@*0)-%+oQgPX33Hz%`ab2sphLOvv$Mvw{LY%a}SkF+SYQ>e-uR6tbjp7^$MuxrLY&ku)-%+obiH<7zc`QF zHCHIEL&;^;<9bGMAx`3AJwuJk6c>G4U%wPro#Hx-Tvk1<=M)!WaOuzQSbRl zzbX{h<%(-GxvY9zGZYu%r2WNuh8k5WF1svYe^n{2%M{lba#{7bUQ}F&lek#VP@`(a zmDaC1#dWFTI-FcqJ+4N@g*b_e^$aztS6p^kLcbam*CmSU2y$8VxL#3Qh{2`bhgi>0 zqejJ*)~_bTb+O{AB$ri>>ovuN7+iYav!0H6)wesLbTYc5h;9ezBgRM$L-LE=%ZFi{d&* zaZMqYRgdd4#f3PDi}egODlKd0^^5b!U30eLno2IK9@iI&3o*F#zGpo{jmi`keOq6@ z6j!z4I*MFYJ+7}57h-Vf>niIRYE-Vc()L${;yO!l9ZfE)9@i|zg&16V-?N^fMwN=o zE=$;7Rf_9O#dQq1ta@DEDlWuH=aKacHL6xzY5l5GTxTe*W65RJ<7!r1h{2_QPJ#6d zHL6!!c3DEd8WfjNT*r~is>k(%;zA5AeV@a6h8i_0uC#tNDXvIyRguf8$MuuqLY#CS zSltcPrnuc^@rj@oW#X?h8mSCuC)DCp}0;_T+_&9)#IA2 zxDY3Cv7Vtum5R$QOW0pkitA*>brQL(dR%`iF2vx{??bF-s8O}zO6ymh;yOujolGvP z9#@OvLY&ku)-%+oUUAuF3H@qNT+oMvaOqtzS)w>qNzM zD!HtBTsbM|{~itEq<*oUp+?P$E3IEGit7Z$bsD*>dR&Ey3vm(`>ltcP+OwV4FU})( z&GCxsbaGksxQZ1Q;v_ECGt{U|ai#UEPw`IubGb!qx^NVG(Bbn!?|=u*_B zsa-@-XIbiU)a9uwh)Vl%tBEcty1FRpbY*@;>PpmZ)Rn2Lh+_USqF65-C>k(o#Q5S| z$KsKrCJum(8auuxbn5tA&mvJfEm!!sQ#qY;$Y@9XGc6Gx)nkl~fqcf=7wEpf#G8=rvj@lz&GfKHj*-TI=hIq_-Bzd~lgXAjW@ z`HwheM5Xl^H_9I0)KT$qjvq39xb;2M@oW^tGlo3pw!u?zK<`AKwvKly>o>Gnzt=+5 zKZW&YwN-zIn19T;2~*HNIc@fQw)ec9N1v{;VByZ&nEB7!;A9^A0&d4X!v8oB`v^Zz zvml;|!Es*~_PD3h2lqb<^x2_TIr@5n7}@>F*F{_V<961sZ=?Pgd4Z#@OwSdF+RJ-8 z`t%^{zt=|ngx8yW{f!t^X?4hm@nhjXTCIy_R|1+jmJPnk3XeH}f%(q3=lb@R^eVlsg1 zxtu;*Pu?!(pneaDu^!iPD5^o_$ExO232p4Ot-PD(bkwBEVW{h3x$MqYuf4}RipM*C zLj3+UWaLDti+wc2zQ4%ZNqk+B`q*FYytlXhk*wd|`4cnFKOy7%6En^~E93m?jPozb zIKP(jCyg0v&r9X7$)j+-h8#P@p0`QrJf-bZY#i(#r*5Av!f~e(&bP1JxKo|i@v&-s zd-uUr8T)c|#`!mAoPSHk`S)a;e{aV5&v1U_l*#rwHFnsfBd`z9fB${Ro&R{e1O7Mk z_B!RRPx}1h{u%qiDc1Sd88_}!=XH$hg}=VN=l@wA-=ryH?eWQRjr~2j2hi zd?9~U&b}WO%K+XNG3w4c-dERUJWC#+aG!yFf%i+Nn2&XGyC&;8wpmZU&1~xzcbLDP zdq19APi};=aLTuTY~Ai{)E%6$E}oaskA7{{?Ujvp1J)hfM%@GC#wtr6lzDCMudP^bhN>sSbMGIqU#E^3(XM)1v)&hN z)SEKy2>Ut0_@lhHw*L))m|`g4=`zPEddU)uF;ApNGb>8C#zSvbF) z>336`e){u~h4b5mey_FZr#~-QIKN%#H@huA{rSm|@!gZ|gWP^eJAdW$>n=C8{`->* z&-Z$-(4UViq~C7z8`!3w`kZ7T{dT9{gtq)zeO|I~=Wh@CUDB4He6WD?HTeYld2Pwq z;o}oO2W#v64Wi!@?e*(@g1sJ&7en~F&r93#*^@rA+Uv9N!ujk)pU!e8wZQ%8`nS=- z`Rq-fzHRu7k$JfOj+YBD?jt6RnrJ`&9W^O_{+u{=jD6o4HhGNg=eQB$Z9IA6c>6h( zEVs`kxPQR?Vki06-6!HcF!i})TKxHmd){!0D(?Og>c*YwypG4qfIXh!!zTLIi!mx5 zX`d@PmJH*37Y|qQ2o>Y`1NBFYiQjMKV>-*#ljB4 zm2Yjg{yeR%w0`6 zKHux{UVU55-yHQek?MHgTVvQ7oBA=X=kRs!Gl+0~ck?!t80*~qft%;l&BMGMy-Z(t7j<>+yRzSZ`x*J{x|tIX+7>^uzoVv+t^!g_o1xsE_tl)Pj$zS`xmUo z&rQSM#@_lal867$l=Zl8!Fv2$EY{oDTaSH@_0=isas9&jXh17+yKMwH}Z6QS0$|f3zNt&wK0f z_UY7%)i9#Cp%DB`zf!dOGu3Ugr76PezNhr{Ztc=&*OLXCi6VwdV}>=<>w~J zQy_olQ0@&Rh6?;ODCS{c>mSxz_4=`@jH4fJeS7j&Q7vEHiJkJV%x{pc<_XHNTHFIb0utl36C zPO$UZ-;V~F-=6)ktjxpltSO3q*x2hwJU*`j$o*=!aY1-u;1fc)iSMqaRn>dF|gHx*uu# z1J^I~qrWKnVPmf!@%X%c;Q7?wA9%fEy;ZLtcy2{M`itWJqrLkhe%>t_^Hv-ExLN9g zqrLlMT05^Fc)j9y28f~`Hum}vkI(BzDKNbIG3;-wx9as{JsC$o-1_P5y#K&D?2nmk z^y3ygZ{hoqwm+~xaXbS>(GMGY{fNis^&@`%ocDUc`NVpwUO(2CarDEjZ}0xVI`pHt zjegu?=e55d_3hjrI3GBka#8fd#$G?-@p=8g^RK@@z>oD-y?&I*IQrq%PjBb`z&i9} zb{qY;*Unq`ex&UW_~Up6iJ~7i_WBWz&+7-C>-~OgAQ9GE_4eqbH?QP)O4-m&u*z8`7(qmR_Z@eCD3KWyyv zBOagE4}9+9_hS=@u->ZI59}B8W2mVA`A1v(1MAR_o7(8dS9V_e`@!FLYjb~WDs^!@ zBSp~<8+-kT$LIB>ZjWizg~>h%Nr4E=EH-Tt^YKF->->j&1M9}V%m)a%FBcHYAG zBW-`+`2zhIEsB2F*y~3;KCd6kki+$3bFR1Q^#iXT^uw*6-p=a>)}bFW+UUohc3%7Y zF_QjvncPpNT|c&v3Ft?qDEeVzuOIRFynZZ84%d$@x!$VR54`Ts54Ya!kGI;`A6SQe zG_}!>*>>K-_akk8;CT!Em>`ON*x2hwJU*`<%aOzN13%Y~^;W%pbd&Ls1x2ho@AK2P zt{=9%{DbvF3yKb3(hd}j*;-V5wwE>uXXgUG-U{Nlq^Hdbw_9hI$v>U#e-w?8?IB-< z_%S+Y?ap$)hwsa6BN6y76vgqo*v&7+beZ4G^Jw$M1#_=M@=H>-PJfw&I+uu|j*Y!O zYTs=+zmD@=?0nsGe~J7DKDV*?1mEpg=W5om@dA9e=ltoM?_%fMQO0q+Ytq-|6MP5A zEFAAmqBvd~FTi&P&adZu7dzh)8HaCw`r3Sg?~XDHzITek*TxI*-HG$><$M=A-$i5` zz7_Pf`2^pAG7G*Bio(~%3-H~U^BXwd#m={rjKlY6`r3Sg?=CV6zE6n4*TxI*-Iep7 z;d~c6-^FAcz8BKh<`aC&WfpvAh{D-H~I^BXze#m;w88Hewk^tJf}-`!;vd|wlV zuZiR&^9jC#WEOni6NRsh7vQ@m=QnY_i=FTKG7jHa^tJf} z-@RlOd}oTn*TxI*-JA2j;CvT5Up!C4w?tmZxNg{dg6}>u3%;{N;cMds`0mU3&7ALI z=exR$!?y>0Z9c(wKbZyJpG4tn;|2Kc&-uS`zKfmjnlcXGf%LWc1mD3j3%;{O;cMds z_#VLdEu8OS=evfC!*?`&Z9c*GK$!*KoV)?R*TxI*J&5y*Ip4+3w;OE5_dNRAe1dNU z>y)sLjThj1Fz1(YzKfmjim(;m2kC3`3BHH0PG{D!@dA8@a6Z02f&RGI`7RGz@tsLu zn@{i^Dznh%1?4 z&XULR_M)%NC-{zJogS=X;|2JR;`}nscd_&B3S03VOkbN%@I91uda;g;7vOss=l9`! z7dzjjVJp7V=xg%{zN1;EFYDNN0ls56zaQtj*!eC2Tk*Y#zBZrWdpPU#XB`_a!1oBw zAHex8cD~&u58v15Yx4=dl`;$ac_8c9cmcj+Ilr9qUF>{UhOPL{rmxK>_>N#nmc4PsJCi81Eai zu1^D`Yj_{9 z?UQ}gmaarUx4s+Wq1=z!e|XCHD2`8~y5mUWeK4iY!yI?qu8!N+RSS81ZvFqQ$LC(B z3wwNS{r|1U=dL>odwg#F|EWkd~SVP$M=8Qd;c)6uJbqo%w^?%M3gq@l?tFpV(~`T8Bgb<}*nZrPf2wxm_wj!ZH>~vEZsh-Z=6OH|c;@qU zyeVGC^Ab`%M|9rx;5HDy*2eR~W7jj!1N{C9hl-fJJ&>lpeW285{0#Q@N}lsn$~*7& zQ7rsg8&_oCuFqiqF-X(?0Z{5Qeg^x*N%@14ci!!bHkP2>GOq0U4E7(FzC+Sy{0#P= zko;lEJMZ?remBUzU7x}JFG$}J=`$YouNvRc@ca8K;{Cq9219Iret(S1_~HFo)$1+d zy&qc#K0AMp&;MV<+&Zs*y7T`z4)FZ{RiHfo|4z{9&j0@q(zECPljr&Wq&)xsW1u|$ z{~rTC&;S1x0*2@Re-D)B|C93k|8JojRnGtC^B$i6&wN$R|EE09{}0cLTH7AnvX|%L zwr`u*#q+V-d%O2OW_^@l*`w7r_1a6`$|F0#ZD0VKFG; z2ctpYV;Y}p;OB9=9X_Yzc^yu(`LpK}-HQuuhvz#{ZaTU?Du?TJ8tZ>Q5?GEQ&@%c5 zdam`8>vbCIzg7C#FP73@5&u%@KUPxzLlJ(C`}BW1DufiQ`Gb`HzfJsUP~vBQ7WwwI>&ZViXIAI+Ae;>^=e^VU zjqzmV&$m0P^Lh~GX7|MG?au1Fp4(;jh1uJk)p#Lp$*E zcm{BQkEO42=N1>zb$6w}pAS^(Wcy z8T@saFW>lFTRJ~uyGZA)gL~N@jBgKgba;^S{W?CwW%GwJ=XCAId0Gq6jyrTd7kNH+ zA$==oCuoQ0+dz4a7uRw9JTI5ur+lP0<2n%7IbCZ(IS(i0Jcg9}l?Fg*hw^#nbREHU z^o$Ls#)5as`+h!u@N>G}Vi)G`oUShE%R8q_^;39Gm*Zl2G0$kM74>tL(EZx;fkU8t zo?txgrzt;)=U*T{k{|0G^)wdjru)eKTq4>ZpT<7pg8A6rp>OnVWc8#CG zE*@){{65J$?{>-4?iKu{UAsPwUA7n6-4Dw08b5s=~+xQt)gUrxvKye!UFDd%zWXKG(;=d^nmly;4WUG@tb z(VjUTWcv@_FU9K{aNYO&+zX!N^XKlqzhx*Vo&KFp{#Z`>>74WnIq9$Dr0f2<38(vc zt@453H?v=PJz0;1G@pBB*Qxs8A3mR?|J?7sPPI0wKVOfcUzu;Q@)?00U;lBO+4U2Q zx5@fRY{&D*G+sX$i14_)ub*I=V(SE+p0`fW0fFo~f#dOhiSfjETLa2?bKc{c{V@H? zbtT4!U7x1CFz%>_^+i3#&(Kc!9GUWcl6T(ia$KR^)3R&Vr?J}!De74VO1s9-VD}x8 z-ynJC-L7cklI+^`Y3y>oMY|iN$M_lSGVUnfFL~$PuGh;#B+$=xeHy!rL+aTiJ;uXs z;5!=L?gK77_lF$y1^?jO4Dq3!IvU5skAt$koEASN-rFnG^805Z)z~X#j2@;l~y>NcYcIo~I@z`PW2-@{=IGLZwPhXWD&U5J((PSL| zM)o@V*=u$}Kf-?ay}Isp`*5B1hD3c{;dXD2>=HNaiYD_Cw`=LJ+XFfJhkvKD8{)CU z&V{9YpC`H9yCS>qh924#P3Bo{*V1A4b{d?)ZivT@#sH*fcd5~I9=JZT%le{S(PZA} zb}b!t`TS`*yB-fesE7Lhtn~Z&yOs@jk!<+<<78t{Z{8_ZLo2;vdGB^c>at zN~2Htc1VxQ|Gwn8FP#15K3$)jr9G|NB=!zS4~m0dYs2mFc`of8(e*>Kw5Ro;#Gap5 z#QAR49`~Qq-bvXxF-v<|XG-jyk{-^3v-Y~c(cU>-KU2{jwN3Y9oUy;K`|Sm7zs&Wm zg_-lQVFtfeJ0FyIbRJT}$IWxN%J{ewl<{m7@9ToaueD_*{^DG1>z3JGZwcuQ)))18 zyY%*QFH+gReMfObDdu}W|ABm*&)fG9u1=TlR%V+fpZ7=mbMg_-bosph^#0y)vYhmz z`44{Ncgf$9@uTrbXZySv=_U^U9I<~|f8(3z=;6oTJRj<{)7z=mH5-2`;}6VO4k`Vc zFG~I}^swLgA0>B5>AcZo{g_?YXZu~8u^;@|HWu*IYJMIo{f7E{XQ-DqwHTkNSH?fz zOZR#U`SG3}{vi(Mza7f;4CU#ctHRX@4)cr^9hTmj^b=aQZ7Q$Qze#(<74p9+oNtxE z$$G{0b&LzP7sF$tMqTmzYoXj|4g50 z{h#-bT>t0z!}b67gJ#$N{|Nk>k^ZNk+4X<&T>mHK`v1QK<@*2o;CHV7V-dvG|341O z^?y>X|C4h4pZCi*gDwDlr|4o(u(fxAvLW9B%6jAaKl5eR|NrdF z?@Ziw?|VT1#hu^&;d?>vK}X8c}R+DH3VT6y}m_1M0Kwfzr$ndy(LtbLhwI8Pv+6@j*mjgFdJ4V2~EJvA~&`SFSE zhHrSVm+NKicdoAWX8kbyg?r4-U0c?f+|CCE%>GxtaNnmX-#hw9Kk3?4wf?MKwqyDo zb`bvy+NVbMS-Hk0YExPLPpt19;`NRF6B|jle`VbO>8b~w_zLOjPd#k7KC>#|@^XDB zr(Ao-_}y2OYjDc&;xkm+$=5(xZe}C>*}K`6G_6vi8}|;m-wKfzF#>ts{Y6-q*6e z^6^a{C+L2eZ-JhB<;JlE6y!KgOlPO4ouQjzz6GJ*uM}4^_8aV1saNA(o4#w(uJ4+( z>$@iHdv{D&`!w-d^S&qFhjaiA$?iYmxRG7gWd4}Pe5(EdR4~_L@V!ynH!)h5T~Bc%p0zc2-jne4RU@{N3-L& zUq`d!xL*(bT5%kGMEI5<9_Q0G#z9mAiBoCxs*S|y%7u5#>$-T7=6cjuL(D4uf4Q#PLNR(YPE=kk0auRKva0sc1D z2dbe`JbgmA7ownL>S26rr;Q_ngLm>ipk4!Iy=T&S|HSk)QM!Ex5b4-L$9*@uEPjTk z_VB)>K3dzei}OLvPn*BH7v`tQxTWXLH;!g-vt7jdc-pbx{rc;jlPD&Btu0*t#(Hea zpP=32IaRlwE82NkxZb!Jq!F)H=7TG$pQ{qE1e(CzN^dkK!OTW>|8{X&Q z^HI*1xxR+t+Vd$r2ebVLNN?#uI`Pr|boj#fknT5G668wblintLEI;ve1%1i)@|KEM zzLp{I#J4P@H~EkAMfzhO{?dOGsNz5AZNkUuCqDXz{xcrW_c)&=uY4`H7{BP5BcQ~& zCR|^k`c3MYaYvjd@RvB*k57j)j00&sLkz#xhV%j_YqGWB^-Ou<>;ok(ha013_Q1-=_lrh-Y(1Z;C7K8=-%e1v#xNHn&Uqn{q}nRC21Pe`>lZIY2h&*czS}J&SN=vJT3-==Xgat(%Xb* zA@tBcCxX6YpO@BOJD&~dP5y!V@I!wnJST%(>H3o1COm8>#PeLxmwd!V_A@EoC|WL{Ug0icx+!9@H{U( z#sd%i#{ExWoWi;j{=vNItEwlz9$3iw&*xWg8-A@VjE7~IU$uj>|4_Qka~S{LA9mn6 z*X>4(E_#MM{lxt27mWul?Q2>d(mU)shqTivIqhpo<}q$>ak!q?>oPvIXZxIBZ;A96 z4}03rv^=Dz_LfRc`0Q^%$SpBVLw|fyeS04}0$j>B~cUYHzjV>^kIb zF+QDVcsbVuIoM^@;M`&3Q@b~Vr`@%do_*E1FA*&pfAexBq#d+p;%#x2)#AkuRv(!;o;e+~w@ZsSodA8%aG z;YiQZksjL*13gEi$M?thIN^GZMS4y|dbn;(Jja7v@*Oqr-(AnM;X3L))Yo&79^1bH zJtw8dc$CZgW!G~m(!)5-)_WIt;yE4Ux{Ze(?@wLN*>F9<^StqCy|X=0&$%GiZ9Md_ zAH;hc4=)7e{sHgbeEzKKExgKoBKPtiwmbFH#@5<@EztBn&H0#aM#Af_zX>?`OXrb8 z;8)@D~*8kb>bN&ApK)L?^$DrBu|L=k4`v3Pqv+Mul zx&BYe_5c3^l9l{|1Wlh_5c4HlmF6v;NQe7K@Gt)SH@W`*H~-|fzsdFgzI%F};QIf+SsK>=LGWu{{~sA0w)Ne?)nR>?Qg}Ym ze&-|W?!$A5_D_ECE=_YZ!!zV6S6sI>0Ah3zqKKZVAD>+Ak>EBsn^-Jks|<(J<7fbBC{zj2W3 z7VYcr-Ecc;clYh)_wlJw+fTCP_PzKd%Yyci@!M@b$*$qsZ9l@}!(l%awmt3T_0ijh zA16gJ*K^vDZ2OP~hbQbDmPu@oV*1Xh-FSwDeNsEZejyuZYx(;TR$$_n-H))^@ZV5( zT6R6TABOn+_@Px8_3<3~2I=v2N2g4t94YrDaK1}^A1LO*b;jL%tSf(3c>J6dx9=2Y zuEFtH@y~)12mLl5I6mh%umQiyy6oqK=Y@I3!|$2W-vbr#d|r57oo76o98XU*|7;Q- zUss>6`ucg{d1z%dJU=fy>yUpwo{xU;Vd0ARuZ8El z#rtR;7OweTw|^wXHLLw=j|kT|^swE7otJo`KGq}rHLLw=+C$^+MVL! zdjNId!qQcFT)Tzqm3hX6moeO5hO4I{t|{TtcQxm&zulAKvbbMaG4A&W7w<3Tvpw#O zaG@S6wa2}}wSS&*Jr?0YJyyc?m~cHe&$#vp*XD;-**-z+*R=6-&(xsp7u;MMwEd@L z`!n8`vOj!jo^d{&;9NN`I3E|zPTY9UXZ$^p;0*g<=jzWVgmdFOojzBbhiD{*MxIqo^c*wRfN&n4XPi&PID4P8`?m=I4Sub*kM}9zd~u#}em%yyYHm2cE}RRo@ohfi^BXbF zm2<=S4dGl@66cmZQ&w+-+ehtvh0$GG_?~5bbYzh0h4q~{Xppq*^XT7@eeOf%dvQ*Y zZ2d1OpFg|Yq;Tqe=DOZzuIqi~y54)P>%Hf?-dnBfz2v&yORnqv$hzJSuK$koo)-PC zX!HCe#B15|eMjZUlgDEvdk#U$a=x?mhNRqlo-;KzY45WPj@3rkp3CZ4oTq1E)anQB z#;^H&SEkZ#jO$&kH%*Ugx2=!BpSwdG?0PiB!4`YZ6n+o=($1+}7B}OyT{f?y{wnr= zIxf$oz0X}A8?^U1ll}5#{qyb|eG{W${#gU%{(UsJN;sF~=mpNLY!_R0)wWit$M@vu zbDUeDkb0}u=X)c)0}t$+m7e!SdhXx7{Q>I-YTF;+eQZ%Z)4TrMlJWm63c`8UHl=S7 z<#RI1@qU+dRMg9jX}|Te7jdV_{&r$A^iP8$ySR>5AKMw~6}K5-e5_64Rz>^_kD$I|!rs3}e>FbBc=PgCSJJ9Ph80-|G+4Nc?W7zZ-uS)E3oyRsQiwJ0GAv_4p*$L+dqA zmLJ`T^&jX?EFIm6jWg&@tp7lFVm!K&amxofn|Aua)`i#fXS)Xn@1VXrdI!1RrHNyX54;IQP@7HpL*QRZ8$-M?ZfpZxO)cg zG=G57ADABDm;byz)fd{^@0Y|qh8LUYmkFonfXpsvHhz5^cSX%cT8JvwC?rFa-6EzA7EO6AHH9Kzw8IrVM7{e zS$n@TM|&f(=l#M@NivYiSCyQT;O&pzV;p(>R&95#$7!tZHnroj?ZM;Qsp}(U ze0V%{$?y4b8$Y+H7PsGnJ^H0=9Pv3e<-MQYg8YnYA1C5*Hjdkc*!Nf^ZvSA8_EyN= zPkP+;38%-GQ^vy^DQ-QUE*Hja{2Z@Z-2M+0JBE3~{wMO};{Qg|?L)@nCs&e1k7U`>sr+tq3Z;0}j z9VdMr!{-LP-+2pOq~JI?GPcdmEg0Pu?n|e}?A){Z;G+}vd}p*aF*2L`(f_$(|Kxu3 z`DdT-m5uioWsmDaj6c`620Y8TS^9R5J!<#a<6FXg+~5;~7N5IogBG9kPnEc@NA)p` z+_eAWn!k?8-d550KG*vVpTGVM`C%;Q{wUAial2fYbHBH8weI&ov-iK=Z#xCtYvvu? zFUJ1r_k^!c<)Iw?8B?FvI^}idC*}Os`@ek}KboJn`hDD(J^w0w9~ZA@&9Z;~*TP%2 z{rWuD+wW4m$XaEd`+ur^RJG^raa~1w|Cj9LwZ{?Z`$=mr-cNdanG{ZsTc?aO#v9uO z<88j<*W+WM?B~uG2BLM#S;pgER*XkfFMc=Jb7+nymxME~|Dd$DWBTjgDn4c#kFQm< z_vf;g7mrKc8rsvaaQ>w9-*G%H6Hbpqr}XcSG9EoX&dcw)@z}IJUG2W>KdBsL<06j3 zWAabgIDB=Ead^6-y&o3D;frsOIK1>*<2LpDxG392)}FT$mXrPUmpej#H;xS?d`|x8mfbuLxn2XE zjlbHW@{Y*<7E$iIBp&C7#nT@gM|_^~E5fyVPgsWmKHHZunCy26=diLIGtJX^mG&sd z`n&}TCalj1rF;M4)b-I%^v_x07@4qh!0UrkVgJhTjXQ(qr*Irqn-lHV#yKX|>^_%45xE$^)=?Uda!I{CKHo!ax9l!e{M3e z#m;rFZ^4VBEKjnpt2R+--P+6bp&b2N=SlyEbMz0-ll~Ps`bXwT|IbAFhp}ORe#dLO zcHfHqw3XtL2g0%a1_;NRH(Ab`^-@*1dtQ-vAZ}PF_=uma2YUN)>T&<0YDXU5lfswRj`HGz__Fh_UkA@|r>s2B z#jGO#?E-oFiFn*!zV8yrmhSPNhcC|W`#{U)cR5n_Qzt>Il<%Kals{cj{<{_B&sCKF zmlfq-s3`xx70Ac+=j*!2R;vD8j+FKHuRyER-(OUezgi&g<$;*tS%3uMAxTYM)}k41of`wa~T|;i%$w>zaJFR@tN0Y)A3o_Y18k> zNxw5E{UbT)YjV;*+MI6hMfKEr@hQS<@LPIsPCCjP$*;2asypJ(du5&-@I`^}#b3f}k_p`2Z zKkGX8v#xVL>;7C$=YH08?q^-+ekS9Bf9L5>7l--f|1Qe@k^Oj??>)7*HGl8v1six; z8%}wjhBw(7{7bqAf2aH26OL81>+M)D&V1h~$%k;a>*0A+dj2=n|7V;Rj_oT(fAy^) z{~GYvN7t0!^*GJTkL>uR-<#q(EA-`lZ_4o>2y(gKo8o<4)*r^g4hQb=9f$APb^opn zaq>KMJeKA4-0w{t!*$|AHBxQ`2!82zfZi4O2Irmh%Mwu9gS$(84@-LC&!>Bb|CL;4kq}pb9?eZNkU;CBB}ZFZX*>mxJBp zJ5ylsOa0d!_{LRG;#&(^1>YLU$Nr=LiLWnQ?=~L(TPI%mTKqc>8$x=M|9%GP^j{YO zhWIuHxzhD3y-ogOdn3O7pfCCE)F$!D*Mg7IS^W-#^d@{brW8MX?@ahM2e~GEdF?}b zf$w{!$J+;=XA|F0(3gCNYDB#9wG0X0j*#AjkMTi#lfpM1GUPkNj1)uD&@4g`Jn{kpFs{tk*)zLr~zU-Zl= z;XD+suTXuph#uwsTH-t>oQH#46HdlC<&0#g^dcP!knZ)&c|CC+0cAg9JaAqVo~J|l z3buFTOYqzh_{L@7IR<{ZcAJMsdYkaP8G4B4c+i)8zX~sHTRS)r()lfO?>H3o1CjTsi9{T5W z(3gC_YDoS$6VjXT+>CVM;dyQB$Ib@1(s-n|2@hUBFg)jizU2E=M}+73kluu6G17_W zr0|>%a;5P|ZxbHQSLmM?g1&C!QD5hT=R!zd0fJu|KeqfH{p3d(y50NOV-yVa8>X~Zxfyl=%IgJ4*HVsR&@nCotHy; z6P~3=C!RIJ^Gc8_U0>4Mga_NO49}IIuiJR|r(bwp4e3pILPBd}hwxmjh(~&x@Vs4k zUK1YUf#-nmu=_+kG~xLG21Q(DLkFRV?6L&5*|Lkna)4Ugy*X8@ErLnc%-)p4<4hNf4YRnc;M-1 z5B^!2gXe?7vnyfCOo`2+yV*JnTp5pDV&MP!W&xHsRs@8u4rv9^-+B2iUN^ z59Q$DI7d9(_sa2Nq#_>aZNkHOC-LkM9^-+hPk6?2@GKObA>o;4H|q^RvQZJn#$%&&eD-n6BZ6`LpmmR}qi&HsQGiT8ZbB@E8v~M}+5eNN<{NaNH%H zQ^Ip5$dw*1q_+tV=bglJR(OmDp7X+UE(Z_iZNzg~c%H9_M|zv^+$uchg~xc{!AJkB zKYSqv59dk5(-q|GJIhtZcj;}yvs8Fq6dvP&XN~Y&%)!HXB=HOg&r3nBbbU#06P^zU z&n4k89(WY5M|I9-Bh0@SJ#(AnMRyVvaJ6v!XvcSOUH)+-@Od%kQ@H-?>K|GxUwg}O z-p4G&cPGQR(>8Wc<-e?a`ff;4KK6Gv)D|@W( zmUn-sJdGo&hi4<|xSVQ#M&o(OVfu<+YvTvz??umi5FFb}2Mln&XFU8*|KN*8{5%YP ztw(h}T2c?34>Ow0rSiqx|C5`u~Jg-UqO{j3MkD288;2v_FoS%Ka*0O=)G4`{v+VE>_`BI=q z?Rt9);+Ma4ogoOOykB=*e}DwW2j`>EpXf6l`u?e|bN?q;aPdk5$%3@AkY4d{>a9+vB;O=VNImZhq%7Let`WY`w!#Mf1HfU^_ z^%3S@>3E}a3X}1sNBKmP@rLsfjyEpv;|-td0)H~@TRx08oL6zY3Ggi+T8@w`sh&Q}_-FpYhkn zo8&%+wK?7R3U}exdQ|;(C(dzsB(`^>n=Hl6>BH zvnG-+8gB+7`MmMwnEKmzyb0;eW+;@$*5$L~SLWb2OYd)VE^sp5^eLZcGTz*bbdEPJ@8b<_$M8$oxaZ$f(Wcym$mem!rzS!VXJ zzbVpRG~T3mt{rdIhj?vyIq2&)eunYpl^~Zp-t-CI72zv8-bioLc=IXYdsX<1zdqiS z9&gldHwIKMWyhOw$;ac(eX5Uhst@B)9~=j=_cuBhIT>#@D4%FD-f%w)#~YXT@kVx& z=V_J?m-F?mK<&AHcD-P+rKkPjYRTvIpBp0ie#!3$w88t*?E1w} zB)?DcdFvO)BKZ^2>(7%;Dt|iy2J`O~2uu9ZeX9PP|D5u1e<%VfXt#aNidD0hjlF0L!cRrSne9hkk(TT#X_|K{}r{gM2Do)!;xF1k^YMhiG%Ju_iB_H<#_pAIJD!=h4 zKig-vA2_f4myybK;-3Sh-$Zv(n*BESL$DukdG80fzaiTXSU&Uv+&{>Efca?e#h(h} z+htJNxjrA5dHzt;573X<`=cxJZ(cu8#{zCvzQg!>XI?+h70DO%1AUQvUO%up#A9wh zup!{G_cN-@2c);DA9w)K$9P_=c3}KZa6h2-)EJT<%Ju_Ol8^g=ewBZj%5OZ%&-R(^ z2XwA_G9UP?@`>&=ar6V+hs%Dz<-H%^yeQibSU&Uv+$YO^fca?eaKL$eJ}^)7ffMG> zbUtuW{>|$L&PDR)C7;(1T#V$4`hlyFd|p4Wv7jHQb%gmX-=C_|4@hrQKkzw3ANzq# zY6r&u1os1KPmRU$L)m^{h2&98{L=lMpI7<&RDR=8ezwnSKcMqnlYU^6@`)z>Ko`>4 z54gPd17W;R?+Yv+`hi;@zZU%f^U+>kz?t*|{o?ltKg-W~uRlMVwDdI24@&-o%HjKYN!brg_xx&GMfvfH^1CX^yMO(;o6|h}`zq=`AbGe0 zzt*Pb4W91rb@=l-jc<)Z%Fm6Y?9ZHfKBxY??zH4M?qtX1FMwnH4XFN%NB!Mma_Ks; z&V5eC^fpEoXFwd-j= zU&96`w!6G>d9htj<%`DUWs!W*xV#~fzdkNsJ1!4I{<%Ib&pZ#gJ}%GfpEt_5yg&4> zx#RMXwTpV=K#*%14>cW>er3GJ}x);)&1z>>}ow^;TJ}w`YJgN!5^nRK5ovgn})t~XGzgtZ%9hY^Ed@?S7QTarZad|1yIWD`r zkIUiyI~|uTAI9YmK%V0=^Ko205pX8s@+t9o>`Py;0C-Tqrae3x(=lZxjvwz+wH023GA@5v`9za(ndd-oTy}XMm&1BhIxbs2jLX=TQ9IV!_Av9&-oAh{8J7=< z&l{Id+Vyl?J|+3Qart~KujkTv&#^B?@!;PP8-IOV{*jH#8s8erRF6I$JGJ3$ znsIrph?ZsN69{MyFa@z3k8ck(zr{90RX!rzM+ zhm-Le8{+s}-S?#p;X3t;Cgb-qq;venFos_`UJrredr(Z}k8-r@=Q|mTKW@*@DRO&T zaEObOkR2 za9^CCTjX+neo-vv=M`;5{+Zsdvg>nrziK$>Pu{OGKJ$Lnh^{+cf3M2YA?M}#D&$x` zKbOemeZPKOK0lAh<@{WtSkBKOaydVbD3vANH5o_%S)e&+SNM{7{bilXC_XKjD4U;{M0wisI*CSeYq) z?D`zy=aHa4i67%L@iVULj@RSI(r1VtmoJJRmn(`Nmn(`Nmn$1THB>a$Q@cbxept`h z`0@9GJ$@d=RmP8K5jY-Y+YTAD1hN9|D^x ze(d@j;%6f0PvXb;O#Dphy5sfuvGf_@$K{LS$K{IR$K{IR$K}e#Px4-F6hExzZ2b6p zejYy@uNgn0N&KutI^#$4zvMkYlSBNh!u2}hhjP^K?*aOL|EmS#m%sPta{m5bJWl)j zelC|+5B{E?%lZ3$u|9vl&)?hg{rCQ!oxeZl`(IhktpEJ|DEHqkT&4d+6aTG7I{k;{ zgkReJOb-6L1F7^M<*47^pK||&_c`+0pZm|{iu~ttMgDWSs{Zr$ll;9S_aDod^`F02 z;{Mx>tMs2};=enQPXDp~vi>tU`0pb~rT-{L{r=vG`!DQ+FZQ3y75UHQiu~ttRsHAh zb@=-l?mw0@>p$O*@BW*@Rr*gf@gLv&r2ll^m9$@zga1B?>+~PxsNeVNyZ`#^{;t@6 zE?49~mn-t0%T@KC?}zt&?(RR9GwZ)K9oOD(?!i_1Pc-r0T}Y?@v=1!tpUJ_0AH(&< z@E_%=*TY%f7zq&u9I)>yo#H@!t3Q`aWLYpX>W>{W)aVH`dWO9`YsU;QD>n zDd}^$vpI4XBDt4xQ*Z0Y~obQ*7<@~v#%lSUpSkCvwx}5Ki zjpckltjqbn*jUc@!MfbZw}&{1<$V9E%lSUoSkCvox}5KSjph6~q09Nc*I2H$D8!4) z`F__}&iA*vobPju>UU=-w{&;VRpOXwokFkj{3Yb%3qHly7ty-_dY;zW|>0c;7=k#zW8kkiI;mr}mag&aOl5 z9QdrgW#Kx?7wq*IpW6FH@U*ug$aNbJJx_-8xsQS<5PQI z15bNvgIu@q&~qT9FAwRdy>*ha>yYcf4RzMuhHyQx*Kd4kkEN!)jX|#4cXZiLAxo+dB zC!{YA>1p{`F50u}kh{hB^!vr$4tX7NunRzF7e|aw%lS9K)9&FQ*KIuX@jFM^cz8No zPxKs*^!&2)9Frd7p{E8QSv@Dh^+eA}<5N6;3q0{W8|1o;hn}8D&vTKU(~%yQhk8y) zkMYnm6zMq=={aY7isx^Gr=GJxuG@I%ITGo4K3q@A^+KfQ??}&i=`kL9_&hx8p9_he zr|@5Ej7!N%MB0UV_5YM4p;kz*@o?n%o_8`}7 zJoKE3^el|@bQ+)PVZ5_k>=)V2jE9~}k)Fkoo~}sGx1?u@^cW94{#{g58-A@vmqvP4 zM0!vGhG&`d7!N&t?bp^7PmIF&=vS`p2qXITq>p`_l8Y^cW94T??-D&+$mlvyq+?(sM$3jE5fo z{-fhL8RG=oJ^PKb;4?X_fM%QyXTu=J3v&N_G;=hufGty%`^!WD{UC+5l&-qBt zKa`&5rN?;a@$V$Mo);oLFGhNvm7WXIV?6Zu_YGao#YoSkNY6i#o|mM@c)E|JiVJ zh!g)#l*X~-y?(&NFWpanQTaA0-#GLU?WqpYz@x<|)@x=S_Y&@A9;%OAAj3>%bzwf*2SG{@rb3b{#`*-?;`zYtfp*$g; zrj(z0*?xZu)W)?S*KK@>c-k+$9#01&z0XPS0qHd!dXK4|Jf76A7RE&!PtseMFOH{4 z;eH7CX6k32on<_EJ$XEhRE#Iqdp4fpeR#i(tE?~4B%Zb*o$+)NvuFAzlS4dhM=Ilq za@6np@O=MQ*?2l8|9SuPTvYG>HMA4oN!7dYCE_Xmj>y?a@9#+O8R<11dQV01r17;d zF5-BS-okuwJgJ<8{nOc5#*^2R$J1oRcw)V0<0;;kcS?R0P2y<>(iub1Ek06!by`~)X`#!y^iU;qX^3HFB7=Eos+hKs?o!9$9p}N%Nj{aQ$)3+U=&x)&#QXhz z4_8@E(WD;6kZl&pM)hz8c32N*(wq1*uO56~ zq}RiV$X-!B&|leli1#1fx)n&U&C6_51$AzKZp*F{+16 zQ9b-~XlFg}IV;ljJL^2l^{p5Ai<6KTtVE zlX}>Nbk@TXX3w+-lS4i1Mk?nUl%xK>ju4-|f6V(OKc~;{hx{Bw;XWFlTR0xo!&zu& zJ?v0D7>|1BQaubU3ePLz{yMK7dX&%4GmQI}ynKDiH!gc|d&tYzuY7*KVO)QC`G%Cw z{ipIL^`4i{>tUa8#?J?e;)V5^jhA?T-yf_IByg>uyI z`}-zU-(}+^K2Iy0pOwxh{spu%UJfW;j7PkT%b!)_Wxw)OjhDm9S2bSvVLm+9qi}8q;=Tr|>OS~WKd$`K_5KZD`AJQ2w?`8H(yqFx~d59 zUw@PGrT3Y%Z_iUi1JC2A+SJ9@G1Ql+SyaPP~8D1X>%bW{H=NYo@~+ z=BFJE&f|#N=c{H8xI%i0-_v6n<2_;mt&NY*67Rwsyx~5-qp_byKE)T(Q{KxF(>Q*gHi6d0=q&N_IqP)oZQRm38hyeW_!M7A zPx%<9^D*9U*@f1|#4PddGhEI7-EZlL2jLBTiZ7(6yyJ^$j5l<6t&PWLiT6Sd-WP@U zlxW~nd?7vMW1P;%c*DTZ+W5vS@xEkun*Dpp(mNVwMFXGW3+X8jG5m_hi(``e+q1-b z8TqG+-&ZWXqj6p|@F~8Kp7I7`2E1)=rkzFIl56R}fX{w?SxA3oam4pWyswA3ysu|C z@6V&1@6deDd4E3Vygw&$-k(=E@Asq5`~8OVKA&`+<>L7L3A7*9+po>CK3+xc>FVRE zrFS&KIym-u7@zvz*T<2JUvu1edK~Zf2=70bCEgzEFPh`s`{@z&CvpEA(o_77FQzfx zdxiJ6W{G!g4qor4CxtiepF?_eyz7Pc_hyNALk`}gpBCP@f8KBD4**$?=wEL{+Nl}necG-W??#Srg3ECa4?VV^X;os+^|CH!yQIJx0 zy}YnHOuOT|%9Zzw^q&lf>|c-_-aoLuFGhC3&RA~k>&n<49;bb{9ZOin<$p=~FNwY^ z3R0^4m_Fmznz27=@do#oinq(M^NQ#dQIJyhJGASu9>4<__Kvfrya|dPjkm%vr;ux44jw7=BwCJ%};|TRKTYnsv z-4mkER>YCCTinR99-agc(yHzDr0hN?da5Fhw|f6EwsW_|#goI|PLkajrO4&JM># z*?md$Qbin{s+TQ$rmP+Yw~yL=*yye;Q>>5Ck-;(2ox7*3em;yF#Oa>@@HrFvFFrRW zbv}>MtjkfK%PsUcX&UcBd=%N|b86Q+6V0>l^Yz_>gLkmpck~YSl45?-TV_7DO!n7^ z^1UkJaC<%C^X9L9zm(YT8N8GBYoKNN@paO_L6q;SW$inTJo~Bt<8i*6|G6$Oli$6b z9S8Yr{m<3^L$W_9%J;Ui<=-Jbul#fMKi}`7y#t~LBl}+NJo~Bt?4{t*3rMU?LkRq?++uW6dkYMxTm|6i58JimK-p8q}mR=3~RSF7C!ZG0o< z#@72lTgA7Dwu{~X%KdH&KtBa~Bj|F_I_UdB-vs(a&^Lo_1-%Irr1n1X3qc&l&M%pp@?ZYkgEsH`@@H1Bru>(_^eKB@ zvSsJcC&=G<|MsnDnFj{eFyB|caNnm%dq*GXCtbU$*3W$XvU{uS!VcmX_f@0& ztXyLg*Ou#v^}R#9zOjG8=KI^fvd+r2>VYS|!t~Xjdf0G%W>vuD`aRzB^t1j@{slfy zt6F{w1mtJ^v;H33ve*2#ZDQA>#MRrq_c79K-FtVCPSy5ehz#`wd2aJ%zVH4=G#sqx zEc~lLTYf11FU7wq{?En#wfO%>Jl8Fe|0e0V=|70SBKq$|rT3=45dVtkzY~?6oBpl% z%cB2ARCaIrf%un2|FtOBHHl*rBC-o{&C;=dV!LkvC7(yJf1v&W4T7^|hiTp0UXPW!pc;N7~`O5B5J!k$g@6<8m8>XI&V# z>w~LnD;b9x#}i)Te7zRN`MSoPdM%8*Nx%1m^nFcqYH)HF#*zB)Zd>=MYu%?Fr)ym% zo6hmFuJwzibgie@J`}{@O+_Sc^|BZXP~10vG`gE{}(Ij|5C;BeyL*pZm#J6 zFIOz@P(}S)E0z~Gvz7cmQqljoS*xUfTSfibE0%YA#roY*(f_zPuT);XhhC-q?yOi| zEQ(apKUvZLU#+NrS4I81E0%Y6#rnm=ol5?}qNB;D^UuL8ocHY--huQ5bv>V`>-j`o z&nN17K2g{6i8{w$&I7N8`}rI3FejP!GahW8bY_3Ff2Y{8VZStrS=)g35dQ@J;d>8I zgXaRREeB1Ywc*tFQ!8JC?@@Cfwd^*23P8wneuMmtcHkgyEcm6UFY>n_ffSdClb`(s zUk{J9GW)jy2fxyVT2l-+cZ6 zeci_6eOTOv8Xi7hnhsC!b8Dk7$QiCGc%-)p5A_nyl3=&nc;Fcbb~?L4dXs;=+&h9? zV`-2p?H}oF!h03fZsURHLa@`hE~Gc%(LTV&r6AYX z5adeNm-IH_VL6FsW6;-aJn&o%b~^h*dJ~>H(pg`;k!O8v3Ua0KNN*D!mXmk}g1&C! zfu}3j>D(OBoA7wQ(IY%VL9R3&>21QpauUx-(ARA|@Tff>)pLO4yj$X+yy#A___elh z9}C~-clpPW!2X2o1J{#te=Hx~@8^D#PQ3pe_P?}^ZB+R$D_;k$)9;LD@5i$J8j^XX z@qH+yoweYq$g{jv=G8Lax4ll%sE7jy|nJrAX4(Vfi2eAK%J`Qr9$ayqXA*B>_V8U9c{i%U4{ zyEe<9{}x;&4z_#LbEo5EeTDjc3jeh>*gx>S)6j1%dS)Sb;wEKW8IQQ?0iV^=8LlV& z;1c6gJuD~nEDmzr#zPPL>#Uxxa6Qqp%=lCf`!(uW8sxf-hn@rAvwBv9>xrJ##;1DN zE~tm)V7ZKko|E9Sde(&NiJm^=Q$6$-^{}6$9^;|s0{E<+b>VuVXQT0{p0|Ogo((~+ z+j!`?3O=i+KU`1r(0|$b;yNSsYzlJS#zT*fgI@2OBR!$MQ#^~LXGnUChaS%#$2aSr cc14r;emBzDF5>t$ImLGu;+t}eUmvgjf1T5xpa1{> literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qtl b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_lib1_0.qtl new file mode 100644 index 0000000000000000000000000000000000000000..079cea0db7dcb9b4d565b408381fba926a104b5f GIT binary patch literal 129270 zcmeEv2S8NExA&dB%kBb8@71NKh)A!B2#7US>;{7hC@2v{>}c$uVvS;ry;lsz9yPJ| zUSo|Vme^uTjLLV;+_|v3f?)FA_y6Ae?#6KToS8Z2%x~t-y*u~J497_y2>}TK2>}TK z2>}TK2>}TK34sbDAj@w`IIgJ3Y7Q28S7enRN6X6c8}S_XcabVzP$i!!P+2}?hmVZO zKc=aWT2y5CQF=O+o98<-D)6Fy8IzarkPSW`>(}?U9?KX15B<%8@}>DZkjl;TeHaz^ z68{8w2~XYlguf;<1E2PxsHjM#;K#oQsy5)qGCYZlrpu=N0rkLof63|t*@}?g15#`! zcc3FVLXgVj#2kpu$B}Y;8r2VW6qJ|o$tnFa2jc$4S6(= zJPMGi8AU^4K5Z)Ihh$nFsaPH)Xg3M%rf2p7dHHtjS$XUys7J#n5FPtv*;Qgj!ESG~ zmyyZ!<||;=i|NO9MZ5lt0;!N)fD=A4zI(4^$X|Xv!%x!CnZ(L9$wd2 zuZD~^(X#_AO)m>q+CiXx{d)E6MR6TavG#1i^tNWSJ)=M>R8K?92zCbyNK8h%(2Y}& zLN`t!6~H-x+3Cz^GNV8$WZxJw>ex?8NBhv7(<{=iQkk7}Mtd^~q(b(MFr$wB-t;<# zZe5}47{@nkcO1WvW-|(;LiSxSBiK(E7Sjal-MU_U4AR7yR&hw1C&p2}bxgo8E4%Cv9(l5%iWnuFPWg=P|mFQ6Lra>$BTCt!qE@ zt6O4*(B42R(%ws%KPwqstLGoE)Zenkqkr7q|Ipt8=FcWZw=xQ(Lbz76y}`Y1h$p~U zq4wU%?C)W8pPoO!eiFxEd4tXzr=*V`1gHn(w*lH6sD{b$y6l^kiS4XP%IcSiGzHS( zIYO1%B@?fARbtn4?5C=vo*W0`qAESD7mm}a#Kh1rq>!D1exYK|A;sm{xUOR3dT~Cj z^5J^r@p(eUo+nf#<>|Prf^k{jeuQ3md@fMIa{MQDZu0}0d& z35d`H2c~F-4AEo-1`gE>(FBIWUw=(#*wCSxpn&4Upe~pgj9DNt91??*ixWdaF)<`r zNQ{6)_=mXI3lD+#Rr*K>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#> zNC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>NC-#>{09)|o0u2^kyY}*;KWd*9MFnH z0?Hx84MhFA3ig-( z>iRcf_FFL8no-f7NI_g`{S7b!)IY6P-z<9F0mbXCyn451cEtLXMNxZc^`;jf*oA&i zulK;jP{>z)gmN;oo60DJ(HGaNBG*`3Acynk8WpbFM^;eV*UYnj~wMmK$Cef1--A%K}iV0VV7 z;EF_G$E73%!gZ%g4Azf)4hTkhAn3zLZHRR4fP4_+JHQv+NNpj%m=41!Ue8#r9#UXS z%@1a&{(1q$5!Ez5uvA_W$t~y)Vec4z6l+I@cBXoD|@J(ep!h$;y>Y|b_k;VQS>{ZL*QqX6Z>C62l_l$VS8e~ zO6WkJgUT$Y7ug?D9G@T14de3zLuh<{00i+5g)sl()F2x7AA~Xd{+fW`AV>`=iR}*# z!?M8`{*S4_kQ(A&TsDL*3t*A|0l^`V8X8ob8j33dG-3X%Y$&9Lg%+oVqg)d%+QkJ2 z;U%jPB7!hAB7&906$cSX#bvwD6$f3CSSl_#=+dn?wJVm=bj4bO&msPhnp9HXWLn>3 zR-=#rNKGlhDurUzjd>Mc>g0;$nMev>057QA*_y;`^S9?ky2>}TK2>}TK2>}TK2>}TK2>}TK2>}TK z34#Az2;i<#8jkPbM61Q8HGw%p*tN?#75Jhe^ZY;I**Kz&Byx1{#2I!>@xI6 z>**Kz^T+zdeqNS-{axVxfR}EZ0o$$Z6T89rKto`^#&>jx_a5q})tt&eaW^v!Cy7U7w;Bbz4bo6Gb)(etY+ zAR<=uNve=eMn2whxnmBO5l&U zo8D{CV*~9Z$YrDn>lebVNhSH)GkG$jnEwM@cMQM$&A^vjKQL*@^0D-3&#AwBejV^1 z^VvXt^p{etc6I}~9NPI8xG*sv^62+M*jJEn@|nW*Vl{{9%VjhtThA|H&Q$i1U%7gI zJ=62c7ObIPlxq3q0dj4>kT1i!dc)g*W zg?fHI)$|^@yxpxVkWv2$@Lp%0*em>Ik(^=3*DAg+O%gT%W2YK|9mCt1~2koF7V|&m) z*4}0IAKFi#|ImH|{fCZ+(0^X)^&gCtL4AHqKPB*|A(LY)38tg`Q~jqi%O|$e1HJlV zf5&#BRIC2@u1>q1kjHwi?4sL$n7&*_b1>#Z+b>|@^YQpIP|vUXdVaY=DfEj{Ex+(x zptfJgm*yAKm&<4l#%7>jl^}O%{fEl6?1Vx-v@=D|&)@X?)Cl?rrCR0jT{V^$`w#Ny zCo7-JXb##zImS|GwRf5QhxQZbKeXRK|DpFk=sz#?`VYn`puTXXpAz`9hslpIitJ{bMF{Gls_R#MzX0*KBku^*Ywg>9N=TcFxHZ9j) z1?wl=4@-6>4*rMsSd2=!^~3&w^$@O416A}twO7IV#WDR28Ex`U?FlhH<<_qS)8CrW z_W#r#-0&*WUlN%9&WtAiLwjiq&+=j&Q<;7kMre$4SroF-cE)t=m|p#uG`#QQuAm)& zYR9CN#GWN~!Pub8q*TzSP-)5gBcGa_NNGw^BF3n~ZlV-7RaRnex|?WHU)>lpG4@P9 z9jXuW5ek(UYo?X16GJA(mg%R%s%E8!6RDRd1lYq|n_zAHy99~;X;Tmv%eBWhT-kt;&f<8NY0o#2EQmGqGikPwg%kPwg%kPwg%kPwg%kPwg%kPwg% z_#ch{o(Q9nPH>tdo#2GKm`Nu%;pL1cP~lF^cv2PalBInDl^|E;mvzEYHY-PfLdoJ2 zuA*UUecWLmrKsN%XleQddD;4<6Pyr1j;l-metaU7bb=ECBa=>W0u)L+0Yf^$iT00= zPTG=AaKe-Ac>luuPH@6^{3R#bNhdf7Cq+spIMH#U_+&)s1Ses<(Elr);Do;$ z3{++9Dysp&Fop=9_mO%r3WV|^g^-|PUOT1>_S2EyM{`uU}*-RC~)sW#@ayAlO z$t34sMH8%KDtA^(I-5zr8PA%-{)^EEND)?TT2{ZtSsCotADtm2oy|n?lg?)9+7HLO zZiyKQNI9Stxh|Ko{1-zH zY$ohC(nmr-LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5LO?=5 zLO?=5LO?=5LO?=5LO?=5LO?=5Lg0Tq0@9&NiFinYJTN#hl!iD0tw@NYGsN6sh^>ZE zPe!prR3!Aa8l5)ETKMFfg3UMhpWGX07yMHEh2pEREbm)?1h$cLAsAh=2CLlO$=uk~~MDejo z5kVl=L`1OEa7c|vDo&LSU4lL)eIx`V1SA9`1SA9`1SA9`1SA9`1SA9`1jC0s_rwOx%xj(>JDYO`zd9s=1M>&2H^!ys4=T|QCi&8DWa62CDJ=p2?JmA;LF1mg( zeYuS0r0e;`@c78DY(2jQ>-jZ~`9-OgUq*U?s;TQ2)0fL=PM)4$W#KhX&#ysx zevM~-QL5#aTF)=!OY@8A%VjiYt)5?H;k8rGuYr1gO<;aes^yodo?pn9*1wp(Tt;(_ z>G?&AmD0bi>iIQ5&#ye@7o}Q$nd|w5d})3$eYuS0{I2I$S$MtE^Q*s}UlW;Mlxq29 zspl8+=$Cm_-TuY&hK%V>^| zo?m6*6{+V}mY!denO~G@`DLr;7xJa~#q{Men$twjFIudW{*|ETS6@B9rZB%K)$+?; z&oAWBFa7b0>C0s_Ctc64vhd2*^D9%&uc^#0O11oQ)bk5@^hLvFfZg)4ta8E1&sAsg_?th(<~O zLcX;A#q{Men&YGAS6O&P>iLzf=hsZ;7o}Q$Rnfx>`O@%W`f?e~X`<&BEmq3)n4srZ zPd&e8F~2C)^2UzBS3<*nxz@}>F3^yM;| zlc(nwEmjI%^Yr{m)AMT%^NUg~zkHZqS72LBd|pN#{bJ>F8O>SC^ruo__#Wa}DM!(c zf=?57n`EzY%iRU>-|ptgaNyai;BxKZA%+;LVb7>Dqd+PY`Xt(G4>-W9=W^|7m|jmt zz4Yt=OYNdw?GO@eIs6`y&PrkU#4*~CQHT&I#!bWzX?b``XQdSVe|=U8T)s3EfL+I` zti;}#`078YZ(@6-VkikaqevCtuLzZ!vj<3%kZ#3co!a2 z+F2=qVIi2*O}kLA@CH3NG#HYC*&B30Ux@JPJv1x;lHecs%H4>wr9eiZ@`{61>e?XFOj6|IN)zpRG?by$|4UQRvQe`Y;~e`Y=G z|7AV!;81L@-sRMz^JmsWm|Qc@uV{N{{$)LESUs}JsmDslr+s}~V*GB_&%E^fplSi1 z&aD5MF*^fUzG$GO_1}VW?D&^s2j(c@Bg@Y&$IjMr?1YzN$AZ}zUXGoG<=8n6ApNm<&Q4Mj)?z0z3X1Y>xiCQtlud;x#-UYJ-OK4SM}r#nO`^c5E07Ui z&QK~R`D3-pzbmrJcV+q5kLhWc5B)38PG3DcLzx{)!Ooy^?2N9Uond-*hA}&of}Qko z?BrF@&Nw|g-!MCrf}O;2?3`nEXf~~OsU+Z=|1Hzshv7XOGGe<>3i@McK^c7xE3I9w zLOSg?EZ;n)Pt?CuPyYzWhx#c6{juffzoVyrt)Bi(diqB){gi_Kx@G83X8rvxjN{lJ zQ}uZ9`Atmkt(Pu7Pl)N_eP86o`?JW4_fe4-?{^|E-XBC>98X1F9DhV!yk13KyiRC! zp`EugTz+D7I>S-U>S52?dlbWqQo!r)GVs#ZzfVv9PNrY2r++llPbuhsUqSsx_4My! z`mOc!k74>L1^sZUIeg0QuQVCb&*g*?uM z6{!^b`1e572K-p6hLaHySDa)*z0a6mxXuOnJs`#YnFAF4Kq`|HVHkw(;wO}T;nS#o zu=5Ven`qggJjnI8$ZrbeaEttRKs7*74Niu{r6kcf_rzd2X{GWQ;NgWoa{a4$1RxC( zRzg|6ip*&+iFEO3g zFNEQc&>^VQbp#q#UR(zQdWCXqT}(oUz)zPG4){fXgmO@ET>nzmx`kqUV*7~Ypdvy! zx_l2d@vIgbFgJRm3-rYJOlVcKayfx_Z|zyM4O4$@8w z7UoI<{KGLVq?>kHs4yiG5rRo!T_7o0G#BnqRff`}@L-*!E^L>*BGz^s{=(yljU8tSU*yD=qsMR&JM@|-_Ff!uz#q?Y z;>f8mFL4r5oFT;p$2mE1OI14#r!{!uWyjgmFV*ZgoUq}Ew;hL1wmhkB$Kg~IPkih+9J_f^!;W*I zUwrL2SNg@zj;ln!_}jsli4F#ss?jzYiMkG)H#HHbYoea6iTb)G8t9s6sB5B;u8GDDTy<*V3tbaUbWJqXHPKAh zL~~seEp$z^bbwzq2vodiq6TGKnW`b01@IKu)}j(*dD6y#gLlPHs;zFRcDkk7>z3-^ zz`@`Mr8?@C`ck)4f^Ml!4jc@{Q0gn)Qi-~yI_s9|;=tjGN;&Cjs)joSR0QtVz$Tfh z@y;i*$);+&afxh-sT%KBBHPVW4R_gMw!5i1LW@l`RYL$6OXW$L16K=JIq9Kmv8S%Z zbX|*I>ssukYcWIDVsBlGeH^$*YB5vSVqaa0S-KYc>00csYjJ?C#eupO2RU%Hsl~y% z7Ki9s%+|FyRM+A#U5nr7S{$xxafAaGMJK4(nu`ka0XG$H9+G5HjXL#);81<0MXn<35=zWSqjuad0G4g^bf6s*rU;hwf(!}&2CN1y$1WZR7gzVP;F*2b>=2A{8*yBbn7l8t-J1!Aj@|LupK!mYpW)M;PtJ6i{Z02Z=L5U8c(Uv9mDRiR z`>g2ya<#kN#i@tFf19y=?BQl1Z|8pd^zmQohh^AIwI6P@I{uqmbDqWSy!zE&wt__nvWUNSm!Pw}X0LH;^_vW$ycRwCL(A@GJ~BZdF*#xb70Sf}mBT z>NZl6J9L%Imu!>$LqX6gS#?&r%&)rY-3n@wwXzzromwV8Pv#}tO=>zW@^*|}TFW+m zOLg1W)v{K*W$p*-I4tsWh+P^9LZ4z`xZ@%($XOeNHHw81j*F^+FbageqOfHFZ8Q~U zZcavWIA}wZ7)Lzd4}M5pcmO1~<#@lu4;f8D&50YiDKi}K9esM-Kk>w!@O1b)dBfJz zkuPk*-tXGiZfxaUzuxJvd)wP0{QvMqT6T5^!ugHsU@t2w;+0p0AG|a{_=A_d2|QW4 zsEC#nzhcTtCH!p|yl^znUF^f9JeMo)oK_ZW=-SbMJjs!*K?6WX=g&Si8{DQ_3aCcJ3(2bb~MP(mnp_y5xbVT6j5?uc`5n0sI&u)n z`qhdYc%yv%!HVoXp*#)Ju#rPZ);$|?pvd6$6C1MkiNU#RM3P6@kb5Bpn+MyFx(5tS=aCCGBkPc?Uf$%u zQ}X(RE!n%?Wuh)lr z@vO4pi8IE(Z>m9VcQhQi)K^w>urlJ$FYj_EFI6_&Jkr?N+K=!~ma$cRW!r};!(UC= ztK|B=y!ZT@6-v(ip1(=|7lv@uC+@<#cR`U-T$9=clmqa$HLfWn&7aCL|qjs9L z97HaB82Nx?{R}nVB6~gTzq;mj|ET5xW!5~PVl}rdu6f|cHP`%Ksk!|>s(E0UH4m&< z&2JaiJm}+^yZv9Oxx+uIc~F@(52{$rpV68JLvIg(nj7i%b|utYR?^!;p|{Il4>Qrd z&f#h;BCnq~!swwEMvr-et{N)2T95nh?L1k|$wtAoS-V~a_u^QH;fd8ozsJF~x!rJN zGF+Q)#cMMJyft#R4ufmcG`4CuT$_Ap*XG+|ZzDeTR`nU)I#s~ih%&v6sF=6=ioLD* zvA2ew;jME8yscTLw>2x~?TKPFcdZGx+?zzkMUl2U3@&tcB%|J`TmB`E)ODcm8oV9`?S4XCa*v7@ z?tB#A)7Qj1O^hI=^(c|Xf|PbY7yzC>CVq{`P%TtRADB8>xS1@2X~;p&zDzNsGG zt443aJEe^8Nr}n6`ZBK_a+kqYx46VpwGH#Xl_!KYAfpn^V%JB@wr42APfr^=;M9>?p%ed-tgR$48)`%U}6wAd*Xi-lS+Vz*=J+Y5HK+)1CvHtm^1`T49dr3 zdod=B1x)7uM={a-BTO290+TPaFlk&dOePd#(nP>y!+#VLw||65lTTpMR11?P6~kmM zVDkJM?%-ns&>SOWCnaB0$xgOcZF1D2`BlPAsL2I& zH&%9f&lf$im+ie{a`dN-*W}!UIb2XxwX)Mt5IYFsLJ%*X!#Rg?qdE+^9TM-oByZWl z31c%J&O5T=O}((k?yirv)N7y9dg%8Bf9LJBb^YbpV`uw|HO_w*{paLm=|z8dO*}cL zpUL*LXp=t^*8T2vq0{CTZwKcrSo_9tZZ-3?D~ktj3<);9e=c!hmsXMUpEbOr=+b!G z-GC9lzk-*PS^d{En3i>7TKz?*!rY(AZieNodHYw4f97?27vH~d~5rqjejE6gij zDmY#}VYYSD@SlHJ;6MM$gSj{Ny!PDIx5wkl`#KbKat_SeJR+ur0WsXYAuMlA-P6q$ z`|iBZ_*mo@XHQwg?3=vq!Q_CGC5ANoBeek_jUE-~0oG>|W*5!$^@s~};wpsQeFJkqP zHvHesb0#D%HT`wi+mX$Vw=#=z7<>{e}ysXz1Km*W>Vb+;}!<+*BqU6-5@ba z@>Rtmg{$%&jvHc>AD&5!+ynbcY zm6lei_ZBVfIymL~z9+li_bU*}Qt5QD>9A-q$%Ddt;yZ`**YYHQVX=&C+$RoM!a*&MVxu_xSlr z^MBm>^Ja_8J2pjbJu^=ydepC)-8QyzJM;dvJRY2Ka}RI&cx7QG-?!(&bH2_ zw)#?!o;_vXt$5V*0=(Rg=GaSY!l;Zak|&j*L1n>ddGMqpmz zxFUsS<7B%0I4y|?Pv!H2n7vP^esOG4Z!AsG#DTw6DNZdY=X!@ zh40vK(@qRNL5g<7l@}0Hq_PA#^7xDa!D1=|hyaf`AO%9pf&L_XiJqP*yhJZguNBkl z59Q0ztF!4LZ6L5)o_=elzePFv#bAN*^w~0fz4i0~tAm3WWKfq#OcQLc*7f3JkS4~o!v3dfo)|~@)-l57iNkt#Nk%zrqNqVC)K?*FfKY*U zaAp1+`dokNH=%yCYM4laGwRl3v{iE&RPk^9s>J+)#?$G4Lj6km^+!0x#k58oV*H0u zKL8%uKLH-NP88+#OAWId`MGw>YM->O{m^c=#EgH`K5on(464(<4$H-%y!QE*ez`Nh zmVT~ZdhG*lbVGaqo(i>(2eW J?L>5RR8`8AZIb$4w>EBaWM5I<7Pq$62K1>A`^( z^@`&pu$2E?J%&t=_IQYTKUdHH)Oyi@3-M+_Q{nIqUi&^M;wrXNaXuEzh3OoW7xRg< zI3K*kM}6#m4)#2^;vNj>pBv5MoCn!E|m_s`DN2Hj)bp?97J^Wb-k|!_VD=$!a_091U@o?^=kq__LTh( z{1KiP`84cz5Nujk@XwsR0+bQaUz#o_)L&?qVt=vSu^pg#@R8wVk2Jp#t3S#yh}9Y> z)?4Jo{P;YM`LX?>zvk0)2)dMkb}^m~^=N>i9?E~hF2>zqeovNPjdr6^iu3%d!Qb?FQ6XEf5I;6#r!Z#(flGW+7++2DUgnK zX?iJkG28_8V0)ll%74Nx>c#w#EWgN$cCkFRZvp&8yEMHNyC#s1dZK}%UCMvLt|`kO z$MTE3XqVCa`;d-yX?iJk@w!914VfOwf5I+aXPCbU%P;bxUF=6#Ur)FY&@N3c#V*EB zqMjB&vA&f5gk8KJVE)!DzsQSr@w!2~FmFfg()3d7Vyq1eRaq zMZ4nlj*BL+zBIiQyBIQsdO9;bl>dZXyf0z?WR_p#MZ0+YV|@=XyEMHNyLewiJ*hyk zzLfujU3->4o#hvK(Jqd6X!lQMm!_9u7w?~Fw>MCb8rN478m)Lu=}Y`8S;i@$k7jL@B{rvJ7PPwf`JC@i0SBeX?{>K;Gj>xfdLK6 zi~5q8eYAs%JVd{fpaHe>4QKf@<>Z^k@_Ch!4<5TScnc$!vArpU{y;-c7(S&f-U`;!e@IXN zDLwt@C+epZ^gGcCmc7^}QcwRSJ^eTJ^kbYg>ZcU+<6<1d5C3ZWk9=t#^b7H;=wcgq zSf>40Y_B~~8rucu0nrbnr7gBWIokQ$#Wpw|;=CNkhCSroux}E|cd}10MYn${P}I#*2Pd7x*|ThesrqpHR7$|5YHL zw*NFAJUW!+KjugOgPH%Lzfa2Wm&tQHgmOJ7fj<;~XopvN?SOcreoEj^6K1Cde8>8t zeQXEHYt;k&#(D_pCGFr(^TWOx^drj`+X3^Vo%T#WKKj5TesMdjWbN>{jCwJ7jxUr$ zzl8FJ#E+LpHM$2vV#sE}p}7puAQ)px;;zA-$v>^dFVQcEJ2-Czt8h0H@s! z;v@Q_GU~(kT>eKSPA{IP?R5GO+xF%C-DQytVzO`Jf%j@*ne~|I?ZO zqQ4Kz@R!MR7{0~rK=ChSeR()&$4A~mpxTeTCF{!*`{S#V^zH&pNlMH@zHb(I1yo#j z-aeq32g-vZ1i84$+9%d;m%9GEJuG0SdcZN%FK*`c2|cB*L$4?L6DH^p&lUWHo>JGN z!={(j&>xTBP-r)WN<7c-6MA&lrKfq&^ZT$L(Dm%Sg>~uydOW};riY;&a9iZVkr&Ie zp9lfPi?cOZfw(jqRy@<^ecXZ$wxtV&p8|AUw@5Yo>?+oq^${OrRjR?2q>N64~1~iI4u@J7fVOrV)AZGt_k;te>63e zF2(K=pq&~DE4W!Ib+`-Gr?_lzfQEYQuL+|LbxGDv4Gh%KDuV&EOMlz!fnTD!i?Dxt zCM?3<06^jgt{?41*hbKrAdEI*i?EH@B5VjJL3wXGc%cGxxE%zV0G(pT86jP0M;Bqs z3ry8mevcad$WNK7VWNUDH%--wV&<8t8r2EeU_rJTl`_u4RE??`XKAWN1B|mWRU3;O zEYfzMi?j)`aioj1WyF>cJ0}Qb0pl>q4jVr}?H#a&xJp}#l@TYH4RC^&J(%Red7+<# zZWt{mm9$wI(GcS11mQ54)189=HpuBA==9WPWu!78Rh;O$ZaIv@URXnd&l^y%nl>vV z-ZD~MSadjCSadkzM57mrjn}WcP;2?ts($_>=k0N5v!YVamR9adj7Qz=8XKM6{fBdB zdUxsZ+xq82tIYI0)F*pQ=gZ#BY==Zo@*D0dAKz>Irg>9yjlw$|I9VlTZtb4uxAfiS z8`7-Z`g@5ndq?-by3DwS!8E(*!6)WgWG?C2xL2Je?_B*{yZ?6J&OEd1oo;hH40`Nx zfBK<$l?gZBx6G?#=4sQ`x6#5*yBl^&clfDmz2vLQzn+w6b@HdX#_n*3hAtzl!t;R* zaXwyz=gY^g@SscVV38eNapzW0xv-8wt3odYu9hqLFM=$qPFim9Xj$NK%6(B3zt@j% zS?Jz!BXYIndwu1_T%^I?8V1E&EyZ3R1?Aids6T9h8TK4(RQ6m6U#!fDE2&+wz|3L_ zt}nADHz5~(Zkm%Ye;N5*;J9bzq;?DWE5>Qy(x_vO{YnrJSjASag&gA}@Po+>AURr0 zwlF76TgV$}B{zrU9%8bkIcd{E-d8KRow=;+m2QcJ|BWl%=4-EXvz)zzWHunH#%^2< z5n#a-*i96E#9t@52`QQJ^I1~ zI9J4+8y0A2@KZb4$tAAw+^#J~1~Cb;lbc+#xm}Np4Px%d*orGPtg@1w+;f$#vNC~H zR;J3fZTNGGdp(wMV>^-T)du83cmBe412Sq7-_k`~X{CUbR7?yYN#tU5~N1DQe#1CtSeOuQZ-87pFX>VyO%*moUQ#mSF2~* zUhc)X{YvhkX>_a^N3NKesq%c3ZQA7Q<_2Z0%TaOn9IDPh)eJAy9VTozvO@XAscNBK!R%3?b$_Lfs0nxVwbemy&?Pufux+gS*k6fxYCrQ$|2Oo>$p zxN$OTu61?p2E-BvCmGG1Vmi6Y%f04La<;BKnIHc2ho92(7kt<(=8)y)Ec+? zqezR&eY1MaYdRw|B$!)pVdw5z@A)qtE;_O9W~f1a=+VxD+AOcZWp?Y;C!}4LXIM4) z{KYccL5W9h{M0fma8S;6)9<@hahWu(ui2nkp=MK~5>_p2dI9gzDYA5<(@6v1?{E2r z1%*w9H$4VTj~~3}aB_1Wc=CZk2cB??{N?^W^iCa3Inu(7W|DXIq4(+DSORuRF~<9< ze2O4kNQDKa#yCjI_XxsMRCv?W81J&OX9{YnN)xB14IixB{!_;%p1sHCom%y-=v?YO zyThA%EotJok(~Ui=uU#I-Gv$I>%9y+x4D#=aoFpC>Dl~&Df>eP-3#z~G%2gwD+|l` z^AA@Q`1*{vZxGe}`K@-Vw{qf~<1fqkb5__~?Xz*he$)3seWItlHv0A8&dD8H@&~6sDlFWTKV|EQLC&TbbMH4= z^LOu9?~^N!{^E8qspiE|zHfFoA4`}cyZNnWm|x@OmuhR;dA(lH_+pq4A7Soq-oji1 zUCx%Qk(y+aMRl@N<|o@^SzC5k<{tZltePVJd&>w#OIX`ocO8*=DdIO- zh70UkBJ(qdFSM*<0I6`x0{j4T_#dAoEJcgYVyvez%amVeWL+tEL>x0&Sv+1JmE4RSho^|lyoZ(lDlLB6jO zF}&8{sNtd-e8Mjln|)H9jv9w<8S+cw*3@6QY3oyNt9q@MZ@sss-`kn_Jr1l$UPZD^ z4d&dd)U)aib)2`N`c9i&Uv$}I{w=AqO1W}clvnUL(_zMnybX3o`nX%U|3+LNs&*`t z8-6>-#3(><%w?}3akrV(NH)&gQ8{{N(9d%D4teF3rmc)OZ;t=X=%~ruzptKKJH7f} zm!F(@(@<%+Va?v>XHSk=Ui0{z2fvMND_h=U{)|~Go16T$!sqW_ht?XDG5VD6piz~h zzMI~wi?7F_Zgqw(bM_o&v*6acuApCU+O)9%?7y(bkj6D+}@}YA1Z_ zgAcO8b{q7;mnYS{RK(kgrc`J9YxoEyYCwvwHBIqjdnNe`DFKiYXagxS5~QHWw>vO< z=#Iv!U2;BVKndK8Uo@6z*F#whc_X{jv>E)UPy;NNHM z?V7Wz>`$w?vQt#SvPyS)e7md3*S){2o!8}2&FyDBGJ54(M7+FC}eO*7?^7{VOq1!hiChmA#TRD7` zlU+*JgBSkvA3bwZy*@#c2ee8E?B=#5Eab64p92kj<9c{p_B!=*>faO|Hc$nVyZQr_ zkD~5c61#!8{YZ$nJigGPro7NCb~&kr-y`t*Y6!QH$8WI+M`jHneti5^Vo`?&ZXHa6 z@$uV>X7~qQ-5-+X{h0n5{#^bhukhJlllvG9e_F>hyC@)? zc)XSm_$h~rczL+7$+BRMH2!&wqq!$(6VuPwc<{}2%7FT^CSAXZGPypKAAc?Kl;a8<0D2*r1!U9RnEBa_`9JW)Bjx$@Yb z?eZ;}yysV+3yF12-J#mG-tBJJ>u%dm{i#qEzA@}IIBTb6Rw7^hsnhNueKy67cV4CZ z!_a8J{9Es>ee3h12fM_$&2TcvGH9yo-q5&4PP0_2Q_g(n^UmCjyrL-~iLI4~uBp#n zTYa#~)L;2Q0e&;)U$|Fi;UZI|Po~K3w}^TI|n{`fXHZOg3Bj#;$Xf=Ck>Ed430@-|@5lxZa}D*}3v|a-rhTj-%|UpxsD678gm(bsZYMl>bq+A0M7rW zVLD$gN}ZH#+3~u?%5=m1GsbXfKNC3zJ=| zt;ZEw&D&VdcG#+U-^oA3aHEwbvCo&-C0#atcRPDxp?A~q`&~DT@UdGtrQarx>*fssmkkREb8=N_MmrsAeoSPFFxI1id*Qy+n45@Nw4blYhm|k zZ@0GUacAiHjg|)Hx6ck<6w^<>YUqhqm0a>x+Pcp4^)y`^ZSnTC#n%(E$6YNn4Kisl zbloJsEujwG8!id_B?YtVtZiN95`bh_tKDd5R0%K_%u?#4HU z-5Fq3`_;7bY0dJ#TD5a*-EWrln7#2}2cg@`@|(i-A-;*gV1hWBAOt63FpH2+K2yvu zTuvVcmx$@9@C9a&i)ZFVc{-KDPNIwoyr^HsPFfmWowmok4=GP+jJKVYf9 zWsQITxV`_OzXi;nO^j}36i9_|t!R6*MRRh1u|n;=liAO5dzPb`JUlE{3LytHknb zTvxGio!(|JpH_MLCRVFFK2H=cb}lJT$7L0a%P`xbQ=aZ@u2o)VF?LCLIar$YDvO5bR0hPIZkJic1!Vu5GBqxqQKE8jM#F#AR4&danHuBoav5*1M82RSzHac$h5A5CV?jcVL7 zt?u1}`_*TA9*Ro45TU5q#bwN!@XQ27=TZ&KNp}w^i`~J0i)li4KI|70}oRH5l z>o>de5{nJ0d97E?J#@xxQ{@3idiFj1*Z96~UtPp;j4Pfbu0$q&H1}c%lf<k?{Z~NMziB2^7n?L74!N zf$!qi0Ql|(j5xcD*B+fztc|s)DK>{w9LKw)qeSvux!3u*XTBeNv!9VXy$%`m=0%dB zd~VF$|)&r=XdqzH~#kL#$1?ZP$QMvSU#X z8u^Y^OzLQL-;KAZxt~lus%*Bk_1LKyMqXVMPmHW?R?X|P?>NbdQ@PfUPkLU*P}5z} zrH7@fU)qQ1K_qjL;V|2dDZ>&CuV*Mm-?eOZI>YH@5*fV0=z0I8U(Y>dIBBY4BxmV6 zqPxowo{ZjLZ2i})Z=Z)4`5sh^?_ssbZMMz)JaVR`dYE(g{=X897Ijx-b+w9HfAZ1G zG?J+_>EC}#in5(i+xAXL z+Lv-Tr|0G0hgUxB{k5N6^-vr89V7)ee-nLJF8hpV0Nc|%Sj zn7pPSucdavMMH8D$>g;Kd6e1-=MChf4wFX<@))%fE}D^(SSGJ4$m7&b&QxBH$?FU9 z25KjKew34jOx{S4Ht)Ccg2|f*@}_F1N>tvA$(svucsZh>@|H{?U=m1An%}d!si*DboAytX@)OZh6KzYC!KWJuNa#sq;}?1I24jD zg0`;kq9LgYEtkxx@Vy2}5mLHAO82TXC6!a*n+=jCr1XH4o?bL1ol{|FAYTh9y&xr{ z8cpfVsjxecK0-<+r1bTsDOsGVI;Qj!Qu;&603Vt%kW*o>AsHm342F~;zBDD9Q~6=a zP$6X)qP1>9E<^#6WBPN&YO=DQpQ8dgdm!d z$I;j+GEqQw5=Vol$Yg6{~Eo6B_071()9=RAS^j_I5)unU;Z1<3Mb zp@WsMU;iSebCHm3G1IwNV3#nRO9XZ))45DwmouHqk>$w>2dhZx=Srq?rI2kE)457u zS2LZf1$GV7xmIA;F`es><;i*ntJ+lO2BvdE6lDuIHNFfc1p>Q~>D(x=-!moOBg>OQ z2dijWY7=xKPOvxUB{fR00Nl@~$gH>IsWG|$w|2dgIV zMNW<~i${fQ$C$-q0(+cUJT9;&n8gzUdy-i^DX^!Q#Zv-%npr%JEKkljST&^<&oYZ= zg>2`T#d89Co>@FEuosxc3j%wQS-dE)mzc#%0(+TRyo@YQt~gjVqZWT*7Jm`4U1b)p z3hXsz@tVM1XBMvu>Qa?`?g@#la{~x5BzRv^hNoYYt7eOe-pc>tLcx^?5>Ab+jf7= z>R%ttesgl0)w?4%Ykzxu!1_y#9|n6=9W*?C-=e&U3u+~LbUn2>VdU9nt`14A)5f`V zO}oGC(Bs9|=FTt3+}QH_fCP{-*GgCkvsv>gAs?xc>D-_G{zF7Nf# z{>nG*rfnXO5z)GPlWNVo`M2@7{UoMW_nnQOk(sr>%FA!L+~m4NW|vl-OgF`?d3|EH z^^3Td&1-a>SUcsQw@ND=cI3-d;WW!{{chm#>+4XUwyzV}D%`Ht%YSq9#oSD_`3* zEu22-#n3ZXPahipi%XOFDTnU9Yn1ucpy}cvn+tbrt@C~uT%9GTM z##0>BBZstId3(%+TfN7)oSC(x?&`{;XO2`I8sqRH+%4(?@)td6V|~qA-lA=jClP;L>T0^@yF&-=oT_Ip&kMQ`Za-?!qVeMgbRMLc z^r5zKzj{-@`)S+T#(e0#HvQJ$n_FYe)1=_PL#nupv)kI&W$URXePV6QcGcKx-92aM z-Ob_AXBBf+UOT$k(CYC%)t+kWmh|7&S=oP{Vpqn?i)KBOk{uP6M{3pSR%1rNfv0nC z)&6o+^|SQRm%T85DdUIe|7!D7m%K5LkilE>YBG4Gy`0p<@80+w-k8^t!5j1H$gG6j z7-aE3SopA%+L%%UnN@^D$>KL#_#y)@*6Yad#kwDI@M1ljzF7Cdm*DVXJ%YYiuZA2b ztjU8yZ)8A6Egp1KXDN}G;=`EQ$kbp=6f(Yyse_CkW5VUYc;VZ9P#u9xHOk0HO?mu} z#KN0#wJ2AeagmhsVO(v>)j)0oR7)PelUVpNt`6n=7#D7UX|NE+I7x4)NY3ei!dn-~my~QOW~;N=PTM>v_KtaZSSm)eS!uM;RUPGx}Iu zQ+=Si`eSi8iCxG0lK3B)tqSn#`D(Ia--MXzC<4(M5Q4FtX(^VjLFtZ)O1)$d3R1`6 zpr6ADXbqYBrbvf_KH~S<4hL(9-=iE3`U>COT5f`&nxls);S?Rg=X(4=v>oY2Zk9w~ zuOqckazkd=@G}0a?LX9e^p;`p=lc5QV{9+KT2*A7e(`+g9Z_3cCe1ZEKddo#+;8G5 zlRJAj7gMu`jz`1E!@Lovn(trKL0Lm48{sj=-K0waKTI(&!?7?!Zgksa(%5^-r!^G*ww;q%z#Ys+xs~A-{RbpS)|~ z3i$cpX0dI~{J%Z##43kvHvFO6(LQFwNAoESk4=jpua%8osQ(V}u4g&-2>;7QrybLy;(nYo?w)e(Gm}}y7E7$XSMf*3yKJq@ zwf=JA!%NDUwx-om$te0F9mpha>HO#y(*U2?I>BQ$RwNKe|#;|vb z_D0HWwat%RN!V=u@;zVmphLgEPj77&y~|K}g|~PU`S`rqoddjQfBP>Uh3%*@Y|K+- z&(4;=DW4>pUAe&j+|Smoml@}jsi&R%v2Nj2P^I8DBb%H+HmNt@`qp>0RelSN`c{f8O=v8@mH{ zctvYX+F_g7W(g~fD;vc+?5}*RzTKZMd0hk@5kWhOphgQpCq&SeB3MZaL1#qJmLgb5 z3qcn|(3T=tNee+&M9_{RsL?{O5+Z0%5p>f+P=g3MPz2qz5OhNX9VmkCS_rx$f({fx zcR(=nc+1+CybHOp+rL|6^y>(ZCRUUFx-jvlvz8BtqoLu;DSw)@IeiNh^R$KM+nX88GY7skK-VeF2trguHT*$wlwy>-(;5w0-1J;XRP z>{0gjPMnp8d8dE`7o%5AD~BF5>G`(Ss?GJe_Ae}Mg-mU0sCizcr;+gvzdA49=W}=7 zSo#c$duTW`vg(c-#=A4KJY%MD)plC_^|M1&!*vh5c8@fEx%iMK?=ts}tG3ka@E?j* zo2*~P8#i2T(6d7dx9GTc)|KNM6u&0hG;C`;=JBbE@|9d4`|7=O#(tytsM(8YGj8Nm z)5iAhc_vOBjjwI9x@-+6l)U7cU-4PDtZB6Eqj?@z_C9@f!O?d2zM>}8$V;`M??vS= zZx1drirc4F)Eo6xcx|%v3!__04Ba+&>!EHDWfF7eP2;S=WI!Ebr>CmngEFTW+buA4 zd|>^feb*^An#rU8S~+syzF0%fMO|a`o(qQN()fO?K5-68`F4(=ZIB8n&n1UjTzEitS=&9>#vfEL$W91|=~ zItAghZ}f?v@Za*j$CW2zUp7maa$!mL$PMIK&*0P*{P!OcZ!3OYSEQ|o1 zeLX&O_=+iAO>1xT-R=4}tnK5tFFO0>DEn+f&!fJmy=XnDYaKR~G@sxS3454aFNk3==rVms4LSq z-nDD9hNRXAesY38-_0*Yao~ui>bf~smpYSy@rF<9C=d6)lWf%Ig1UXTo;^1ukwHsB zUik5{0j&lqOkM299$>>>NiQl0)vSCn&5}4_-}3NcTs$m=eB6y z`?zy+NOp+fs^-dtnc40}Z+5Hed~kYP0CRO`L*`WH=LDR$P^67qTG8 z-}rKJLg3w8F3C1LwYz1ysdES4<@37JgYqT}@*6jI_8|0pXFcaeTSnaod6P?G?EHtq zvVi6$uT6gYGoaFB`=W`Sq%vOsa`DOb5$1W6k2Z8FEd=1+3F zNr?GmSHF{wo()CMJ^4ABQ%)NSUpyts?*7)PM&B4tSYR@|b3lfn^Q@z-$;flH>a{TY zI&a|#%UYR{FKXGihfYs#Ko=`a`XcE1j(geYd1cG~p*H=B694cfwqe1tG~U9#`#41d zQ;XVnYj?V$Ak$xkRFC0D%t=|O=sDl%)CWtev{cx=BuyPTd#SspC&3PB&7>p8dgT zas09q9=|G7+bvHnGPhK}Bt@4(7R==LKlip)%)a9Mexv37{D%XqmcIF^kMF{rTO22H z-!_U$nzeqO$<_FpOElq!(8;W9zd`$^jr~8}U3pv$>;IoQOJ{M~7qn^BzVAvUrA4$z zib#u8v`Dg*ow6rnmwlHu(YWFyqQFaPmoP$>6yg9<}7T;PH~CdIt1Y3vL||Qtyx) zJZ_=gc@_N6T(fFby2V-6Bq0w?h4kGLv+OhFC0j?Dn0Y-=q*+|Voc^?9pOtkJ*={xL z^_Hvaz)61-gEQuxC&9?POZz560NFsp8g0O`p!7Up8a; z2#u{3JH67*=W{yl`q`{&CjFW7%mkrt-xY6vmb7gT@RO?Gy|~QCnZ7UJ9rixT-u=n= z@2tqA5v5O?_s{q!zW^LHo?;+Zf1w2JVc%+^qf*NbIgdSq-XymbF|(nR$6ht$?L67P zSaU<=X5HBHdcA0z?HxaiChZpMwN02kV#J691*@-X)`WUNoEKUQnzt{7=Q5a;nv<7? zP~FVZLAa8X!?cOqV11vr!gG^G%iq_Jd98teeCg;nS1hM%!W~JM(@v#TvP`EJQzq&{ zoL<9Bw2o{&2yqU4;pG(S{Cu9?B>b87s9E_e)pzz^x#l)dE0v+RlUXSw~u= z(zpo)@=EE?XX?n|8?~KwXR$sk^%=-bc%z_nzj5YBIh?EOQd!H&Vfc*XCY)9rdVk%_ z3I%+Mqif1!PL8J!ofmsrDd?u0=;G0>^0~wFtB14zJ#DH~EGK37_3C#^TkE(_46WqL<@I zQLzniTa_e3HaR7T$RU92SYqcL2!V1?)EH$B@Q zpkEAKoMTuc62q&wc~caHUs-1snd7%Ux-L}bYB=6;HhS%2+mo)$iv?l9Sa z+mh6DUwG6fj{(yrO({0SuWfd`qb7!zhxthcDmvwL$@+Rf*0}}Mp3BibHmG!`jJ*1YiMxWdN(Y%?7p_Pb?i!oRsW~-x&^?)@wVRgjSzZ2e&@rrF zu*}C@x#u_{4YDgWWxViBvcp2}xiY3PG1IZaV%P3W zA!e~~@7h&ccI}uQbM@NS6CIA>Z%)7_jf#otv{Kj?`{@l(==O{1hv%cJXoM7S4)G zMRn4|=R}kY_7h5LDc{D6{Op4P_C)>u74pL7!m!p@U-N|(<`@!StgB9 zwJI|(y0yB}n;sb$!-|)13;B5RHNEnNoae-6vR|uc&sK7ylwBho;t_B3Y~WqJu0!x{ z8Us(&WdXqhxywRUVVk*i=k%oy;8CYCXt#}h6;|X_jB^@lc09q+)J%jKtFG#(U|Sj; z(P%n^6TVV^i@eC80e6%;%d9V}yeoLRRBgwhOJgz%nF<+eCXBuvrgwg~)|GaK=$f5z z(zOkX3k#x}&wQ7RyeEAjM&icufKlok8-()>@> z)lQdL+_dl`TIbBIj`&?4b#-=NAQaPJ7@G!~1H};i9f%(TVO{{z30Q5QJd(mS2ig=x z-92qV2sz-6(BpY}fFH3Q0wW?i79eO0goK;}&|4jJ9fR@kJfG4BZGb~TTa2_vwSPvz z3XBK7pgac{$o{{LABs(ZUL}&MkrcF2{sKkaJ?;MEH-z;L{&Dcu$dbvq+jpQpyfRQFp)b_Jvj>WHJG*o{GLL z{|>aew-^}uRUAQ*dm+(Ars#UW27nGgl@Wy5AKC!Rfwn)|>2Ey6r;2GIIVX@aL>Pwt z#sgpn^if5;sPO<9g8sh#n34Td5i&I15A^?RJRn&>4^?c88V_Kl{`6DDxzIQwUMhZn zw9}vemZTnPJmrT!+Uak+RiE*cAO2{k&v^JgM7$yfe*SYvyAdHz`Ge&DA*7uzPsNYq ze+$yyJ6|S5(KRaG0Dlu=Jtg$Y4xwjGd>{eQ5BOmoOo*ayl-~jV#{Wo;%D(`A{`ywG zYmdsS0Dt0p5UhvQub+J&`({1Bd!sNw<&&k_j1W3M2^DYd;hGSI+)PlBH3aB4GBrV5 zlU~q1)Mi77e!%qu*A#KhP{lS9?{7xn{Ygl_k*OKtnw#`+%>nAKWWlevW@KuPxEAI; zT(ZU+W!D06Eqh-xu!7QXN>->$8(|k-C9n$VkqrVVr67K>X(Lp9E=egUtcM#6QcA%P zHS*ca5OG6#;|(R^4J9KoGeX>Hy~k=Aaje2fugu_B85#BlY-C78OdL@|^yULtHZtl} zZp1H(N7kS-L8Y=0-$X#3|Aqt+9g;VOqitj=Adf6UWPJN3XwIP4oD#}Jc#V^IOQN@s zjV4*hW(%;<2G2G66tck$m5FYeJ^KEHHeG)0nXj{Mtk{`h{$?YmmHpQ;mTPx_)xbjo zo}V^fvBi9-?@YD#(v7s-+^^R}qt&ET$8~7|Ku{IfVtg_g-Wvs%ExcCP}F&AE+ ziRoaw&rsvcGkC3U%+Au2z0OqYXfL15$C((4DPfo=uKWYagpS?~(5B~!Thc4(%HHSU zj>F&3t)#aaj2JpViWm;(Cv5f@stxn=@-JCEeR*<){Qp z_QJPoKYd-X{ZkWOu77^z@;LdnMGw2z&e?6*e#dCzyXT{CW=z*x|D0b+Wr~WwMZP=w z$ezDC^zLZ2*8gvXROq;zHd#1Op2Qd{5P!DfR3R0zIfUdY@wrqv6|$*9a#i_Us;COt zR42JZ`CN_vZE9jfQAAt|;Jgf68zZVB;yV1ME=H6^#P#@1eG+_Xz;7Co*j^)k)0o8e zn(&*Z7!O|g!Oi$hbBqUX`rsDOq~n%@B~f0YH`c9$$TEk+FgEc9kTv*8$88W0Z@2{7 zW#G0X$BxgjN1PFo1P1}or9cY-K&Ro3IPN5b77GQTQ5f;EIqu9CazR3_d{n#}$r;V( zxFgOOJ}w^N%?Wh`-kgSe;<%R(HkeT7jj`bme|#KY$Oj3H=Y!*YNzMd5#}9G*`SAFO zq`FDKq2U2IK3NFkBjl%$1#SpPIUS#hgo5}O`CyV0!dDlHIMWmf7s5z&)A{PcX$VbD zTp&W|A6^+`XupcKUfH^}^shsAUE^iG-(R>>H@azY2`8i`W3Tw}#p4cS>s(bk>NfpI z}YKr!yxHT*oclWz}je za;$C4h<1(mhWI6q-pz2_rH+*|BNfD_Z#8l*iW@fGdcnpxmgm%thKD9&?(y!n9ymEo z{oE#}2*dMIjA?UcUAcEA%;!i-VDn$c##HJqtY0MkwJPyac%eg;eoF4*9fkLGCSJY4 zyS^dYYk^{(nD3hnrjMT1HDpwLQSDB!uW4O-hhxiety(hYv+S}JSWcpa(RB4w7SG2` zQOogDpqu9FRldv_@>w;-;(XP~_0hXO3Yps|nmzecy7%~|Ugarkgc4B>oL6di`&5deq0CohcO%w;0x;N zWT8?z4p|!G3J}JxLW}N||Br}1UZ#&9RYf2wTSkRG}j|;aSk9hD&6Cg%CIAwz@C8ED=GG144<0H*dV|T zLF~H}TOPw_rZOH2uw(2|namp_%KzV?}13lz*s#x9Mq=cijowq4TJ|m}L=0QwrWLPwQzOCmBUqDtnVv0Sz|Z9=iy7~iEQaA5vK-D=fyoNX-6*2K3|qM*@T{@ zC(ZL7ZIG>u*=`;*jC;;%fONY2sqVWIN1Q0ZGty}LHTS2KF5&8Jp`V*58LP5PQ)e>1 z)QvIr_=NOhF`TSmdW?z0`{LbgWp(&mAEw5rt5aizI7Z#{S*;R^wvlg7o8Yew2z48b z?uvfGd9sL}+#xad*5^TUCgL;fSkFFJCbpMw=We6R#z>x-m#}iiGJIJPdtpn^)`*GR zGq&_yA0)H)Wia0F#&sreUd}GCX}-+Oeo2p;EvZvv{${2S{_#6^`rD(8_$aQ<7kcf+ z^_uui+>u4I?@D=ec#eiA!+TBjDPd8^#U9^Ou+*cwr(Ir$kMiM}J9WDXRj~#fm=#oG z9z4V-Q10Hl)!E-_ggq&NvED^;euf@)(;hxf`**uH)3- zsISK#;kugK<2xBARBBaN`DeKzzR%9$oEoJWG+}G6Kle31C{R?xZa)xSynAT5&uEXS z*zI+Bw`Sdso3G=5r~E-{I99ld-SJT*Qe(h@?gJh1+fswiSwl(Bs9dqvnW6_jNmw@+ z*$k4w|Lmky?@Bq#&MFbJl^pQwk4^iX?;iA8&W5p?OT^Ca6s^ydV4tHc)=|fgKHO7~ zxQzL!SRCulu7_fz1L#s4kBRO~mC0Ljg1tPWv_o0!Ugo$ALyd-|ZBkmo75*_7EK_$x zrm-{6gm;|U_4U}^<-CXcg{zn{7w;XIz}s|YgJvt1r!66VqVnK*^(7lH<*CaQ2dc|^ zl&j&PcNw~)JR(__Y(#i>xm8!(H*fC@T<2H=1w$t#imjO}dc8#A-pa?`HD7QSX~s*R zx1(53K8aP&;R@fK;*n>$b?=LBFm_bFnD1YryvGuk{oij^c!ig0ecYJV$dtV$epB3m z2T=^Kl4_YG+I~c4;>%HC?z4;sD-V^e-1lBcL%2zPf!0OM6U*0r{uIeL#J0b(Vpqr< zj^iQ@kw3i&L!MV?w7nKe& zSiIXJ-Im<1LfTV5-OPSkow!tY#~*{8ikL z=UG~Qz7u0*2Ey3b`C^8mqQR{aT6PLuw;8x`dAWW2KxTZCxboc0V2I*_ij?d*(Tfo> zHqx4&2L?WwtK8CV?SA=+#tf-AcP-tqquw|UX09@x!5%v7)#>$;FSMs0;CU2DZuv{v z&0a~=rkWnd3BgVtlWJZ&xv;*DEr%VnJebZt`XbuX8n@9CI&|l{G3$Arh@>yqtogyZ z60Bx$#YQN0x}aTr>r+wFXOi|iSDp-v!mo}Ix?K0ZjHOW{<`l^tuXq2U`P4j_uk&GS z@iy^G4Wd43k{(sFuh|6SPfl_(S>Korn&QqYN_-#+c}J;F4@8BNWo~vVW|TE%jZkK# z)u^yFG`4?nT(0GILG#gx#VyTUE7|w%*9EuMua#e2#yeOoHAzCc;FL_6>$;aW7=a1c zjwI1k&sE&wOJYe0LRq{|?sdlH2@2;Y#4oxW>AxU#j$z$J>G|t)-(GE*)iG<>)l*xB z9ip+{z0Gy~7WMS{w=-gD<}dLYAEvowlSyW3fZg|EnsrNf=D9VSL+V;zF6Z2L+jy)n z)w^u1Gq#PM)cM-w%6q5b+uq%&Pjo+b$ogFu=fR0h0Vkg=Y)j(?>#Pj=@O_|FIJ&XH zs%2l$w@WDGREX|wuv7?qIflYj@M{MYl!BWc4U;4&+Dk`G=t-|7<;Yd_q~Mh@kb^I@ zzaw{-v=>Us!7cfB(clmmYS?eXuwKgLI{-X~Q@%DMce90MtY zv4{(Kfy~3WbRn`|p7aZPzxU*a7_kZ~q83%i4f<`7LTJYm z{Xgp0?4zHbM?dPP^6O{%=lw*#X&?RkeEU&9m4`pmpZF8~_I>p8^YTaiRKEU9KgDgp#A9^3F`XM zcbL@2U*e=!pC%d&`hfPQ&k@x1qwfrKA`>SgX0JK2!cMS0(Y?FkhH*( zPwr%1z#rLy!TX2cFF^(7 zSsC@iQZtXxi3#JN4So>i)G@|3{`n%glhl_&(&WTGev#LWAN)$`<5ycBzhsa#@Qa`V zeyO5EQF9Y*H9lwS?e!~3+{3NJ=ygeyT-M^p>evw9)7!n&X$~EH)Yq=pA%hC8!ul5h2&<*c*@-u+cKb54R|I%Irc|3oG zQVb{cqss_^PW~B1!-#nXz94F^FPaSQ`%tLUA5lRxSubiVFbGgeaUtPIpAcK1pIBNl zJhcC%CF28Qi2|P?v;P+zMxn;~@=^Hcc~vh;On^_Izu@n0L6lctJ|$0~#*7dwB+N=_ zp(!H9N(+riI1RDpp_1`50@GpvuoM$xKH!DVG2vrj(2|LWLqcKr99WwX;b5!)eq%~P aX!snWASXh|7y`Nm{X?E&&G7MC+y4P$4|Vqd literal 0 HcmV?d00001 diff --git a/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/1ano/isd/quartus-projects/DecoderDemo/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology