From 557dc6e20831d403361ca6e9be2a32125131b84d Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Thu, 9 Mar 2023 17:44:51 +0000 Subject: [PATCH] [ISD] MuxDemo update --- .../isd/quartus-projects/MuxDemo/Mux16_1.bsf | 177 ++ .../isd/quartus-projects/MuxDemo/MuxDemo.bdf | 597 +++++++ .../isd/quartus-projects/MuxDemo/MuxDemo.qsf | 528 +++++- .../quartus-projects/MuxDemo/MuxDemo.qsf.bak | 60 + .../isd/quartus-projects/MuxDemo/MuxDemo.qws | Bin 1841 -> 2454 bytes .../MuxDemo/db/MuxDemo.(2).cnf.cdb | Bin 0 -> 1158 bytes .../MuxDemo/db/MuxDemo.(2).cnf.hdb | Bin 0 -> 769 bytes .../MuxDemo/db/MuxDemo.asm_labs.ddb | Bin 6929 -> 0 bytes .../MuxDemo/db/MuxDemo.cmp.bpm | Bin 781 -> 742 bytes .../MuxDemo/db/MuxDemo.cmp.cdb | Bin 6740 -> 3412 bytes .../MuxDemo/db/MuxDemo.cmp.hdb | Bin 12838 -> 26567 bytes .../MuxDemo/db/MuxDemo.cmp.idb | Bin 1089 -> 637 bytes .../MuxDemo/db/MuxDemo.cmp.logdb | 62 - .../MuxDemo/db/MuxDemo.cmp.rdb | Bin 19233 -> 17932 bytes .../MuxDemo/db/MuxDemo.db_info | 2 +- .../MuxDemo/db/MuxDemo.fit.qmsg | 81 +- .../MuxDemo/db/MuxDemo.hier_info | 56 +- .../quartus-projects/MuxDemo/db/MuxDemo.hif | Bin 420 -> 471 bytes .../MuxDemo/db/MuxDemo.lpc.html | 136 +- .../MuxDemo/db/MuxDemo.lpc.rdb | Bin 566 -> 592 bytes .../MuxDemo/db/MuxDemo.lpc.txt | 43 +- .../MuxDemo/db/MuxDemo.map.bpm | Bin 741 -> 743 bytes .../MuxDemo/db/MuxDemo.map.cdb | Bin 3379 -> 3369 bytes .../MuxDemo/db/MuxDemo.map.hdb | Bin 11850 -> 26143 bytes .../MuxDemo/db/MuxDemo.map.qmsg | 28 +- .../MuxDemo/db/MuxDemo.map.rdb | Bin 1342 -> 1337 bytes .../MuxDemo/db/MuxDemo.map_bb.cdb | Bin 2114 -> 2134 bytes .../MuxDemo/db/MuxDemo.map_bb.hdb | Bin 10787 -> 24976 bytes .../MuxDemo/db/MuxDemo.pre_map.hdb | Bin 11769 -> 25948 bytes .../MuxDemo/db/MuxDemo.rtlv.hdb | Bin 11665 -> 25841 bytes .../MuxDemo/db/MuxDemo.rtlv_sg.cdb | Bin 1900 -> 2347 bytes .../MuxDemo/db/MuxDemo.rtlv_sg_swap.cdb | Bin 826 -> 983 bytes .../MuxDemo/db/MuxDemo.smart_action.txt | 2 +- .../db/MuxDemo.sta_cmp.6_slow_1200mv_85c.tdb | Bin 5388 -> 0 bytes .../MuxDemo/db/MuxDemo.tis_db_list.ddb | Bin 294 -> 291 bytes .../db/MuxDemo.tiscmp.fast_1200mv_0c.ddb | Bin 111395 -> 0 bytes .../db/MuxDemo.tiscmp.slow_1200mv_0c.ddb | Bin 111658 -> 0 bytes .../db/MuxDemo.tiscmp.slow_1200mv_85c.ddb | Bin 111596 -> 0 bytes .../MuxDemo/db/MuxDemo.tmw_info | 7 +- .../MuxDemo/db/MuxDemo.vpr.ammdb | Bin 334 -> 262 bytes .../MuxDemo/db/MuxDemo_partition_pins.json | 42 +- .../MuxDemo.root_partition.map.cdb | Bin 3002 -> 2980 bytes .../MuxDemo.root_partition.map.dpi | Bin 962 -> 1012 bytes .../MuxDemo.root_partition.map.hbdb.cdb | Bin 1601 -> 1602 bytes .../MuxDemo.root_partition.map.hbdb.hdb | Bin 10705 -> 25021 bytes .../MuxDemo.root_partition.map.hdb | Bin 10436 -> 24795 bytes .../MuxDemo/output_files/MuxDemo.fit.rpt | 1580 +++++++++-------- .../MuxDemo/output_files/MuxDemo.fit.summary | 4 +- .../MuxDemo/output_files/MuxDemo.flow.rpt | 45 +- .../MuxDemo/output_files/MuxDemo.map.rpt | 119 +- .../MuxDemo/output_files/MuxDemo.map.summary | 4 +- .../MuxDemo/output_files/MuxDemo.pin | 216 --- 52 files changed, 2475 insertions(+), 1314 deletions(-) create mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/Mux16_1.bsf create mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf create mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf.bak create mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.(2).cnf.cdb create mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.(2).cnf.hdb delete mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.asm_labs.ddb delete mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.sta_cmp.6_slow_1200mv_85c.tdb delete mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.fast_1200mv_0c.ddb delete mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.slow_1200mv_0c.ddb delete mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.slow_1200mv_85c.ddb delete mode 100644 1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/Mux16_1.bsf b/1ano/1semestre/isd/quartus-projects/MuxDemo/Mux16_1.bsf new file mode 100644 index 0000000..b2b2407 --- /dev/null +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/Mux16_1.bsf @@ -0,0 +1,177 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "symbol" (version "1.2")) +(symbol + (rect 16 16 112 400) + (text "Mux16_1" (rect 5 0 58 15)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 367 28 380)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "Sel2" (rect 0 0 27 15)(font "Intel Clear" (font_size 8))) + (text "Sel2" (rect 21 27 48 42)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "Sel1" (rect 0 0 25 15)(font "Intel Clear" (font_size 8))) + (text "Sel1" (rect 21 43 46 58)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "I0" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I0" (rect 21 59 33 74)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "I1" (rect 0 0 11 15)(font "Intel Clear" (font_size 8))) + (text "I1" (rect 21 75 32 90)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "Sel4" (rect 0 0 27 15)(font "Intel Clear" (font_size 8))) + (text "Sel4" (rect 21 91 48 106)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "Sel3" (rect 0 0 27 15)(font "Intel Clear" (font_size 8))) + (text "Sel3" (rect 21 107 48 122)(font "Intel Clear" (font_size 8))) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "I2" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I2" (rect 21 123 33 138)(font "Intel Clear" (font_size 8))) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 0 144) + (input) + (text "I3" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I3" (rect 21 139 33 154)(font "Intel Clear" (font_size 8))) + (line (pt 0 144)(pt 16 144)) + ) + (port + (pt 0 160) + (input) + (text "I4" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I4" (rect 21 155 33 170)(font "Intel Clear" (font_size 8))) + (line (pt 0 160)(pt 16 160)) + ) + (port + (pt 0 176) + (input) + (text "I5" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I5" (rect 21 171 33 186)(font "Intel Clear" (font_size 8))) + (line (pt 0 176)(pt 16 176)) + ) + (port + (pt 0 192) + (input) + (text "I6" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I6" (rect 21 187 33 202)(font "Intel Clear" (font_size 8))) + (line (pt 0 192)(pt 16 192)) + ) + (port + (pt 0 208) + (input) + (text "I7" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I7" (rect 21 203 33 218)(font "Intel Clear" (font_size 8))) + (line (pt 0 208)(pt 16 208)) + ) + (port + (pt 0 224) + (input) + (text "I8" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I8" (rect 21 219 33 234)(font "Intel Clear" (font_size 8))) + (line (pt 0 224)(pt 16 224)) + ) + (port + (pt 0 240) + (input) + (text "I9" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I9" (rect 21 235 33 250)(font "Intel Clear" (font_size 8))) + (line (pt 0 240)(pt 16 240)) + ) + (port + (pt 0 256) + (input) + (text "I10" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I10" (rect 21 251 41 266)(font "Intel Clear" (font_size 8))) + (line (pt 0 256)(pt 16 256)) + ) + (port + (pt 0 272) + (input) + (text "I11" (rect 0 0 18 15)(font "Intel Clear" (font_size 8))) + (text "I11" (rect 21 267 39 282)(font "Intel Clear" (font_size 8))) + (line (pt 0 272)(pt 16 272)) + ) + (port + (pt 0 288) + (input) + (text "I12" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I12" (rect 21 283 41 298)(font "Intel Clear" (font_size 8))) + (line (pt 0 288)(pt 16 288)) + ) + (port + (pt 0 304) + (input) + (text "I13" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I13" (rect 21 299 41 314)(font "Intel Clear" (font_size 8))) + (line (pt 0 304)(pt 16 304)) + ) + (port + (pt 0 320) + (input) + (text "I14" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I14" (rect 21 315 41 330)(font "Intel Clear" (font_size 8))) + (line (pt 0 320)(pt 16 320)) + ) + (port + (pt 0 336) + (input) + (text "I15" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I15" (rect 21 331 41 346)(font "Intel Clear" (font_size 8))) + (line (pt 0 336)(pt 16 336)) + ) + (port + (pt 96 32) + (output) + (text "Y" (rect 0 0 9 15)(font "Intel Clear" (font_size 8))) + (text "Y" (rect 66 27 75 42)(font "Intel Clear" (font_size 8))) + (line (pt 96 32)(pt 80 32)) + ) + (drawing + (rectangle (rect 16 16 80 368)) + ) +) diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf new file mode 100644 index 0000000..14e4952 --- /dev/null +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf @@ -0,0 +1,597 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 312 128 480 144) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[0]" (rect 5 0 38 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 144 480 160) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[1]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 192 480 208) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[2]" (rect 5 0 38 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 208 480 224) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[3]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 224 480 240) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[4]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 240 480 256) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[5]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 256 480 272) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[6]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 272 480 288) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[7]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 288 480 304) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[8]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 304 480 320) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[9]" (rect 5 0 39 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 320 480 336) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[10]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 336 480 352) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[11]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 352 480 368) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[12]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 368 480 384) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[13]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 384 480 400) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[14]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 400 480 416) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "SW[15]" (rect 5 0 44 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 112 480 128) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "KEY[0]" (rect 5 0 39 11)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 160 480 176) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "KEY[3]" (rect 5 0 41 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 176 480 192) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "KEY[2]" (rect 5 0 41 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 312 96 480 112) + (text "INPUT" (rect 125 0 154 10)(font "Arial" (font_size 6))) + (text "KEY[1]" (rect 5 0 41 13)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 149 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 592 96 768 112) + (text "OUTPUT" (rect 1 0 41 10)(font "Arial" (font_size 6))) + (text "LEDG[8]" (rect 90 0 132 11)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 488 72 584 456) + (text "Mux16_1" (rect 5 0 58 15)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 367 28 380)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "Sel2" (rect 0 0 27 15)(font "Intel Clear" (font_size 8))) + (text "Sel2" (rect 21 27 48 42)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "Sel1" (rect 0 0 25 15)(font "Intel Clear" (font_size 8))) + (text "Sel1" (rect 21 43 46 58)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "I0" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I0" (rect 21 59 33 74)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "I1" (rect 0 0 11 15)(font "Intel Clear" (font_size 8))) + (text "I1" (rect 21 75 32 90)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "Sel4" (rect 0 0 27 15)(font "Intel Clear" (font_size 8))) + (text "Sel4" (rect 21 91 48 106)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "Sel3" (rect 0 0 27 15)(font "Intel Clear" (font_size 8))) + (text "Sel3" (rect 21 107 48 122)(font "Intel Clear" (font_size 8))) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 0 128) + (input) + (text "I2" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I2" (rect 21 123 33 138)(font "Intel Clear" (font_size 8))) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 0 144) + (input) + (text "I3" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I3" (rect 21 139 33 154)(font "Intel Clear" (font_size 8))) + (line (pt 0 144)(pt 16 144)) + ) + (port + (pt 0 160) + (input) + (text "I4" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I4" (rect 21 155 33 170)(font "Intel Clear" (font_size 8))) + (line (pt 0 160)(pt 16 160)) + ) + (port + (pt 0 176) + (input) + (text "I5" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I5" (rect 21 171 33 186)(font "Intel Clear" (font_size 8))) + (line (pt 0 176)(pt 16 176)) + ) + (port + (pt 0 192) + (input) + (text "I6" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I6" (rect 21 187 33 202)(font "Intel Clear" (font_size 8))) + (line (pt 0 192)(pt 16 192)) + ) + (port + (pt 0 208) + (input) + (text "I7" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I7" (rect 21 203 33 218)(font "Intel Clear" (font_size 8))) + (line (pt 0 208)(pt 16 208)) + ) + (port + (pt 0 224) + (input) + (text "I8" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I8" (rect 21 219 33 234)(font "Intel Clear" (font_size 8))) + (line (pt 0 224)(pt 16 224)) + ) + (port + (pt 0 240) + (input) + (text "I9" (rect 0 0 12 15)(font "Intel Clear" (font_size 8))) + (text "I9" (rect 21 235 33 250)(font "Intel Clear" (font_size 8))) + (line (pt 0 240)(pt 16 240)) + ) + (port + (pt 0 256) + (input) + (text "I10" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I10" (rect 21 251 41 266)(font "Intel Clear" (font_size 8))) + (line (pt 0 256)(pt 16 256)) + ) + (port + (pt 0 272) + (input) + (text "I11" (rect 0 0 18 15)(font "Intel Clear" (font_size 8))) + (text "I11" (rect 21 267 39 282)(font "Intel Clear" (font_size 8))) + (line (pt 0 272)(pt 16 272)) + ) + (port + (pt 0 288) + (input) + (text "I12" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I12" (rect 21 283 41 298)(font "Intel Clear" (font_size 8))) + (line (pt 0 288)(pt 16 288)) + ) + (port + (pt 0 304) + (input) + (text "I13" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I13" (rect 21 299 41 314)(font "Intel Clear" (font_size 8))) + (line (pt 0 304)(pt 16 304)) + ) + (port + (pt 0 320) + (input) + (text "I14" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I14" (rect 21 315 41 330)(font "Intel Clear" (font_size 8))) + (line (pt 0 320)(pt 16 320)) + ) + (port + (pt 0 336) + (input) + (text "I15" (rect 0 0 20 15)(font "Intel Clear" (font_size 8))) + (text "I15" (rect 21 331 41 346)(font "Intel Clear" (font_size 8))) + (line (pt 0 336)(pt 16 336)) + ) + (port + (pt 96 32) + (output) + (text "Y" (rect 0 0 9 15)(font "Intel Clear" (font_size 8))) + (text "Y" (rect 66 27 75 42)(font "Intel Clear" (font_size 8))) + (line (pt 96 32)(pt 80 32)) + ) + (drawing + (rectangle (rect 16 16 80 368)) + ) +) +(connector + (pt 488 152) + (pt 480 152) +) +(connector + (pt 488 200) + (pt 480 200) +) +(connector + (pt 488 216) + (pt 480 216) +) +(connector + (pt 488 232) + (pt 480 232) +) +(connector + (pt 488 248) + (pt 480 248) +) +(connector + (pt 488 264) + (pt 480 264) +) +(connector + (pt 488 280) + (pt 480 280) +) +(connector + (pt 488 296) + (pt 480 296) +) +(connector + (pt 488 312) + (pt 480 312) +) +(connector + (pt 488 328) + (pt 480 328) +) +(connector + (pt 488 344) + (pt 480 344) +) +(connector + (pt 488 360) + (pt 480 360) +) +(connector + (pt 488 376) + (pt 480 376) +) +(connector + (pt 488 392) + (pt 480 392) +) +(connector + (pt 488 408) + (pt 480 408) +) +(connector + (pt 488 168) + (pt 480 168) +) +(connector + (pt 488 184) + (pt 480 184) +) +(connector + (pt 488 104) + (pt 480 104) +) +(connector + (pt 488 120) + (pt 480 120) +) +(connector + (pt 488 136) + (pt 480 136) +) +(connector + (pt 584 104) + (pt 592 104) +) diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf index 4d3075b..f5a59f5 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf @@ -39,7 +39,7 @@ set_global_assignment -name FAMILY "Cyclone IV E" set_global_assignment -name DEVICE auto -set_global_assignment -name TOP_LEVEL_ENTITY Mux16_1 +set_global_assignment -name TOP_LEVEL_ENTITY MuxDemo set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:24:51 NOVEMBER 18, 2022" set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" @@ -52,9 +52,529 @@ set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_ set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan set_global_assignment -name BDF_FILE Mux16_1.bdf +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_global_assignment -name VECTOR_WAVEFORM_FILE Mux16_1.vwf +set_global_assignment -name BDF_FILE MuxDemo.bdf set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top -set_global_assignment -name VECTOR_WAVEFORM_FILE Mux16_1.vwf \ No newline at end of file +set_location_assignment PIN_Y2 -to CLOCK_50 +set_location_assignment PIN_AG14 -to CLOCK2_50 +set_location_assignment PIN_AG15 -to CLOCK3_50 +set_location_assignment PIN_AH14 -to SMA_CLKIN +set_location_assignment PIN_AE23 -to SMA_CLKOUT +set_location_assignment PIN_M23 -to KEY[0] +set_location_assignment PIN_M21 -to KEY[1] +set_location_assignment PIN_N21 -to KEY[2] +set_location_assignment PIN_R24 -to KEY[3] +set_location_assignment PIN_AB28 -to SW[0] +set_location_assignment PIN_AC28 -to SW[1] +set_location_assignment PIN_AC27 -to SW[2] +set_location_assignment PIN_AD27 -to SW[3] +set_location_assignment PIN_AB27 -to SW[4] +set_location_assignment PIN_AC26 -to SW[5] +set_location_assignment PIN_AD26 -to SW[6] +set_location_assignment PIN_AB26 -to SW[7] +set_location_assignment PIN_AC25 -to SW[8] +set_location_assignment PIN_AB25 -to SW[9] +set_location_assignment PIN_AC24 -to SW[10] +set_location_assignment PIN_AB24 -to SW[11] +set_location_assignment PIN_AB23 -to SW[12] +set_location_assignment PIN_AA24 -to SW[13] +set_location_assignment PIN_AA23 -to SW[14] +set_location_assignment PIN_AA22 -to SW[15] +set_location_assignment PIN_Y24 -to SW[16] +set_location_assignment PIN_Y23 -to SW[17] +set_location_assignment PIN_G19 -to LEDR[0] +set_location_assignment PIN_F19 -to LEDR[1] +set_location_assignment PIN_E19 -to LEDR[2] +set_location_assignment PIN_F21 -to LEDR[3] +set_location_assignment PIN_F18 -to LEDR[4] +set_location_assignment PIN_E18 -to LEDR[5] +set_location_assignment PIN_J19 -to LEDR[6] +set_location_assignment PIN_H19 -to LEDR[7] +set_location_assignment PIN_J17 -to LEDR[8] +set_location_assignment PIN_G17 -to LEDR[9] +set_location_assignment PIN_J15 -to LEDR[10] +set_location_assignment PIN_H16 -to LEDR[11] +set_location_assignment PIN_J16 -to LEDR[12] +set_location_assignment PIN_H17 -to LEDR[13] +set_location_assignment PIN_F15 -to LEDR[14] +set_location_assignment PIN_G15 -to LEDR[15] +set_location_assignment PIN_G16 -to LEDR[16] +set_location_assignment PIN_H15 -to LEDR[17] +set_location_assignment PIN_E21 -to LEDG[0] +set_location_assignment PIN_E22 -to LEDG[1] +set_location_assignment PIN_E25 -to LEDG[2] +set_location_assignment PIN_E24 -to LEDG[3] +set_location_assignment PIN_H21 -to LEDG[4] +set_location_assignment PIN_G20 -to LEDG[5] +set_location_assignment PIN_G22 -to LEDG[6] +set_location_assignment PIN_G21 -to LEDG[7] +set_location_assignment PIN_F17 -to LEDG[8] +set_location_assignment PIN_G18 -to HEX0[0] +set_location_assignment PIN_F22 -to HEX0[1] +set_location_assignment PIN_E17 -to HEX0[2] +set_location_assignment PIN_L26 -to HEX0[3] +set_location_assignment PIN_L25 -to HEX0[4] +set_location_assignment PIN_J22 -to HEX0[5] +set_location_assignment PIN_H22 -to HEX0[6] +set_location_assignment PIN_M24 -to HEX1[0] +set_location_assignment PIN_Y22 -to HEX1[1] +set_location_assignment PIN_W21 -to HEX1[2] +set_location_assignment PIN_W22 -to HEX1[3] +set_location_assignment PIN_W25 -to HEX1[4] +set_location_assignment PIN_U23 -to HEX1[5] +set_location_assignment PIN_U24 -to HEX1[6] +set_location_assignment PIN_AA25 -to HEX2[0] +set_location_assignment PIN_AA26 -to HEX2[1] +set_location_assignment PIN_Y25 -to HEX2[2] +set_location_assignment PIN_W26 -to HEX2[3] +set_location_assignment PIN_Y26 -to HEX2[4] +set_location_assignment PIN_W27 -to HEX2[5] +set_location_assignment PIN_W28 -to HEX2[6] +set_location_assignment PIN_V21 -to HEX3[0] +set_location_assignment PIN_U21 -to HEX3[1] +set_location_assignment PIN_AB20 -to HEX3[2] +set_location_assignment PIN_AA21 -to HEX3[3] +set_location_assignment PIN_AD24 -to HEX3[4] +set_location_assignment PIN_AF23 -to HEX3[5] +set_location_assignment PIN_Y19 -to HEX3[6] +set_location_assignment PIN_AB19 -to HEX4[0] +set_location_assignment PIN_AA19 -to HEX4[1] +set_location_assignment PIN_AG21 -to HEX4[2] +set_location_assignment PIN_AH21 -to HEX4[3] +set_location_assignment PIN_AE19 -to HEX4[4] +set_location_assignment PIN_AF19 -to HEX4[5] +set_location_assignment PIN_AE18 -to HEX4[6] +set_location_assignment PIN_AD18 -to HEX5[0] +set_location_assignment PIN_AC18 -to HEX5[1] +set_location_assignment PIN_AB18 -to HEX5[2] +set_location_assignment PIN_AH19 -to HEX5[3] +set_location_assignment PIN_AG19 -to HEX5[4] +set_location_assignment PIN_AF18 -to HEX5[5] +set_location_assignment PIN_AH18 -to HEX5[6] +set_location_assignment PIN_AA17 -to HEX6[0] +set_location_assignment PIN_AB16 -to HEX6[1] +set_location_assignment PIN_AA16 -to HEX6[2] +set_location_assignment PIN_AB17 -to HEX6[3] +set_location_assignment PIN_AB15 -to HEX6[4] +set_location_assignment PIN_AA15 -to HEX6[5] +set_location_assignment PIN_AC17 -to HEX6[6] +set_location_assignment PIN_AD17 -to HEX7[0] +set_location_assignment PIN_AE17 -to HEX7[1] +set_location_assignment PIN_AG17 -to HEX7[2] +set_location_assignment PIN_AH17 -to HEX7[3] +set_location_assignment PIN_AF17 -to HEX7[4] +set_location_assignment PIN_AG18 -to HEX7[5] +set_location_assignment PIN_AA14 -to HEX7[6] +set_location_assignment PIN_L3 -to LCD_DATA[0] +set_location_assignment PIN_L1 -to LCD_DATA[1] +set_location_assignment PIN_L2 -to LCD_DATA[2] +set_location_assignment PIN_K7 -to LCD_DATA[3] +set_location_assignment PIN_K1 -to LCD_DATA[4] +set_location_assignment PIN_K2 -to LCD_DATA[5] +set_location_assignment PIN_M3 -to LCD_DATA[6] +set_location_assignment PIN_M5 -to LCD_DATA[7] +set_location_assignment PIN_L6 -to LCD_BLON +set_location_assignment PIN_M1 -to LCD_RW +set_location_assignment PIN_L4 -to LCD_EN +set_location_assignment PIN_M2 -to LCD_RS +set_location_assignment PIN_L5 -to LCD_ON +set_location_assignment PIN_G9 -to UART_TXD +set_location_assignment PIN_G12 -to UART_RXD +set_location_assignment PIN_G14 -to UART_CTS +set_location_assignment PIN_J13 -to UART_RTS +set_location_assignment PIN_G6 -to PS2_CLK +set_location_assignment PIN_H5 -to PS2_DAT +set_location_assignment PIN_G5 -to PS2_CLK2 +set_location_assignment PIN_F5 -to PS2_DAT2 +set_location_assignment PIN_AE13 -to SD_CLK +set_location_assignment PIN_AD14 -to SD_CMD +set_location_assignment PIN_AF14 -to SD_WP_N +set_location_assignment PIN_AE14 -to SD_DAT[0] +set_location_assignment PIN_AF13 -to SD_DAT[1] +set_location_assignment PIN_AB14 -to SD_DAT[2] +set_location_assignment PIN_AC14 -to SD_DAT[3] +set_location_assignment PIN_G13 -to VGA_HS +set_location_assignment PIN_C13 -to VGA_VS +set_location_assignment PIN_C10 -to VGA_SYNC_N +set_location_assignment PIN_A12 -to VGA_CLK +set_location_assignment PIN_F11 -to VGA_BLANK_N +set_location_assignment PIN_E12 -to VGA_R[0] +set_location_assignment PIN_E11 -to VGA_R[1] +set_location_assignment PIN_D10 -to VGA_R[2] +set_location_assignment PIN_F12 -to VGA_R[3] +set_location_assignment PIN_G10 -to VGA_R[4] +set_location_assignment PIN_J12 -to VGA_R[5] +set_location_assignment PIN_H8 -to VGA_R[6] +set_location_assignment PIN_H10 -to VGA_R[7] +set_location_assignment PIN_G8 -to VGA_G[0] +set_location_assignment PIN_G11 -to VGA_G[1] +set_location_assignment PIN_F8 -to VGA_G[2] +set_location_assignment PIN_H12 -to VGA_G[3] +set_location_assignment PIN_C8 -to VGA_G[4] +set_location_assignment PIN_B8 -to VGA_G[5] +set_location_assignment PIN_F10 -to VGA_G[6] +set_location_assignment PIN_C9 -to VGA_G[7] +set_location_assignment PIN_B10 -to VGA_B[0] +set_location_assignment PIN_A10 -to VGA_B[1] +set_location_assignment PIN_C11 -to VGA_B[2] +set_location_assignment PIN_B11 -to VGA_B[3] +set_location_assignment PIN_A11 -to VGA_B[4] +set_location_assignment PIN_C12 -to VGA_B[5] +set_location_assignment PIN_D11 -to VGA_B[6] +set_location_assignment PIN_D12 -to VGA_B[7] +set_location_assignment PIN_C2 -to AUD_ADCLRCK +set_location_assignment PIN_D2 -to AUD_ADCDAT +set_location_assignment PIN_E3 -to AUD_DACLRCK +set_location_assignment PIN_D1 -to AUD_DACDAT +set_location_assignment PIN_E1 -to AUD_XCK +set_location_assignment PIN_F2 -to AUD_BCLK +set_location_assignment PIN_D14 -to EEP_I2C_SCLK +set_location_assignment PIN_E14 -to EEP_I2C_SDAT +set_location_assignment PIN_B7 -to I2C_SCLK +set_location_assignment PIN_A8 -to I2C_SDAT +set_location_assignment PIN_A14 -to ENETCLK_25 +set_location_assignment PIN_C14 -to ENET0_LINK100 +set_location_assignment PIN_A17 -to ENET0_GTX_CLK +set_location_assignment PIN_C19 -to ENET0_RST_N +set_location_assignment PIN_C20 -to ENET0_MDC +set_location_assignment PIN_B21 -to ENET0_MDIO +set_location_assignment PIN_A21 -to ENET0_INT_N +set_location_assignment PIN_C18 -to ENET0_TX_DATA[0] +set_location_assignment PIN_D19 -to ENET0_TX_DATA[1] +set_location_assignment PIN_A19 -to ENET0_TX_DATA[2] +set_location_assignment PIN_B19 -to ENET0_TX_DATA[3] +set_location_assignment PIN_B17 -to ENET0_TX_CLK +set_location_assignment PIN_A18 -to ENET0_TX_EN +set_location_assignment PIN_B18 -to ENET0_TX_ER +set_location_assignment PIN_C16 -to ENET0_RX_DATA[0] +set_location_assignment PIN_D16 -to ENET0_RX_DATA[1] +set_location_assignment PIN_D17 -to ENET0_RX_DATA[2] +set_location_assignment PIN_C15 -to ENET0_RX_DATA[3] +set_location_assignment PIN_A15 -to ENET0_RX_CLK +set_location_assignment PIN_C17 -to ENET0_RX_DV +set_location_assignment PIN_D18 -to ENET0_RX_ER +set_location_assignment PIN_D15 -to ENET0_RX_CRS +set_location_assignment PIN_E15 -to ENET0_RX_COL +set_location_assignment PIN_D13 -to ENET1_LINK100 +set_location_assignment PIN_C23 -to ENET1_GTX_CLK +set_location_assignment PIN_D22 -to ENET1_RST_N +set_location_assignment PIN_D23 -to ENET1_MDC +set_location_assignment PIN_D25 -to ENET1_MDIO +set_location_assignment PIN_D24 -to ENET1_INT_N +set_location_assignment PIN_C25 -to ENET1_TX_DATA[0] +set_location_assignment PIN_A26 -to ENET1_TX_DATA[1] +set_location_assignment PIN_B26 -to ENET1_TX_DATA[2] +set_location_assignment PIN_C26 -to ENET1_TX_DATA[3] +set_location_assignment PIN_C22 -to ENET1_TX_CLK +set_location_assignment PIN_B25 -to ENET1_TX_EN +set_location_assignment PIN_A25 -to ENET1_TX_ER +set_location_assignment PIN_B23 -to ENET1_RX_DATA[0] +set_location_assignment PIN_C21 -to ENET1_RX_DATA[1] +set_location_assignment PIN_A23 -to ENET1_RX_DATA[2] +set_location_assignment PIN_D21 -to ENET1_RX_DATA[3] +set_location_assignment PIN_B15 -to ENET1_RX_CLK +set_location_assignment PIN_A22 -to ENET1_RX_DV +set_location_assignment PIN_C24 -to ENET1_RX_ER +set_location_assignment PIN_D20 -to ENET1_RX_CRS +set_location_assignment PIN_B22 -to ENET1_RX_COL +set_location_assignment PIN_E5 -to TD_HS +set_location_assignment PIN_E4 -to TD_VS +set_location_assignment PIN_B14 -to TD_CLK27 +set_location_assignment PIN_G7 -to TD_RESET_N +set_location_assignment PIN_E8 -to TD_DATA[0] +set_location_assignment PIN_A7 -to TD_DATA[1] +set_location_assignment PIN_D8 -to TD_DATA[2] +set_location_assignment PIN_C7 -to TD_DATA[3] +set_location_assignment PIN_D7 -to TD_DATA[4] +set_location_assignment PIN_D6 -to TD_DATA[5] +set_location_assignment PIN_E7 -to TD_DATA[6] +set_location_assignment PIN_F7 -to TD_DATA[7] +set_location_assignment PIN_J6 -to OTG_DATA[0] +set_location_assignment PIN_K4 -to OTG_DATA[1] +set_location_assignment PIN_J5 -to OTG_DATA[2] +set_location_assignment PIN_K3 -to OTG_DATA[3] +set_location_assignment PIN_J4 -to OTG_DATA[4] +set_location_assignment PIN_J3 -to OTG_DATA[5] +set_location_assignment PIN_J7 -to OTG_DATA[6] +set_location_assignment PIN_H6 -to OTG_DATA[7] +set_location_assignment PIN_H3 -to OTG_DATA[8] +set_location_assignment PIN_H4 -to OTG_DATA[9] +set_location_assignment PIN_G1 -to OTG_DATA[10] +set_location_assignment PIN_G2 -to OTG_DATA[11] +set_location_assignment PIN_G3 -to OTG_DATA[12] +set_location_assignment PIN_F1 -to OTG_DATA[13] +set_location_assignment PIN_F3 -to OTG_DATA[14] +set_location_assignment PIN_G4 -to OTG_DATA[15] +set_location_assignment PIN_H7 -to OTG_ADDR[0] +set_location_assignment PIN_C3 -to OTG_ADDR[1] +set_location_assignment PIN_J1 -to OTG_DREQ[0] +set_location_assignment PIN_A3 -to OTG_CS_N +set_location_assignment PIN_A4 -to OTG_WR_N +set_location_assignment PIN_B3 -to OTG_RD_N +set_location_assignment PIN_D5 -to OTG_INT +set_location_assignment PIN_C5 -to OTG_RST_N +set_location_assignment PIN_Y15 -to IRDA_RXD +set_location_assignment PIN_U7 -to DRAM_BA[0] +set_location_assignment PIN_R4 -to DRAM_BA[1] +set_location_assignment PIN_U2 -to DRAM_DQM[0] +set_location_assignment PIN_W4 -to DRAM_DQM[1] +set_location_assignment PIN_K8 -to DRAM_DQM[2] +set_location_assignment PIN_N8 -to DRAM_DQM[3] +set_location_assignment PIN_U6 -to DRAM_RAS_N +set_location_assignment PIN_V7 -to DRAM_CAS_N +set_location_assignment PIN_AA6 -to DRAM_CKE +set_location_assignment PIN_AE5 -to DRAM_CLK +set_location_assignment PIN_V6 -to DRAM_WE_N +set_location_assignment PIN_T4 -to DRAM_CS_N +set_location_assignment PIN_W3 -to DRAM_DQ[0] +set_location_assignment PIN_W2 -to DRAM_DQ[1] +set_location_assignment PIN_V4 -to DRAM_DQ[2] +set_location_assignment PIN_W1 -to DRAM_DQ[3] +set_location_assignment PIN_V3 -to DRAM_DQ[4] +set_location_assignment PIN_V2 -to DRAM_DQ[5] +set_location_assignment PIN_V1 -to DRAM_DQ[6] +set_location_assignment PIN_U3 -to DRAM_DQ[7] +set_location_assignment PIN_Y3 -to DRAM_DQ[8] +set_location_assignment PIN_Y4 -to DRAM_DQ[9] +set_location_assignment PIN_AB1 -to DRAM_DQ[10] +set_location_assignment PIN_AA3 -to DRAM_DQ[11] +set_location_assignment PIN_AB2 -to DRAM_DQ[12] +set_location_assignment PIN_AC1 -to DRAM_DQ[13] +set_location_assignment PIN_AB3 -to DRAM_DQ[14] +set_location_assignment PIN_AC2 -to DRAM_DQ[15] +set_location_assignment PIN_M8 -to DRAM_DQ[16] +set_location_assignment PIN_L8 -to DRAM_DQ[17] +set_location_assignment PIN_P2 -to DRAM_DQ[18] +set_location_assignment PIN_N3 -to DRAM_DQ[19] +set_location_assignment PIN_N4 -to DRAM_DQ[20] +set_location_assignment PIN_M4 -to DRAM_DQ[21] +set_location_assignment PIN_M7 -to DRAM_DQ[22] +set_location_assignment PIN_L7 -to DRAM_DQ[23] +set_location_assignment PIN_U5 -to DRAM_DQ[24] +set_location_assignment PIN_R7 -to DRAM_DQ[25] +set_location_assignment PIN_R1 -to DRAM_DQ[26] +set_location_assignment PIN_R2 -to DRAM_DQ[27] +set_location_assignment PIN_R3 -to DRAM_DQ[28] +set_location_assignment PIN_T3 -to DRAM_DQ[29] +set_location_assignment PIN_U4 -to DRAM_DQ[30] +set_location_assignment PIN_U1 -to DRAM_DQ[31] +set_location_assignment PIN_R6 -to DRAM_ADDR[0] +set_location_assignment PIN_V8 -to DRAM_ADDR[1] +set_location_assignment PIN_U8 -to DRAM_ADDR[2] +set_location_assignment PIN_P1 -to DRAM_ADDR[3] +set_location_assignment PIN_V5 -to DRAM_ADDR[4] +set_location_assignment PIN_W8 -to DRAM_ADDR[5] +set_location_assignment PIN_W7 -to DRAM_ADDR[6] +set_location_assignment PIN_AA7 -to DRAM_ADDR[7] +set_location_assignment PIN_Y5 -to DRAM_ADDR[8] +set_location_assignment PIN_Y6 -to DRAM_ADDR[9] +set_location_assignment PIN_R5 -to DRAM_ADDR[10] +set_location_assignment PIN_AA5 -to DRAM_ADDR[11] +set_location_assignment PIN_Y7 -to DRAM_ADDR[12] +set_location_assignment PIN_AB7 -to SRAM_ADDR[0] +set_location_assignment PIN_AD7 -to SRAM_ADDR[1] +set_location_assignment PIN_AE7 -to SRAM_ADDR[2] +set_location_assignment PIN_AC7 -to SRAM_ADDR[3] +set_location_assignment PIN_AB6 -to SRAM_ADDR[4] +set_location_assignment PIN_AE6 -to SRAM_ADDR[5] +set_location_assignment PIN_AB5 -to SRAM_ADDR[6] +set_location_assignment PIN_AC5 -to SRAM_ADDR[7] +set_location_assignment PIN_AF5 -to SRAM_ADDR[8] +set_location_assignment PIN_T7 -to SRAM_ADDR[9] +set_location_assignment PIN_AF2 -to SRAM_ADDR[10] +set_location_assignment PIN_AD3 -to SRAM_ADDR[11] +set_location_assignment PIN_AB4 -to SRAM_ADDR[12] +set_location_assignment PIN_AC3 -to SRAM_ADDR[13] +set_location_assignment PIN_AA4 -to SRAM_ADDR[14] +set_location_assignment PIN_AB11 -to SRAM_ADDR[15] +set_location_assignment PIN_AC11 -to SRAM_ADDR[16] +set_location_assignment PIN_AB9 -to SRAM_ADDR[17] +set_location_assignment PIN_AB8 -to SRAM_ADDR[18] +set_location_assignment PIN_T8 -to SRAM_ADDR[19] +set_location_assignment PIN_AH3 -to SRAM_DQ[0] +set_location_assignment PIN_AF4 -to SRAM_DQ[1] +set_location_assignment PIN_AG4 -to SRAM_DQ[2] +set_location_assignment PIN_AH4 -to SRAM_DQ[3] +set_location_assignment PIN_AF6 -to SRAM_DQ[4] +set_location_assignment PIN_AG6 -to SRAM_DQ[5] +set_location_assignment PIN_AH6 -to SRAM_DQ[6] +set_location_assignment PIN_AF7 -to SRAM_DQ[7] +set_location_assignment PIN_AD1 -to SRAM_DQ[8] +set_location_assignment PIN_AD2 -to SRAM_DQ[9] +set_location_assignment PIN_AE2 -to SRAM_DQ[10] +set_location_assignment PIN_AE1 -to SRAM_DQ[11] +set_location_assignment PIN_AE3 -to SRAM_DQ[12] +set_location_assignment PIN_AE4 -to SRAM_DQ[13] +set_location_assignment PIN_AF3 -to SRAM_DQ[14] +set_location_assignment PIN_AG3 -to SRAM_DQ[15] +set_location_assignment PIN_AC4 -to SRAM_UB_N +set_location_assignment PIN_AD4 -to SRAM_LB_N +set_location_assignment PIN_AF8 -to SRAM_CE_N +set_location_assignment PIN_AD5 -to SRAM_OE_N +set_location_assignment PIN_AE8 -to SRAM_WE_N +set_location_assignment PIN_AG12 -to FL_ADDR[0] +set_location_assignment PIN_AH7 -to FL_ADDR[1] +set_location_assignment PIN_Y13 -to FL_ADDR[2] +set_location_assignment PIN_Y14 -to FL_ADDR[3] +set_location_assignment PIN_Y12 -to FL_ADDR[4] +set_location_assignment PIN_AA13 -to FL_ADDR[5] +set_location_assignment PIN_AA12 -to FL_ADDR[6] +set_location_assignment PIN_AB13 -to FL_ADDR[7] +set_location_assignment PIN_AB12 -to FL_ADDR[8] +set_location_assignment PIN_AB10 -to FL_ADDR[9] +set_location_assignment PIN_AE9 -to FL_ADDR[10] +set_location_assignment PIN_AF9 -to FL_ADDR[11] +set_location_assignment PIN_AA10 -to FL_ADDR[12] +set_location_assignment PIN_AD8 -to FL_ADDR[13] +set_location_assignment PIN_AC8 -to FL_ADDR[14] +set_location_assignment PIN_Y10 -to FL_ADDR[15] +set_location_assignment PIN_AA8 -to FL_ADDR[16] +set_location_assignment PIN_AH12 -to FL_ADDR[17] +set_location_assignment PIN_AC12 -to FL_ADDR[18] +set_location_assignment PIN_AD12 -to FL_ADDR[19] +set_location_assignment PIN_AE10 -to FL_ADDR[20] +set_location_assignment PIN_AD10 -to FL_ADDR[21] +set_location_assignment PIN_AD11 -to FL_ADDR[22] +set_location_assignment PIN_AH8 -to FL_DQ[0] +set_location_assignment PIN_AF10 -to FL_DQ[1] +set_location_assignment PIN_AG10 -to FL_DQ[2] +set_location_assignment PIN_AH10 -to FL_DQ[3] +set_location_assignment PIN_AF11 -to FL_DQ[4] +set_location_assignment PIN_AG11 -to FL_DQ[5] +set_location_assignment PIN_AH11 -to FL_DQ[6] +set_location_assignment PIN_AF12 -to FL_DQ[7] +set_location_assignment PIN_AG7 -to FL_CE_N +set_location_assignment PIN_AG8 -to FL_OE_N +set_location_assignment PIN_AE11 -to FL_RST_N +set_location_assignment PIN_Y1 -to FL_RY +set_location_assignment PIN_AC10 -to FL_WE_N +set_location_assignment PIN_AE12 -to FL_WP_N +set_location_assignment PIN_AB22 -to GPIO[0] +set_location_assignment PIN_AC15 -to GPIO[1] +set_location_assignment PIN_AB21 -to GPIO[2] +set_location_assignment PIN_Y17 -to GPIO[3] +set_location_assignment PIN_AC21 -to GPIO[4] +set_location_assignment PIN_Y16 -to GPIO[5] +set_location_assignment PIN_AD21 -to GPIO[6] +set_location_assignment PIN_AE16 -to GPIO[7] +set_location_assignment PIN_AD15 -to GPIO[8] +set_location_assignment PIN_AE15 -to GPIO[9] +set_location_assignment PIN_AC19 -to GPIO[10] +set_location_assignment PIN_AF16 -to GPIO[11] +set_location_assignment PIN_AD19 -to GPIO[12] +set_location_assignment PIN_AF15 -to GPIO[13] +set_location_assignment PIN_AF24 -to GPIO[14] +set_location_assignment PIN_AE21 -to GPIO[15] +set_location_assignment PIN_AF25 -to GPIO[16] +set_location_assignment PIN_AC22 -to GPIO[17] +set_location_assignment PIN_AE22 -to GPIO[18] +set_location_assignment PIN_AF21 -to GPIO[19] +set_location_assignment PIN_AF22 -to GPIO[20] +set_location_assignment PIN_AD22 -to GPIO[21] +set_location_assignment PIN_AG25 -to GPIO[22] +set_location_assignment PIN_AD25 -to GPIO[23] +set_location_assignment PIN_AH25 -to GPIO[24] +set_location_assignment PIN_AE25 -to GPIO[25] +set_location_assignment PIN_AG22 -to GPIO[26] +set_location_assignment PIN_AE24 -to GPIO[27] +set_location_assignment PIN_AH22 -to GPIO[28] +set_location_assignment PIN_AF26 -to GPIO[29] +set_location_assignment PIN_AE20 -to GPIO[30] +set_location_assignment PIN_AG23 -to GPIO[31] +set_location_assignment PIN_AF20 -to GPIO[32] +set_location_assignment PIN_AH26 -to GPIO[33] +set_location_assignment PIN_AH23 -to GPIO[34] +set_location_assignment PIN_AG26 -to GPIO[35] +set_location_assignment PIN_AH15 -to HSMC_CLKIN0 +set_location_assignment PIN_AD28 -to HSMC_CLKOUT0 +set_location_assignment PIN_AE26 -to HSMC_D[0] +set_location_assignment PIN_AE28 -to HSMC_D[1] +set_location_assignment PIN_AE27 -to HSMC_D[2] +set_location_assignment PIN_AF27 -to HSMC_D[3] +set_location_assignment PIN_J27 -to HSMC_CLKIN_P1 +set_location_assignment PIN_J28 -to HSMC_CLKIN_N1 +set_location_assignment PIN_G23 -to HSMC_CLKOUT_P1 +set_location_assignment PIN_G24 -to HSMC_CLKOUT_N1 +set_location_assignment PIN_Y27 -to HSMC_CLKIN_P2 +set_location_assignment PIN_Y28 -to HSMC_CLKIN_N2 +set_location_assignment PIN_V23 -to HSMC_CLKOUT_P2 +set_location_assignment PIN_V24 -to HSMC_CLKOUT_N2 +set_location_assignment PIN_D27 -to HSMC_TX_D_P[0] +set_location_assignment PIN_D28 -to HSMC_TX_D_N[0] +set_location_assignment PIN_E27 -to HSMC_TX_D_P[1] +set_location_assignment PIN_E28 -to HSMC_TX_D_N[1] +set_location_assignment PIN_F27 -to HSMC_TX_D_P[2] +set_location_assignment PIN_F28 -to HSMC_TX_D_N[2] +set_location_assignment PIN_G27 -to HSMC_TX_D_P[3] +set_location_assignment PIN_G28 -to HSMC_TX_D_N[3] +set_location_assignment PIN_K27 -to HSMC_TX_D_P[4] +set_location_assignment PIN_K28 -to HSMC_TX_D_N[4] +set_location_assignment PIN_M27 -to HSMC_TX_D_P[5] +set_location_assignment PIN_M28 -to HSMC_TX_D_N[5] +set_location_assignment PIN_K21 -to HSMC_TX_D_P[6] +set_location_assignment PIN_K22 -to HSMC_TX_D_N[6] +set_location_assignment PIN_H23 -to HSMC_TX_D_P[7] +set_location_assignment PIN_H24 -to HSMC_TX_D_N[7] +set_location_assignment PIN_J23 -to HSMC_TX_D_P[8] +set_location_assignment PIN_J24 -to HSMC_TX_D_N[8] +set_location_assignment PIN_P27 -to HSMC_TX_D_P[9] +set_location_assignment PIN_P28 -to HSMC_TX_D_N[9] +set_location_assignment PIN_J25 -to HSMC_TX_D_P[10] +set_location_assignment PIN_J26 -to HSMC_TX_D_N[10] +set_location_assignment PIN_L27 -to HSMC_TX_D_P[11] +set_location_assignment PIN_L28 -to HSMC_TX_D_N[11] +set_location_assignment PIN_V25 -to HSMC_TX_D_P[12] +set_location_assignment PIN_V26 -to HSMC_TX_D_N[12] +set_location_assignment PIN_R27 -to HSMC_TX_D_P[13] +set_location_assignment PIN_R28 -to HSMC_TX_D_N[13] +set_location_assignment PIN_U27 -to HSMC_TX_D_P[14] +set_location_assignment PIN_U28 -to HSMC_TX_D_N[14] +set_location_assignment PIN_V27 -to HSMC_TX_D_P[15] +set_location_assignment PIN_V28 -to HSMC_TX_D_N[15] +set_location_assignment PIN_U22 -to HSMC_TX_D_P[16] +set_location_assignment PIN_V22 -to HSMC_TX_D_N[16] +set_location_assignment PIN_F24 -to HSMC_RX_D_P[0] +set_location_assignment PIN_F25 -to HSMC_RX_D_N[0] +set_location_assignment PIN_D26 -to HSMC_RX_D_P[1] +set_location_assignment PIN_C27 -to HSMC_RX_D_N[1] +set_location_assignment PIN_F26 -to HSMC_RX_D_P[2] +set_location_assignment PIN_E26 -to HSMC_RX_D_N[2] +set_location_assignment PIN_G25 -to HSMC_RX_D_P[3] +set_location_assignment PIN_G26 -to HSMC_RX_D_N[3] +set_location_assignment PIN_H25 -to HSMC_RX_D_P[4] +set_location_assignment PIN_H26 -to HSMC_RX_D_N[4] +set_location_assignment PIN_K25 -to HSMC_RX_D_P[5] +set_location_assignment PIN_K26 -to HSMC_RX_D_N[5] +set_location_assignment PIN_L23 -to HSMC_RX_D_P[6] +set_location_assignment PIN_L24 -to HSMC_RX_D_N[6] +set_location_assignment PIN_M25 -to HSMC_RX_D_P[7] +set_location_assignment PIN_M26 -to HSMC_RX_D_N[7] +set_location_assignment PIN_R25 -to HSMC_RX_D_P[8] +set_location_assignment PIN_R26 -to HSMC_RX_D_N[8] +set_location_assignment PIN_T25 -to HSMC_RX_D_P[9] +set_location_assignment PIN_T26 -to HSMC_RX_D_N[9] +set_location_assignment PIN_U25 -to HSMC_RX_D_P[10] +set_location_assignment PIN_U26 -to HSMC_RX_D_N[10] +set_location_assignment PIN_L21 -to HSMC_RX_D_P[11] +set_location_assignment PIN_L22 -to HSMC_RX_D_N[11] +set_location_assignment PIN_N25 -to HSMC_RX_D_P[12] +set_location_assignment PIN_N26 -to HSMC_RX_D_N[12] +set_location_assignment PIN_P25 -to HSMC_RX_D_P[13] +set_location_assignment PIN_P26 -to HSMC_RX_D_N[13] +set_location_assignment PIN_P21 -to HSMC_RX_D_P[14] +set_location_assignment PIN_R21 -to HSMC_RX_D_N[14] +set_location_assignment PIN_R22 -to HSMC_RX_D_P[15] +set_location_assignment PIN_R23 -to HSMC_RX_D_N[15] +set_location_assignment PIN_T21 -to HSMC_RX_D_P[16] +set_location_assignment PIN_T22 -to HSMC_RX_D_N[16] +set_location_assignment PIN_J10 -to EX_IO[0] +set_location_assignment PIN_J14 -to EX_IO[1] +set_location_assignment PIN_H13 -to EX_IO[2] +set_location_assignment PIN_H14 -to EX_IO[3] +set_location_assignment PIN_F14 -to EX_IO[4] +set_location_assignment PIN_E10 -to EX_IO[5] +set_location_assignment PIN_D9 -to EX_IO[6] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf.bak b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf.bak new file mode 100644 index 0000000..4d3075b --- /dev/null +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qsf.bak @@ -0,0 +1,60 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2020 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition +# Date created = 12:24:51 November 18, 2022 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# MuxDemo_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE auto +set_global_assignment -name TOP_LEVEL_ENTITY Mux16_1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:24:51 NOVEMBER 18, 2022" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_global_assignment -name BDF_FILE Mux16_1.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE Mux16_1.vwf \ No newline at end of file diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qws b/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.qws index f6d591182f1a8e4403fccb18b9bf3d3dae2a5f8c..5691b6f2d3394bd789ea598caa9f4cf8ed0457c5 100644 GIT binary patch delta 154 zcmdnUH%)kgHY4*y9aCvB2499!h6)B3hE#@JhI|G+h9rg*hBO8S2A0XInSwWNEoItx ze+8o)^FE-^R0ak%TLuQE5(WlT{^X@hiR@4#Ci61Ova#6#m6>cdU|z+@2G$b1`2mX& SlRVG_rh148K=Z&RBJ%;|wjAXE delta 80 zcmbOxypeB$HY3wS9aBc;$pWmw8_$=Odo4(nV-H1t^ R0m!UpU|_rtWIzEj9{~2(4=4Zt diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.(2).cnf.cdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.(2).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..dc46e2ce1b5c9e975fc3efe26bf642d9886b432a GIT binary patch literal 1158 zcmV;11bO=u000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*B_{00000008X*000000055y0000000000 z004#r00000004La>{m@s6hRQ}MNnhp;z!`XQ44m??+da8LTYY_C4wU3e=9eaD;bhGaP+3r{CuiJh^D`H{rMWT09*`SjvJ+j)A9$9WmkE}PPM;4sYy^3S{R9L&*4`q40qLC#+vCvz6%XYUS7@0P?gG^u zW9l5#IpAjao9f_QYXmErfVvZt9UkKHZ~-F zX=FdblU~isl7hy6kr(;L@d(l0@k1}gUaX5eFKpE|P29homor|AASX~p%*)OZ+P|Uq z&}JQ+mioj7Q5K`t(^Ir5yAk2jF-aaxPFZr{d8JS+3Sb#l{Cwf_@CQnk;rriv&Zb`b$JT8?rIm~UTo9hkOb zo-zS`xT0TB?Q=y8ZX0^+&Br;eV$MGR00960cmZrtO)mpc6us4!QXh@a1i?alG)OHZ zT{L25`qEC*&M-3)jl>fnG?Io;v9PfbcK(315G!IOb|ij=gsqJgv2pICj7ldtIrH8< z_n!OijgXI)iD+1M|FdQpiLm^zBUv4Krt}G1JQi?RyjL;lE zE#Mp-zkA>^jCPJERcWUbN654;EXC5&`2g)B@TDwYfT#;-0X(5$CPk2rad)CzXF9-K ztf@kyo3%89o2%^I!6-NiL;$af?g%SaM;o}jKmB;^1hZA8$YfE70th^wB@RKZR}egB z=X3S$aq;sbT>VL!nVU5YOs9cHkaD{=y2b6XS2y4_!$LW0TIErODUR?>&)XHqb!;ok ze}^Ph#TJe91mnHFmm~K7*^F1Vyq_kDA>4icz65g14T0yJtW@U>EiICgx0v{ohY3f= zCS$SbW{UfXo*NUxAa@S`Q+XRzQ(Ig)JMza3@`_5uox*x?ZFQhf+>N-;EcvH;e-!=r Y@!H_2D2}dXg|tKpEegy21^@v6|E`4^ga7~l literal 0 HcmV?d00001 diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.(2).cnf.hdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..85d6e86ff7e508345211b7371f13cad868b3698e GIT binary patch literal 769 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A-o6kTx`BQ zh@ABI`r7AW+*%yAB9ToBS``8OT0b=G+WIdp?tiec|G~+xzsrnH2VJ`(GvnFx8sph1 zleUO=e!Y;R`%~)IZC!S^-yiPQf3o#^(3@>3-S2nm&|8M{@%MA;Qv2V_&yP2|XfGQ- ztuwewdK2%=S<5zGO5!}xdQq@!M7Oa_s?i!7ts zHXO@Py4K(xdqCgdqIJ!`;LtxRS;;a$Gjw|o#%(8Rtg| zDq@O-H#C3UZmvGEce74bO6XFz`jvNGFWq^kwenW1TIfGWB z-bQa$;vSKhXH1{OW6Te_Yebs_Ts<+zYo3O?6UHpoh-!E>2lHYF@-(}amKL{5d#g`^(8Aa5|w z$W~VV4s=05MR^L;vge@CK6Av-1y5*j-PTDVF|lglONIVpH||#vXW+VLdivizEp9P&bERu zqkP`x!M?Q{a-K!nLr^=&@Cg5$wqg!dyep1zopHCNcxE5UtUGWpv>k!2 zqmo&|vLxLiJz`*y2Er+&`cRM><<$o4vL9g5;1Rbij;-ZhYLaEw_B)^zW1ZHO)EF!D z(%Rb=tmDomJ~M2yg;-WdO|01Di?ABcJ{GcBC6qil-fceb5_R_3)at9$(9}kc<*PC2 zFGBi^*@((P$AJzxg8ClUTZZXACuK?JH)6%(S$DuUJe{|t#~gN;SG$(_6K8_To2ft_ zNs@SZnJ^HLNx@0r`#g{O*wGF z-QE7ynU`X#I%kq3Tdqk&Y{7bpxcWCcjFpuom|AKjRf+FX{RNpLG1>y03OVnime4;g zENe|LS<{IpzS^rgSCO3h*DL$ld^UzjU$~u)KySWdysr!g>^aqIOR5Z8l zZXBIi7pa(SbRsf7$3&BvRgTO#SM(w10}WXYm5X_RKwmi|y_ayh+|t46df2tqiEJ=8 z|BCw>+X5g)kM_Kd3UWDf(#1|My&+)sEJ$!t5V(RhM_@kk$K4)PaFj3KPG=feJ(C!R zmP5hKMK0SGFCB82PLAF0Ac%ey@%UJQPXV$+`)S>U(nudvwcBek9m^kMLPm{s3gyAvg~CoSc;3c^-5gqGH@?sv!_ef{F3+@<*=0J zBbcr?l}vSq-9=_K)`~cEq`e$T_s*9qi8byx{ORP%LJVIz$~E?gZon?3o86?p(xyi9 z+&s#jaYY%Itw1b~hUJ{l0`^K{-Q=u*CC15^r@`Y}w&0qqhBDr`v>5kv2Y{Y{@N0Uo z_CQ|VM%Hb1A9(z(fq(qnD@NC(_=*CHJrGNa%$vIF{$WU|%dI2hTV!nlp1L0SHRz3n ztMj2B6v}v4q39#W3Bl?z_-0JyM+iikE zl2Hj*Xbu@GdngmNGT~Y&bWBl(04C|4>p|am5PV+JV`aU1;#`KA`-`$b#YT`(t->R@ z=)B4@;8Fu%5hCMxZO9XXtUfy1e(OPS5t#IStdqR`#8xfkvztA@cn8h2i_d@(%MN2R zw|)#x52LUq7LoDeGS;WN0>I8P(WM{|4bs2}G&-N=8Y+UjwBTcXtHu2hkPVSsEn}0g z9FO7atT@f4et5AK34vZ-zJxbxm+n!5cJ9~?^_bx`#fv)1m;sj@o-yDA{|O!+26r6j>? z#S*f2RYnVC0oZ3(X|o*xXp+F*cfi8_5frz`5Wg9;5axFDkwLwa1QSu`4^pZrVTlu> z8RjL583>jyfNDq|HNAAY+s|dP)M2e8lQ4WAau2|DF>syKn83A&Hn26}mfO!UvaHge z&p4~u`D29pRDQjO{+2BVJmJSa(`H{B93R=;#OwgR8~OI zO4})v`Sou8-DSvaxJ19bX8nr7B61;evsEc_90AJ$WSO4SUF|&AP|d#uZ$ag!$Qr5M z2T1xG!rVuw($?Iq075v4Rd;3)UwQeg6^N9cYFmK6ZJlAQzb+{&#<3-_MKD3$iYq|w z=*}xtZ_l!}rJ?1IpL|roPEcueQ8fVXcg8soY!clGuVawgV)id8lU)x+k069>NiZYg zkgN;lp&bXjcr7%$HRKxBXcAAK4X+}xA zxAeqztTc06@|~F38|~qW_*9j`%N6Tu+dF)jf*CPMUpXW0m<`PU!|&$?Vs?km$fI5h zeyVYy!QZ&CV%qOrm&&Z(!%ILngDwgANbWnn*IS*a%-Ar9+=Eerk2=gRD#CxyAj-@- zhv3(16uo-awAuEqb3l{(YeEtF#>Mi`H;Z~3yzvhwdbY*d|CokZe-HC~Z4Be-MgzPv zs)!;-FuD6T)gg(^HB+46y42=YV>pBU{2}H{=tMGVl!J?4DPd;kc>Os3+#E+|FwdXk zqV?F*Y#nAM+>_6%Z|q0$ISD9J9$VUpH%jpfBI6?7NYB4OnMN_06A|I4`8Ntpr$qNL z7-`DAEeZ$J&De1hI~2_@^fSB%^ElwL>2S_KPrtq^pB_FtZ^rMNYN+9~u1#*`51)x} z=FKP1YxCbd#^vtdjSzFWwaJ*2h57T6t(@@zwiSw9kttnZ+`xoU^q z9&@57UF)bj7UB_nRS-o}e$jGz#tX?8)%iZ#R^_Ui~tNXPoR zqC|8lr7od3nlX~kF~4&|lsZKtMyDQ(Ev`254LB|QR6lBBB@Z9mXZ-zc?g3Qj0VO|* zG&y7 z9kpIT1YW1{s$L0(?URH!eY;isrvhT?hK*<`23TjHUDq#-)|(t>-n?!A0T>f~ zRd-~tD6R8+%EsByH(RbI9p*0^7EouS&6j&6Ml+E?VZAQZjt_rfVCS!h32&dkuz7C$ z8NAl>Kn_KkQJa2oczWoNd=5IbW}#;PWS4&5G`DkpL*$Px?ED%-(!;p6VVRc43mI>^ zIaJu}?Ld}I4BTskZ?OSzvLnmm!t~O=-dq2)XZk&^{I~ifV2JRvfIpD<{BYr8Zb#j< zj{*-)O{Lxp+dvQvEmVji1XAJ1KY&e$leFBBxNzX(ke-d>Y{Ej~b=Pae9f^O+g&RU3zN&P_ z-kCzwCYA{5r6Y~kett9aX1w0%QmM28KKoAo0rJi}_h9`5j(>(Y+DyLu^?Ay@pB~~7 z{(sE}GpRXzfpl}=bi7Z{aisfFSQT`&=?Wuborp9zmCER~ zo(z?!1d$e%MD}H3jT93pl8c=SWp6&+BB4I6$P90KBaX#R;)x^e_0;ch~EIk6mhaeZ(BBT2Z& z(MFOcmkEEnvE}ZIkQOr8V}wJZ@#Uy>T3zDTOCjO6Matp1hc>bt?SQwF3JJd}BK)0) zNiFBo$qKKRP!o@5%Ur@tQy0}D_H20)Gh@iX&ESQ$8AqCgrX!2`s_B`H=`#U(|VdFcQE00960 Do-P~S delta 656 zcmV;B0&o511&s!fM}O%E00000004Lb00000006}R0000000000000dG00000004La z>{iWg(?AdoP!N9-Efk3pZ%{TRNeew7A(aD26b|j#+B)0Rka*qo8WoAB;jwsx-l`%F zv_R+c?o6WyTpuVWMjDTI{LME%yN)y0>2w~DUcX?yO#HW_K7S*tNiRhuQ{PY9&%c5o z4stb^&Y#xcg3JXYIQIXyVK9Gd4)=%-Ty6Ol344=trJuZgzW#C}>CQ(_PdtaTD zj57w8bYf zYS&JT@|hl4H&V_Nwp5k=U@~Wy#wN|wvd*o_%Awk;wDVQDR5<(2q`p+ItNnE|p@(_u z%*5ngkCWlHO6{b`#%7olgwK3tbAdi`*7`F)Iz~zSMt{?-oTzCZ{0~WYwHqeeW1GHT z)0MZ$$mGUpPpPuJ^dAZFZ@WPeqolX9^K=<)KwpqTt_33#kIUL%C(t?;cS(6yuogLp z066YM@9azjjGM19pb>;K0ciw%Yr}LS5H&O5oIx7X;hjGh)G8ehvN7GyHtAXw-fB*> zU#)r`voRMc>HHD20<|g}zeJG6IbHBDnLbn!u3xA{H1NA;)42K*&mgt}fa4V@{s)AI z5poKXO#((!0i-3N7^Kg`)5XQr4^=xe!vvrT@7wD(f^1+0Vm=@SsSa=q3h@l_^!H<7 qU;zrj0LU>Qer8??D+32i6i9<4c!0RLB*n_AxTGjEFC72?0RR8fswFG{ diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.cdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.cdb index ace4ec3bfe11f0469ea8e9671af48199c54ae16b..77ecbcc391a700cd151b71e6a19a23fc7edba582 100644 GIT binary patch literal 3412 zcmeH~`#%%x8^`s8RjlkAB_ed7oDZ9FOkanxP%3%ycavY+mp4j7*DY8j9#Ws&& z8Ic^4F{c^UP>!*UvduAkJ+Yd!d81!u7yNKLrclz{tJY z%ksbdb4354e?+K2_+S0oI|BcWWA<_Ksc9#FmwXiO;}JwJH#0XEbyixxsuvyx>hjf5 zfa#!43@C{D9DDLb33}Yjogxu_;`^yE#q89y`-ct{-<-OWm0D>QM$|B;OZO2ep(A($ z^0`8*vX9fzZG~?r37f2VTE(Pu2CcKzt9p17!hX;i#Wt*(4B7TzlihH5@{v(S-3fFL zi{Hrtp90IMEePajMpIT(Z zO8Ud2;K)Qp^h}QJ;fsKa0K(d(@7}(a|y~{_xbh9}8YZCOuTK`f=ujK|1fY`kp@e2?tBJ`6QveV2m)YNE}y_7!P42SB}#Am zo9|b>aedPl&UG0|WE;5O9_K;JRttEwo7sn3D47I8^Y@LBfZnLOsF&9SF1qEft@SbHtUE9<0MzG4t0&x3qSzB&@gPn|+$D4k7nyj$A0W>J+y=8nj6TE^_oz z;(R`sC77a%6y)~AeV?s-Lg!}e=!*AH1POD$D5YbEjf2Vb^Pt=3M(bw)X3qUjG* zsZdp_Rb>VS=V=i33aEONy9Qd>qzG7*a#2-!O4Kz&9=wTbce(~Sx*204kfp|8qS4&D zKgW^^-gBNLH9d}iCY;VCTwwm}o|;N-gFEu2wk$7gt+tjg$kDkBtNBlZ&8grQK_}wO ziXbaDbNOJ|Yu>JyT{Kj{Hnh1)mTTH<=7(3VH zO?n4MlS~T`V+T(hJxg5KxY-)O>r^Hi1)JD0_6HWm*-Vc#kiR%=@YzN#E&)8u8QgYG zO5a?vE?KQ(qUm*)I`l7nqHF{;I;1duF88GBqT1-RpE{O#=qabe0)`X*^shKi~Huq4uut<{SOR{#XErMKS7`0wQSV1K*UwS zXOhSa4GZ06#ID~KRF!4VCu?C$@w3WQ@0Ak#in2?GC#zIE zuXbnkG)768f4mOg>TL?NAMdIVRH@?wVf1Z;>M+dJZOKMA@?7p1GQt5d;^G!MMuON} zw45-J)kF~Ib(x8MOj>##`HmdI7;Jny9%{}0^x2QDha)Q^;yTw`H*@Mj`k0A&IV`t6 zrtK`GzQuCFH`X&`f>eLRAZN9T>&rbG631AL`nbmM`h4*@!og_WM02YN%}bB^g9)4DUWT@iet6i>!8nIV-+!2BrHtM}n4V zdxh{*eB-!~(C%)`KIBsy*ikddkILKqqkXSePoqTyj;$*4Rb4V}`i-OlZPKan!coyI zvvSmZRL~$a?F#@a{Nu~D8%FDB5m0+Z4xoc1X;yyRxbktHlFFuk{vQmK##T%tFL8Ny zg7$eFBx$CNx-m1d3KK?(xoAG~_P_XI)Nfy_x0v;N<}e&!)WIK?J`F{R9CIz9e`~Ze zm4rC9)d&OPynMy()dM&12NGz#nm_vpSu^cF0u7&}&;~?o95^u2%8R$+0D^5pi6QFP zIw++K(#86)!gFTNPc3w^(B;5IclnlRi`mkAMhHe#Hp4pk0gZ-di|N7L4+UqBPbP$2 z0H)4{8CU@7Y43WxgR2kg0lPnO@OO&uSHFGSHWN8_iPe#woq4ZVu6lD6spNI70t9f; zzMXyRMZx;v$G)QP?U;^3YMSpAp?TfIlA|&D2Etjyzs7mi>Ic_~OHHN1ELG+d{nOt< z#M@WmW=;z!9?2RMajKxfTub68jvA}oHm*RT)6&C&hKLeJHQci?(X)48$DBen`v?cD zua@uFQ0M#Iu{ALdo;;H~v-YweEPSUo@8m^2QO5q&=rp6F2+M;K35GxT(Et=`=X=ZJ zEd6`7!0YGrjg*HnJg(HP|8R57{2VhvV6yuBU1Y{xgD!~=07d`sr?c)OB&yc3FzBcMF?^<_K}+-c}Nhd zgG!As2G=8y(%j_q>1Dee@%N9sf~d1;7GLg!XTwaZ%4n>NN=t?o)~mkDGX>{zNvxb> ie%+J)I(F`xcc?jyD-iH6iPW}r__~Dc>`gkmyZ-~93$0@S literal 6740 zcmeHL`8O2a`&TNxDI-GJmyk94&NLEY5V931YhuVY)@dwRiV#I&$ewkqV;z%iB5QU- zP4;DEZDufL=Ij0W{QUh7KIh)^JkNRE=e}O|+;g9M?zvYP7#ONp&YW5X2CvhmeClo7 z`~y6FeS{R{zSH1SSja1 zM7eND2j`IwLUr*6Cz^N)8Xt}k(+vmqALRz*o}wdb-wr|%JLGxBwO~y|qHB1CH)2@J zH9XUs#Vx$aJF`!9m2CT67a}SuI;P_9w{~yVQF@Lk9fs8H!@2u!gtR@zxgs_f~56*sQzZEesQSN_Enmz$-&}xu%xAK(AmNgm>fx zjPB)AV+I9rzTf1_oan;RKEb@hOr8t4^gX+3jDjsE+8jEp42~uyb5v^0Esyd)l7W~y zJEf@rZ)Fgg<7IN4(+8?`7RlF~a9pUrnXXJ5F^HI?dIiW*saJ4$DI`M516o80jKC~e z>THB!!n|oOSH?r#3G-X>ux^wG>TfADo4TQkK;r$%1b8zyO;)zroix(T$yRUG!pHnJ zjvjtJ> z`oiP0B5(bk@HMk;mNB~U2Rt-4>wl*BiI_93WS{x%Ri%)0-<#vj=*o&)rLHRWrZ#Iq zrc)~l!qR=rbp;)*LWIo$$x}3aGSo3WC=`5(Mpu48%JsD#`x~F4u0fBdT^$8DPEjH0 z%?g&f>W-YW$x|Z4w95bJ|F=2+J30R=tN-s(IIDpuG-(R}ty%eB^=X#J9W`KdrP!q? zeU^V7inoat((1P4x!4N}5fryI6}_(w1x-J`cpSDf2zCrLG0tXVZ(*#pTzDayc1vd^ zG$k)H?Oj~IlW2I-l-{WR`)vu}cnzC%SE%J| z)v^4%t#)U9vd3IpLV=)GJxaLMKip90QSIIQlI-!Kq$-f=iv}F%-)LvOwVF4*5#g%$ zO*K^B>4)GN$Qnjb8JZVM!^l53>=iVM@SnENv`+#AKu4YEm4f)ei;uorOa=vG4LNy& zm%7!GIxoF7s7E^%9)GU2Rmlegi>d1IysL*cUDC&sk(0To%B_ZitF`i*8I8U$Lzjf* z%xb|ymq|R7+9pjr{vxyRLi^JdDrT_ac9VuM;y@!k!i1U69V3Bp@3dfK(MUEo^{@ zfR{{%D-r%BGmoyW9IVNqNP8hncY%YApcv+k>f~lN3Rr^H?ZQO~MJwor~*HM|=KyO$O#duq4O!WwmdCRHD zIH$|cmu}8gPFs>CIX2z@6C>;S@&_4cOE_%kU=5}0JU#L zu-Ig5H4*K<1EzM4Q!41!oE~BXIkjHMI>Jla>9!OiQ`V7l3Udypq!J=Kkg)Zc|3XvaKve0;J?3>9yJn=s(=>M7#v2l2DrS&>PydrqnfB{rnS02 zSVgk=ANZDZ$@{%Hj9vFColyfwtl;yrV9BL|a~ouF6EfvLgy?M{u8ef9W%MM+Z}NT>2fq zkwI)Z;Bm|=qb~iTIp(Is-~_8Nse*^qF~?c;;qD|P)o7OX;TMe&Q*_MKNLPy?a^m0< zbC})qats6p3wT2rNqN}eRJlt+QWgidFk&^pN_zS)TK2EfBR>yb^(CE(`LKlf zK8IN$ou00;uQaRj@37o`65J)gmy(y&NfxafLBfrwCK$Re;m<>XYVu$R^{2B3~a7!jLh;R9Ds9Ow2aT$McEfS9&;}j;+P$~>2bV6yEPzT6g10&F@A3_It~h`4 zLJYI~tWdAfN_a~YADoHPLKoCsrb{USlO!}%5%v8#yZqNVwamXC6)q0yYM^$GL&A>Q z)9V5J?Z&RT@2g8ELN&%{RFM=X0FKI~(Uo=MGX=roF}89n*aK=R8-$5y0qgDunI@!B zA9G={A=nm6m!YKf5f6PN3Hjp={V1+}`SW12;^y>5iV=Okk6lMYDx;F~sG7k4Y=-Y% zd5U?$jSfatQ*)9L#?Z_o`1im#I~pz0?r;ok1xdlx-$=#ACybw61YhS|ACJjU|x zJhmb<-TN!BO#;>D_7&nK3(J4RhzTEnyCr|@hJX&B8)TrGJVKc>%-*@#Zg@iOZ{eCz z5y-vyGABCoVSZ+$|7@*sPP;|+AFYr^yH zHIeJ#Hs%UNbF2D(*mgS;iNabFefI)+F7u~Lp;v0Vf6Q2m1XRjHJyp351d_1ToLRfb zcz5MoR_uYZOhPrsLO^;S-ZnKeB-DF981$M4 zxyqZ2fBn_Z`jSx@mKv{q(4?cI55^2Q#0O%n-%QNA1N}lL>Q^U zl=N5|-Uj)s=X}L)3Eoq6z-rS*#?*U@LL%d$-$j_?;yN1gM_#^)_m`kKimk9}YoIQY zBm~wg?VM|vr?Q!9E(o|nGz9`%1-@#wmqdPT2#q9^%`-V!G5+oZI^7f;V^4o_WZoGw z+NuiRv+U73IO{*&7nN~*-=86IxArwr{hexBCImI}>{*7Km$-}#=#r>wD zOvj|*(>$-PDqB{|7G3|y$r#hya$<^C0dYBu=Un>)?A$~;(3=d>eIaiC<92uN44%=J z$1XKPII}L1#MRzfE9-L&Ehnp92GGJ{^}kJbN-RU&gO#a{$zIin_4&`!FU$>M90CP1 zDD9}cbmm%Orj87~4KjkgN8A6o5Z z<{2q3DF7l2xS@f;Gf_s*aVu@rCHgZ1&l6SZ z7F?MJpf;IK(-i_)tq!mL{zNXQiy>jd(Q{^j7 zMzEB=Nc>^j7PmCfe~O3qmhs;y!fON=JDue(0#)8}9(#vK`oSg8~+dx>Ym%14&JVPm{ByT0yx@y4O=}dTlYdLZy9=s8L zD*YU_A+dU_dY<~%tyV4G>Sx*}F%}9wnNsUHrQfr^5W7;gmeM1wZ|ua&n`{Ln;;nYr z<1_k5E@X1?Y@qRyT z{hZPp^91d<-&ZoI6$W3VbqXUL$e!%4S}&*E#4nC?shebmYEnjqj&~dKn7GHoeM-ze zSt&WD>$-MU14=uzOg6mO&j-^6JTK?6VRIMxjUFk*Xp<%k;pJAqA*)AfA>IkWmOoN1 z9PA1mVfU(g5k=Gr3(y92wt^HeDl+awuS{4f^T%Lw42v_8V7mpW4xQ- z^Sf}FSb;%|T9zQyt$)S87>GC&y#r6%u3`a84!Jj?NsAm%xerx9L`dsg-VJA+xwM+e zKxf~wfP1|7X7?e}CSu<@La|^A;%hrhj_OpD816Lr3kuUwKK! zUQR3KTd=T|vwyP|?xLU(Gi<3nplRC=UVV4;cq&x?@28@b_2L4AoyqBZ#ARyOvhm_=-u+FUHkVR(PjnnRs4nAQWzKp%l8< zf492+ePM<3sRE*y?*q)qs-}L6a!}9&)cS*Ajq<#9X6nPAdD~I@VG1r9;i9PNvrA3& zEiisLr((jelWvWJ&difwyGog1a*2c%OaT^`c24f#}yst%t$h|{vMO&ZkZ>cNR z6#l>gKG!xBSYYg4z_BARvz0%*t-mcq?0e3kRH!mm=IV<`Ma|wpwCvPF*0gKGtXxo9 zT>#;ee20#t`K^xS`R1_h&8iB&0mJFmb>0dJx4wV&9;WSg?{&e~F=^f!L1erGKE&Q> zt1j`)MvR=YzVtMCL6QgxdWQG(U5mX7Q!^_wkJET$Nnr!R8ZWT#b5)s9oEvT{%dGw> zO81|FKw~}uLcE+oseaNqsgT;tR~+K20EPW9_$*Wwm4Ddf?kjx(mcZwrE}jh=zX&-f zV2g1)Bx{+#oDw0@CvJ0%GbnTAtc#?42xuO=!YIyp>y4K6bqxy~NFCSfM zj78nMJ%~c*C-KFjj_xV=hZo2;$Qoq`d%T|J!ErS|Vd+~n^S+~2S0j<7+%N>_?W59W zpr^~IgC?S4qOhZ?z^myZr9u~99(;~P^_TTRGTUY%ZlHu&uC<7LWa~Q3*ngw zn~QG#8S4cy@$TkqPL22JteJJ<`KUP9F@WPtJ1_Kj;#@U3hQ>q zc8bq-HnQza8#t`AR_l4dfWJsF@5=AsuQqd^^6u$Cys&h^)8A@3J>*Qpdy{7z7FXkZ zop8a~IKzj6kSdl zhjx-T9TQk2qwUmM{R%DhZ$={n%vZ5fui_lsS95nx)^fs!lM0qrDW1)HiJfL|CYBb=(UjgIA;Bhbk@#C`b;{r zjRC3K#_&g}Wu9!eL_8DYjh?GX_GVo*_trczC$qBNNFl3%`kr@H zv~{RA3`)ugn?3l);J3Q7-(+R~{e|&!!;K-yBXR=a5;>s}6uzS?6AR(WHO z5O$qiefyGUD%bTRvp@#c#k!8GCZBCqKW;zsVw`0}m1Qm1JXb2<{5tbClKX`ys$iw5 z!ZGmWdH15@^E2XG-GMt~Tal{g&9yAu*E26W#@GG?S4S-jo@C0g9zT68eDAI7`{r16 z;gxAxJp4`PU_COvw~_7L(i_(@MQdvl)rQ-mq0CcJ0F%duUxXa9K}w9P>H^*(DPIF! zhG7|if4Em&8XsO8O(;@M4}a342@8&bW7V^)-$$)a{hjLu+$2&wn?xK$V_FSulb4g*<4sn&nPwA7q#TwV*U%7 wpl_ovQ^BwP_{!S8XX;mQ&q1ymeCw=EnXSa5eIxCVC!4#C~s-QC@TySux)2X}XO*DwtHlkeXD-M7}eYkK!N zz3Wukh0Jv zT|NV`SVhJp(GhU9$0DG*le2YF1epI^PF@PQnyst};A&U#b)Uy5-2Lsl8M=xVXrLHP4)+Q@?wzhUJn2Pkrxns@mCYA8sTwEMDpJ0@fuh z>;-%ip2^f+P|iYP46}ac3pTaESzx2az~qJ7@u?jQovK5ty2S%?BfRt$SB=+70ZMBm6W6P_uL814JLz>=^8 z_B*MG;$^D>G+*3bAFbW<+U~cTPtKSbKf2d93g{?w;u$*F?`mFr6MG5a0EI3|k9?XO6gwgdlsDi{?&51LgrqW^_41Mr=d0aoD|DdO*T4+Q!C4%&m-^k_ zfdd4YE4+=LEIBYlK2ogCzc8M9p>jQ6dAIJI;BJRTi%918a2NQ|_@A2Sm$ik9PSO|% zb{Jb^&g^?{#1Fq;{?dwS(pVm-p|cRh-BY^LX&qrLivieAU5Wnk#?t;pHZN9B#qhjQ zr6BMoc~DwB?89w~8f)Q@YunrR3lIWT zYlK^`S0tw?Jl_|(!WP?$W7F?F+J91civqX*>ha|Faes~iE~@!9KA}K4pNDuJ0m&7< z=Scoa^r>qgAkN7q}nAI(Ld};S08DjvHNRhb&YCNTyw| z<^H*lQ(e26Bf7_4E){coHm{Xc_Ba;xU_@pma=41ZC0{Z~DVGE3D z91M~UQGh0wiIIxXB3AzgM@yHA-h>e#H(gtwfV(4Q7VTuGs~F`(K+X>Oz*|oGd+J@&rew63{u2jzw5$}e&2&> zB!lUQrOb%Orb}P-os29bi!Lo zhM(n!WMmATk14&c<(*PgZasFJ?Wn58iJ(4aD{S@TdWqH9z6*C~G*B+mW3%#!ATv%@ zRtkc%ma#fk7&q^0R1=rgJ5#3B%U#-yOO>h*pQcL%O4v&06|d&Se!X^$CcfAR#H%O$ zNcN~>vqnu4g&bJz{S;)1QfMP@-e4EyKK?*2ig_yZ7TrOCJd zhL^$012R7iJ8ET7AftudG)8Zuj9_%RW>6ph`%~?D+VW5VJHhGw2gE}=!Run3Al(ghR&7+9gAy7eFphJb32JT5m(Nd@yvj)`|zaRl_yX!kIB|EjcQfEZ%==I*bEwD zr%+yFnPaf^ZUM@F1j98kdMMsbLI4(P7!{nc$2b?asV`r(KdzA^m#bFq#nSB580M63((hdNceLyoXo8^O?bMGtS zSiuNsaHoR@H+mV2m9wdh&Vw*LqUBZIG7@O+)D)1vfVKn*Ruh#yK{WgRU!?7#?{233 zHMk#F9pv@t5E?UfWgF%RXAaZ`tr{H>p+=N|Tbtn#u<{0%py#vSOMH17!s|TN@AF)R z_a>s$^*2Ri#7V@ha-90D_58&iT#hgrc1=7P=3<+uw}I8+ZqICBpD_6|QPT;9dM#nM zAD`T0t0*@eS&5Z(tf|~jm--~Gdgv8y8SgJxY{Dnq&affz8jyI66j|A92FDGo>FC8| zjip&8^XReGV;T0b)cH+68fi~5$V1{E3Sj+mzn}=dIz>cDNi$6|MUNU>$pwxCobU{v z@bB+h^0JEFshAU&b}CzA^>ZW2zAjJsKXjt$Bc zTzpWcuL>l2FD$TXbcahKO`{;?z>Lyua{-FH^o;s*`o7alNf21u+mDLz-&RP!lP6in z|48LBF*;6aY@)skqaO}ze_`zDc4%QYS(N>?mc?b#(oksH(qJ@hZ8Dnl*6@I8;N_q6 z5Di%n>;%d%kq^YyouYjQwChL-bqJbH%H2| zC$FA07%_16`P;+Wq$t=sP@QUqDAWuaX&qW<>xYv$N0T{Mk~tZ9P{YDnu^*cdPI!wh`<*OMf|E*eU*^=HJqGgSvHLLK*qeyNjKgm{12 zYhcqoLs`vHaD@Y9^oo>E~0BR{4d zk*A##b0w!t6R;`qGN^L7hsH30o>|tXn}3yaAYt9@sTxm&yApU&hIHsCCGnM2Y}V9O zR3MmDm%(lQDy~1T43k+{erX!lS%m&ZlV*hE>hFvKG~9yG_`x*d5mj741!`qORuEk(aZY z7;E{>vGG?t$Npw>nxdndobc6~rkKSUTu+jQ@UXJkGLTzE%&))x7cFb~Mu-)Y1r@{I zsFog_99qg)M8(a*9J=4=w%Dt^6KMecB@K7M_Ic(?W0A%R?I4s#8khar zR-;yQdR7vTG;}jj%Dx)L}n(fo?j}KI6y&4dr`Fsmb>lQd#?TS`W+sP=^xv z*P&=Y9jXe{q5A(3(jbC?B*&<0H75n*$<|9#^&bB2e&>#`UEe7MA_`!R6N~!BB=%|h3Jnaa_^JCGG5$4 zFB{U(9}PUaMNE}+W4z+z3stf>aI(3>)atz5E8C+b1+8D~$B;i6oUn*u%NVuo+OY+y zh69aAtTQqX)tlJI>dmbajOLcfM+?d&V@0JC@uNa1xI$e=c<{&Sv8%~P4T}a^aE1b= zn#AJiNQL6*eu7{KLLdkQAPj)84+8klcx}=F(|uB>hV*8t1Zj~~Z824@c9GyINaN(h ze}~-vbtr0-o;ZbJB%crpb#hq-D7J~2$+Z_uTW9s3msie zsC!h8C9j;yd&IPEsOcVDJtwcg$dN?cj|&bVIOtW6l>ZO!-sy96Bw`Ra&XZGrUqY#- zJDq>;h?tz}RMwRxd~}3Q!+0X^!4)=c^-X2_Z*(#TfBSs~K27ad{{LdQls(Y)wxTsg zx*7%MiE4iCY+cHGOd%$({6FS?UwhVOdQ#m(JdxI}MfhmSwXACmF_~i{|K5~GdD}W{ zJo8H4gFZiHCAt3onOsdbO8BUmQ~CcjQ?M%A8PUnD-`nqxIhD8ZK@|_=J)H1q>|#N! zI+S&Bu_uSEL~_4*eRGG9Hq#)ywlJH;52i*qKuE|T<@v4%R=QShHvH>S9BT(xzKqIYoFx$Vi>F6D zM6_`U!jHK|ly|rd6Aa@(Q$#u13Plk_{2Fcx&3glT>@J}EUFbQx4=}Ec=9?x*o?dSx zFGl;j`<7QfdggTF@MeANw3m0?n7%Apj(LYttQjnxWQjtcP(i|(;FZ<0k?obm?=G4I zLr;j}c%PC|=@bV+VsHne--ZFU(mo1S13K1@3Xfc~{-3kXKXXFdaZMw`P(G1sI1uU; zTJTM)f5`G7D$tXPwEQg4{3-!D1(AYfqcAOb5PbnzMkNVnlTEIp%b7#?`TPO$Qt>EB zg;E?@8|EFkR^G1W*MCVVFxxsk|BiH^H>4S1`>Ns?hn9123AIT+g&c%<4yB_`KC z<|NIc+ow*cb&5OS97SQ*3Ra#pjY^AjKoA=C!tdhx^G1)5VEhmo>aR?FyjQQ{ArD+xI)Q z+mLR1DtD7d?ot~Da^zRXi{7xhEmfWvzfl5$~YM;@v>Q-OxN zbPyr_j|e}+=0=vmSFx5w9rLC$mFxk?kAzx``Tp1*RoGFp=#V&%m4v0!1sqVWs4r6TgTU6ytc6BWwRX zLUX+SWMPszaNAa`FX>nR%?xP>K2e~j@1f!RE!yN1<+YkqeM7!xm|ad5n(OIs^!oP% zKH2MZ><2UECPzv-rZFa-P^%$Cefvt!orAN zSaK_|sGmyD3(a<*0t@4^yye9Yv8r64BUZD)VPnA;tp@IhFADN`js{XcD1Ds+uELyc zI;Djg&7pWXqE!1VFk}1|=+?iK;$(ceQRJLz=tR$9bxwWvMC#f4W=;T1M>6}iw3_B(0?|7fRL0^Ef1F42?CekEc9kD?#=VDG6+}q!K(kMd2Zme4owiw}? zroQ3!rUMZP(o~2Hu_)Yt3YkO|@+hcE3Ct;#cskrl(4B~iXoGoKr19J@(9KG`VQI{h z@q?Hp3iDNdxP~bT^M)d6$<}wnbsGjT81d$f&4*eEM3e>F2d2{TF%rXdnK-BWJHaDk z6y{Z0{UPj`{kS(lP0rgGeJspxTeLxFD3^LCSVx}63IMS;{Upx*r7}SG%mQs+4EU z`)1N%99l`AW)l09=QgpZMW*6oJ4bm_qf)|yLP$bu5%~#+to;3P(qUI!lDDkXeXf-y zdul>X`;XV|$B$tqW{DaN<_jTQjl^RQnfoTr*(A2=oH{3rsPLes$F2gAH`bMh4AirQ ztidU)vqW%cJD5W=b6b`pQ&MhTX8eC@05mCIrccgrr*yYkzIt~%CU5Zb|d$8ne@ z{^ELnVKY%*`2m9~JSRd=ul3&!j6t%Os_uvDzZn{&(wO-DzCdzQ0jVi#UnhlyAMIH^3Sl)A`S>Av;KmDWVo+kcIctfcAJu`;Ra;CQhJQPt!)|(={!)>b@gTbOhO$e+& zp<+VN+Zf&y%Cl7uM4_z7;O5({m>wvlqXwdLv>F8{IKp57#d9a>=Bc>Asi#|^+^FX1 zPtxr|z&s~|g~H4W**yk(X|?EjQFGmQ3qRCY4~nY;&U4B&%6ZWjIQ*4ASUaExb*v3T z`uVn+keXP=d*loA408O1J^mcsqL_VB`hD*;=qn@uvUba0DNOFDqn{?NU-gI8ogeTG z?9wY`PJJ9uPq!hMJfV9!DoCNOeQqaWB+OTKC$~sZFE^=@_$==5J9&bz4i5>&K}b*g z;sg3Y9nxf&OKp+iPA58bzf&EKw*!j0{WA1E9J=yu)3ABG5z1OjV6WMI&u&2z7ZPuy zpP`Ek7HhFAMdzm(!D?73WG~YC!R`WB?q^^OBB$6pc$Hn?je96}wPW4#&5Ms{o3(-Ig{P+TW-Vv2`MCQ!%I(*Zy=v zj?mUH`Mc9}@uxm@2VkHO3G_|W@2H|qXb}Uh5L$F@eW4PI!Flp;B-Rgc&O%g6qv~h& zS|u67%?VZCZ0AsMwgccbul<|rXxg}>$0O;^cipjtx~wO3OhyC8aJ z9igj43wB%R;c4N6H+(&w&i(qI=~jKgtq;bu+w#ACNM{obT$c*3d%o_nt1@jb4KFMX zrhbA?h3y7ysAqi)-^z`A&w2EQSw-qQ@u;J(f~AoS{*L;JDn+h6gtqHSA0Gr)H*vjo zE9h|4ZG|VMT2)XRLq8bvig6Pg*xg=l$CIBqsNVmrPY&;Wu<<=yRDf&sMGje9p(|sj zUPf7IM)X3DB)YKcjKg0?A=7e)=tI4HNvImJ#teQFDd^Vqk3C$KgC{ri+aaT1?k4yJ z;{Z3(#bDhBpE~30qmhR7)|Yj=kYEdom7lni0M}98`6esCyie|uKwKF2fYKqStskTz zX$L0r0t`T|??a<**{y73lHy; z!loyo`{FLq3#ZE&>YYWlw9F>3xSzL-_`fd6{txN@0^$BaJP~+;Jv|7EK3zSmNS|VP zCy%G}KOz6uQttndf{zgU@8BaM&O(>exBaCVNc=AQ-!|i3%xAO9_W73}T@C~hY@?9) z(_m6PUs-F+C)sMPaW#BTvfZXNn+=VJBae@dXvIutvN>8ERBEW(BJdaPA+H76rEtP| z^sv4HTyPGLr_-Aqrz?&cRVQsU=2-2FkFt_0am_fUb=$#zWbz@|<)y%FHwVCN)5H|Z zzsxg~d4X>_1ig8ehcPyuY;h%M&GDAjTTEv^sM6VKFgG-Cxt(Mk{kD48j31em{>3x% z`MRYKP@(&>-O=g(cO}Z7{n)`C;sPFn0Rr#Gk{{JIkOG8p#5fg!|+ zd}w+Qm-5`OCkUJH`j(ZF1VOMrkh7$JhQ_^I_czn3lG+Qd`oVieYjw=;)yM^hOvc&} zM#4vW-^Z1|b32#fJ5bJ#;&wP67OAW=dzHUA1 zSl4_QyzcMCoBa&1IfGOAn1SXd{L_5Am)iKPxL_S^TZ*6 zOJsLzKjNhf9MZPO%=%pNQ?~Hanhj@#b4pdZ(iEQ>-cHDovrCs=Mv) zg}}19n9H3ag;z<6kgK9Pg~D5s)nFUtktS=}so%)A=6l zDbN@~D>&`^Di0&J}?4?{LxHk7S8=z~gELb?_)vaYwQ2stB za&wKa{njtd(8Bye{zg!TCLZ*@D_AQ*q*HpAIw-qH7TR(VP_4a z1B1+Uz)k;x;ro~@IC|*l)VW&+9iF^Zrq*3~5c z)?n~-Meyqfsg2sG?)QxCpNm9VvZl2Cgyvic3~hBEpl>_yG&j= zRg<5bg~LDGR)<-hySH10irAOj`9`ho$CJsNFV=r*hJQMVu>-~})#+Bg>_PHZC zYNfzQ@z~c@^hHPH){rJe%=YJcpJq1EjGFYR7jcru?_9b8>2;XYDM4akcb&jnT$IO< zNIfuHs5f0%wabo=OXo8&Ok{|)Qwg04W?v>e9|3H}v&mNyfj2{eH=DIH?iB_Y}xX10Ssue^93$xDWZIpWleWYM+#$BH-zlR@^iE zYN@tG>mRp%=7U0>fH{eb@<*AFn0B5bz04uS^W_XT2AaiOUvfGg%J#to?Uos7bZnUx zcv^d3X!l%b2gxn_L{seTW+vc#y2yV}M+%r?)?C)H;@Rrl2-ipIh>+ z*V~*z?oW3PK=Ma=sgtr;hi1_kd+f`NiCeLMPMYGzQILo9S-Fj4f~?hAz-AKzLgOH zk={?W-cN}h3qM(A_iAgHSC{}?bg06a7SlXOw6~1JyM#?UU)gmR=nv{^hLdend+Y*& z#UH&n8iCLxSaj5S)20($;2acZr=L05HF)ixXs4&$0pESxJ7V!hS{Kegds(pb%=$bG zPnu@D@(?UM{AQwnKKfpZ0MXh>=zcuHbR-m?l#QcGgRo7kig0yA=dLKk8%UgMIxL#v z97PY}9lor+3};QBSkAQJkt-g8(+YW)T4-*~RNm&uwI2Do9sL>85<3}Vxd1wbf$UID z$qsOoM(CM8bzWBd2zmk9D=Qj=4C8=rOln)V@W%7i|==wuJ4@lVWdao<1+qk8|-&Mi#L|dia?oWe75< z=fVA+{$c_;D4J6zGb(k68fp$dg+gIy) zweXu&!lfO$cKGS|M-{Zi+MHjNjMklenoUXr$y`^jJ)mr=3Ytb(sC@2OB_ar!Uoub-dAqN*Q>Ad($iew;YJzn1S3fX zS3O_VOel8n(_C$6F{U*3m<2Ui(+sC#>twT<{RZ2jflfa&sI;pcND|5bZYbEScq+S2 z+;)DixYCSQ>?HQN&nj!Nl99SnJxjy^l{%a&O}LdB6b##ou$n8 zq9s2QI0KA->+|SW1E0lqm|N*C?*1xREd2KR!=$G}SM3WX@vv-b$z~#v%bu2MGU{nH zbeeT>7f?1#NWF!e%&q}?^iX~Iv-cjNV6jrdP<5R$?|$YFEiv6(40k5Pm1ks!n&4`4 z`m=+ydPD+N643GxdHKfFBRt=8)kQjpEBu}OYGazVfw^GeALT75buh_DZew}EgK*_7 z!H!cUw>>Rm(2Y1>+MFg-9_>TR(J|JVjWqrx9V?l=`GEk+k1l2Yf$ZbfCQ7&g)sLg# zasxfgSiYAE69XGy$ABakR~_a2*f}<>QOXNC+^XQivYK45W97XhB}{&r{PpEiA_MC_GBTactzLWQ z{LR(1cVQMkJ@^&zP>^7`GKs8|R2L7$_Qusoasld1M1qP)CoE!e+w ze^ASBO>U9Ys#z)io*6s4<@^8Bto@fJT^YPsGtMiNX=O!F^Y4IflORp^Qh=VcmXjjl z+Spb-#C5~)K&P*R?Q6bhdo^MnbupBRcci{&Eql|t2gAw>`QoV!e?NZL*KVqZS4hkYh(beh>xyv!ljL>n>EJ<5OWeobW5W zQET`2J1DwTY<%^9XAQ|+JcTeFTtJL&XQQqVzc@Xu02SO{@?9@$T z6+Iu9wb&UmD)4)NGG=Qt$vZ6Gs*SMpf_4`#guh)88`i0%`XfP2bw{t@uoCr6v8!xI z4-11sM@^z5l2K=yM9#UJu*sv5gN=x{xs)f2;>t987mw7HNAFMSz9>eRR^dLyM534k z#WqYv|Gn7jj8|1w`5uu(n=ch_k4Q{{9k|W4L!xuSmXv0Wh`W=>daJZXfuX&Y$a=GQ z{Pr|$T-W!4tMx)Ao3-;D+_~p#1N-o~6`ccFA2(^@$e z{d&A%;&$&U5xMk5*ry+$Y1kti3xD%PCJR2uHk1c0F(VrOH~UdE0g1_tY>^IiFH6zo z`egAGfz)3e5|b5GhUAUK+8;m#iM7u7R@$R%P#DE_e$ppr(dAa(hBDcE;_n8l2h}N& z(1+YWCbmS~6I0TQ+9L0IX^l$)IFdiDBCJw zN$+4OK40%eo@>qlgvYn}v@^NGdMJx7cLvKBl89iay6S7 zs%r%5&S(1{=ThfMS7ATLw--{`k4})nl4KH7i;PGtSURabvGSr{)$v$)vyFLCEtRHM zM`daT41OzqNPx0;XsyfxnE!JcFFgHl6rXK33C53sBu6x?wjQN3dLc>Ocy65){c-v?;;=f|55AA-AmyBdP<271uUSc-J1vOZ2me}h;M<|J3Cv;f8!P+)F$V|>3 zy1Mk=>Hp`9)R_V}itHYP?z~I+3>ZE%McN@F^mazC&i^T;%|r@Gl8Nz(y3>y1#sAPk zPGLT|f^)3~uxPI?VeI!AM`Y0Yrd(v;8^FW4S^$`^o^KA5hI0=FwtetBh3{dop0D>; zeX?^;21*ER(NQnBNfq3#&W}qAi#8NGY*JpHezJS~<*B(?hlTZ$K9}w7J?W^?`8|83 zTK$)(t;VWQsl)M`ee}g!OFIKw+6UQ+i;dHOF1v(Zz(of;SN=v}35K3>N6fZEi^$(S z&XuQ_#^H1KJ!$O~j%iF2+S9C>z#D;#J7k%CGCK!#kX)$mhydqnk2Jv zkj-J|8+OqllX)~3t_XX@D&9E6H~;A-f99Kb(J_(^zpKjT^NES&ioi-enIpqJ6#@$V z)(FV_OorR#`4FxXMoDwwwM%>-4+U`^Z7_Y;HV;DOFWH;sex4ukU&*XsOs z5Y|@b*5pF9cjIVqV@_K>&NC4LER=!$D)YwZV+`pb#Uu2B1Hj7pTp?!?1sCtR7Se>R72^ex`xyXoaEn4E+lMi4FeO29Lp$(tl1@^Pc-J@IpSdm@()U zZEs<2N2$*Z+&P7hsOClynnM73OOoVj&#`c$8gpOXI70C=M2zpGbh{Yw)fY$Y=AlK0 zP*!)YjAxypR9EyUqmuZO{54$aiU9w%YRT2b@vGnd!OGzN_7Zo|;po{Rfe%?hsE$8w zZTw61Dv8VGGiP>J;ZuXD^!fXUOrC%OXRmt5oe<99We^R~sYwrNi35_o)I3$?d-s)CRt-+^IYYr8k=8 zKYOb#KiRT-tfsyJ`HMeAvU}{FR2Spl5}n^_oWKnHM4!I?bCtmJ1@g{cR$Sgn()*bQ zL$(7g)j5KES>JsI!(5Ezmj3|6kUBs3G{|o7crR1@cV_3rjF)VLli6RSUa3J!Bi;@!H_=ntWj-WS;JOQhEq5Vm|X?}2tBhky#E zia)d+N<#d+6_0;=HQThFGG=Da0IqN*F5PG8+&(+L`EqBUDY84QMyE<2=_LyWbwj>sh2Y8syy24MiCpxy*#zn)sI(LRW}0JF7#Vps=kA zk0`Ni4p+?bRlit}IQn~j)@0hO7GSV5vPupMH zpX$ZZF{g~+e{ahalZ`MeK5ot)f#MikC5#|V&u|znP{+d{QLzT{XN-#=23}Z7pcbSb z7fNMiwqk+f3E@~lbVLs9aj;N|Emoz-TLE;L`L4zR-($M$Zs`>7Z9r02&lK*jKz3H6 z2+@g$^}gf}a~#cmdyedXgdBCU-hUKE!cU5VuDk+dcIeDjtJIUN{AlR_a66aLc_}l+ zv#sW(@*%a1_Rxex8}xO@R_XAg^yCJ^!i*6^@Q?YxIFAUH7`}7=cC26&U1d!}UPzc; zh$`Tc%y(Kn>)BCbnZj(zma2?N>9nfy%pYT_i}2+G#xh$AEqeKtR+leHbFHM<9YK_&SLn%sviWla~ir1%XI+{Tj1>n`Rf^L#&kric~mgkezApJcX*gFJMS ztt~pz!xtgkPSj|tmDE&e6^mQx^a?w?%lPEh=<<%9NsZNYvO=4*N(R}RgZOGIj{+7` zyV*7f<2(M?YsLDl)v-*MVzd+|ot2!QYqXWt!51B_Xi{oWtJ&Hs&2h*bm%acDkQap9 zadqyHlnip+WQV>9QHap@^288lA=ynmjAU0Em!I5>#h+h_ z9OQpi!fgvc?tG7PwSOv{?LPPD&V7_FBe-nb74es98(yyEb0G5>Ak zu+OOqd&b~F)xbt`rIi>})VhP6{9OHq;p{huXtB>ly|gCq7}RfY=Sn*cl+Ua@aLu$p z{p1vNo}eMoQySzxCd|*1*u{XJs`V8$RS$^7ie-#eSrcnbI2y90)ub35`00tO7{)0c z*nf{`kUg*823gsfF$Y^$K0a&+sYdHzv^D>cYbBoYfj-?Iq%*h0*uKh4ZEg+1>1x}z zvhR#Oa!c?7yg6O>=F+}zFaU2Eexw=`5MiNq5GcOHrM1s;0aUayleWetAvMIEmKJ2r zpC0_+$KB<3^qk#l7fpIM-YI{zj@pAkZ;CS=@W)NBslafP*6L;=k=K{y z>THQj>GN+pxdE&dPjQliMrpR|`yy((I$}OV5(i(qdlPQPT&a>+|EBKSIEH@sB9B75 zCAU31>Q!JJ**8$uT;pylcB?!CiNY&8#oCnB#3TF&)!Yyq%WFoekw%tuO5=XuYb{ki zzKk5{l>S!i$vYH4Pj$-{liFz-XCWqvt?Zm8PwwEIdBk#X*%>?ZN8iE1;*gXYhy7KSO4q6)M3%YhA|wFGaMR?3ty)4 zjzz$+4)B!VG!RCgrSZ3Xas^V%Zzw9B!4{wS6iBhukRM}oS*Bh$I1IAOWn7jFPExgH1##N#c zNxTS1tN6T~8zli)DNN!=jb~Renx8AF5_2KFdO)$b5z3c&t`;Yo-xmI<;7aa?74J%b zTqGRX@Cg8fH(BsXaEq1}ncM;)Bqr|iI+vc{6BJW|0L(R)AJQ6%?Zh@8;x)Xdc21J9 zv3k4X$9l~jk4ixLy8E&Nj6XHTJjK<8_`Z2djb(sOVz*s(Lwyz)%Yd0C*{Cf0D*Yp=wB+TseWb@S zMvMxrD--ytjb)7Pz=aP?N-IAxl<&?L1nm`wIYzJ0X<8_|Qbl4cKcPCxAUpb#FEn!0 zY91W9pRU3i%26m~z(c0aDVJwNl4Pz{i?n~U`%!|{jm9ZVTzt>-K@n_t$w$V3P81WR zAa?4?1zj_;@e+0$MOCFMzc{MQjAqGpa@m*bMOQ-`vVC96pCbXnO{(&fRoAdC09j zyC%^QukOA7derdjR?uos`pWe*` zS?inf;`ei)hM)o26pN1`I9LZ@L^8>+{7y`MxlRDL*VXasVeogIl^tC$98H2BI;!hc zbcnrzhBq^)9E;Y#qwTJj#9*aH9p)sN_5&&S?iJ+#TesqJ4Q~SCj)=tzNJMEUVB$*+ zisluetevj>Brm$y;O0&W^20@SwILYT4Sc+v0WD(02%ok{ZB3Bq?6l04aJS@!1?y~t zGPMzh#pwk*`(%oB;!60z4y+p1S+q?ni9{I*#QBD-S@{;gOk}!Rgabd`mq02PkTJ1T zrt-l!RfNQqZC`BgY$|M`;b1#k5DXkT8{EAmR+}AQ_83g=4nQea3x9P8vv%PKan`{L zV`>xj*jM{G&{JKQ7fk8T6pM+C4wN2;+-X-4V(S_WV#S0kc44ty#i095$N56P@n?V; z|FahccknWmK4f6TfhiL&w6r}`#;`3uHSzrV%UMQ>`c8QuLloB z_{rN4E3L+%Y(vb#bwUC(ZdhPQVmEYsIXE8dj83|6S-ku?VXT;DC z((ah$cJ_Ha>Sy=^8U|j)Zgp8cilb7GYQ7Xc@Ta#Y*b06Kixn}w&=u6r982f`ceY{v zJ^B&LPvUUkh`NYN3UeM5O?K-5^MD|=7ZaEcU2a9qW@&fSIPMIIU42MF8faBTYb(%q zWP{yAbIVMq>Kk<2q)V6($_GB)-xL4yIU}OGLci^8SJjzO{2ykqfIx`sr9-SM8?(y; z-Al&5=^rMwlf8yBrpd181y@|VFxV7@*_y!p>44a`;o|p#x1Rp%Yb|I%+ThPjeW88) z!yOU`8IhF(4K__fXG{zMXol-n&JmP`x{nYnK&t0Ly05D2S3XS46@Rx z15Rbm)-kWqvU4q<=11+uwYVSN>{ih6m2Y}4#HstUJbrnjVFHySSGx8Q1BYiVn)Dx_dBoXZ&+1j!Zo+{xZ+V#! zf@3V5!PQ#L0qGlp27Z5jllK0jw?FuS>bJ*OKclx#_JK+S3Cr6Zvod)Rl?@Ep&Q}~h zvyJHe`W?R}Yrv@&>2Iy~A0mh!?_3=B5IOI?cZYtPiJ!1?A3|~;lmR!anvK2}N-DFj z&KRtSDnbW#LW4$KK=|2uG&ejufs53?1_Ey<@2BGLr@7D_PMqxTkVv?0->MQYC}-@WIab?$Gi-@p6M*Ls)Fe)eAbSsBh-qZ&Ags<`UyaB|+9;o^F`I}A%Wn}+ zr>&OSwej4)`zzu$hEL-bz6OVVv%2V~e^}mSSQEy&FD7F(>4>1z=zn2r#M)Edm`6^( z`s|gjOT)e51akr7n^bz^%s*x>^7Igmv3&O7o=w)PYr}Y{4_jX=H_YG2RnL@oX)FDv z7f|G+PKesdYHjE@s1jm zNo}q)JV}g^Y@^`Vz|#Fay}aj9G4r`oUS&^moGCiKr0jBuPx=?zI~Cd2g}AkkrR;hk zIOE9^NS4`EMWd;|-WGA|`ek?Ty#(t>5UG*6*4;A=DU2%?(0gt$1!ceX&O+(SS6b$9 zF+r%UwGv3dBAb!!eCI(uoke1;Q!DCZD{5e>*0nu)_e)vCneBU8ho1>HWpvd~QJ&n- z9uib7HQ25v1hVz@0B_2ppc^s9YmT~ZgM63$9_d)MqVuH_d0HOURf$+_CNM)Q#{@6E zWac>ljk%qc8kI&K%ebC(L$V9)2k#xyzhH6~@m#p8iFWmQuvprZ$xnsXy(zXW@Kyhg3T|G^PZsVBcN0EJe!pB=$s{iscKOnmq~_Zm-|s%{ z>k%?EJW~HbU0|`T2szxKyaz%xnG~vzkwD z?w1HVUHHP2j`KC*Iv}crWA`hv&QK(V2 z?wkg>W_ru{qXmZz+-qwCw}9%Zrt8%~MMv>}W@?HeU+Q0nv$hNlDrb#)L4mhnh1K;q z>FdW-2$p@PqCJrzum=48RUohC=Ow6=o)7V zwehX^;0HE%{^MSEl7g438(i8_ZH}xkyi2nZ*5oU0`DZxdmw4@;2SR(ckWPG zY}h^)7oxiLRHDdqH%(;hj%kKyxFE5OvSVLvmB@{$5-|C}tT|$XaaCI7-avA1NT4q6 z@31F~@84(tVmjXWXgCHAS9QJ-AaJi=_q%UPP`u>jjppP?yVp0!rWxUHoI;JtwVra+ zE2P{g5CuC4%D$!;`88UQ{Ks@QKP&Ye<@-(|tCml?Mn}Dvn<&(}mhb%d8Z4=YaDDh@ zJtx4}Q`G8crurZ?s__kdAfu-N2A=);oyv;)?xP4PjSo{54+#eukzw!-ia8BE4YAK%$2Y-&YNJgrq95Oew_%P>QzwsrN zzkSZsLLqXr_{w*4=WpiDtLE*q#eb|#E)|B$l3zOhq&zv7r&=WTX&A=1okKk%Kk<2X z+U24CPMi*VOyj^WpMWb3Ocmak*+95vQ*#k3q01|T4gKV*pZ$vWb{;yhAwNCsspf(`Cd z)Rq0@B=W6DOG}1|54T!vvs#YkU$FFWi=Frs#iW@m%D-NQ^R>>ewo)xIT7XCnj!HyI zX5$>J^IusV1dAnxJcF5)Z?Rdz^r$y9EtqYTnY~{d-4sZXwid)MEH!xfD zmoeEGeQPuL=KEP)K3!zkSEQuG%>4UM$Bv8j}6!iKcs%Cz}#-CNCBJv8Y(4T_xdrK}nLtd-eJ=|68b#foJ3ibQjZ z%*!3K8cZ@E4#}R)!!F9_mW-Kj=hrr22_v|BPHLp$K>@~-clnariYfs`p>#paivfQ4L z+}>0>VU7f4&}oBT<->%xe-7+wGS(v}osN?(V-F2fK+mg?7p2K{_37_3R zi2E6DDP&#a=dxU&l{6idsDWQ9I}LxMd%e%aUG^&1Nnn{f{|t?~(ZLaPt1#YDr5N8^nIo|JcrWuF)kr|#7^hu6C<-`JXBX^*%?GE?sS@p$h-*`k@^ zmcjZr1nK#kR4?Y!UA~B#dA}^>{A>RBka_B*^#@+IxF9kknIp&XP>#Lwa8t&1G~Ay} z=@g{pntoZfjlJa4#;Y{%sK=|$yKX1B`8=LUO0LBG zxKKCz!FRK&hWm%bW7->OcODPyTTTuM-3~1_I3@91XRnIccz0UH5mCo+q+9U$t?oMu z4>xgMAHE&8n2%{Ek_hjDEwRt1z80r~a%)=TL`af@QE$wo)Ng!rLCmme-_r_S_ZW?H zKz;A$VBE19`phRz(K|s$Vcz zwjVC}Y@ZD>`z(57jgD=H87_K0r@Ag3U#r&ly8&5H&T^-UYP)$)p)pEb>v{R2+-kuU z%^VB0&g3A+E+q`?nm_KKN9yqdR4!w~HM)uvPUVlo+{avOqCe1Vj~}p`S`7MJFkrdx zU7sn7Zh=n5LP!z9SA3P315FVDSy9m@JGJIw-zcV~eJB&0v}^M|mR0N7SShVqgC3h# zrlzVKZI|hRSp$td+xWF_MFwtu7ZgG0xQ=dL%mrf4$o4>FX+G_+e5{hm0G(Z$MU?Id2~ak_#K->_h)f;w3O zvy^J|?^F(BT;Vhe@#9Zv3)uMOO%Q@yU6jGkZ$3ZJ8Z_wZunKe8Yc4}y;74p2^}QZH zUTG%b{V~2KC;5B%z*7^Sd3sap&n5{kU;0a@83&#fDC)`s*!W2NGWqNTRpqA>I`zY5 zvbpwO-1m{!7)$NIj^Bxni9Tn%h1X+W*n7p1ExD~|-L`&Gn5}eWRDTj2J{&v0!8sJY z^ErBly{yokidV}agy!&00U-|&U+3QWHSGj5NR;Fn=p-jf2kZ$EG;Q%`I+ZhWB47+P z^1vi5Y$iRFXUmh+zWk`(fozR!J#QzGWK@BVHV70j;J9h8_1V<$&Y(s$iTEHhjx49^ zqN^r-?B!dOEH=M>WN{n?wG&E7Q5UAf3r-!h{s24=q@b$1?R`?4uQ?(p>$6Mm7&SzS*3U-6zVjh;{mnY8lhyQ} zhj?SHxtqfq$Rc1Mh0J#qombxLsgL$|>N@r(k2Tg7cEQ~dZpW6u-SaKTAKntSIrgP8 z!+7nA;sAkUFUNdc)0<5Z^=fT|Vi%&U+@6pes+przoQ3<6H&4ckM5@g;DwhSTxy#pG zaa?$(I}=aMo}w1*WKwR;3XjMURxaXAu5D8mygA>OPMTE&L|)_M*8Fzxe7~+?UA#U3 zBJ|+GWjAh%x_Nl0`Hi5;fbhj#+x%H7)j;>?QH#k1c(iO6m2$50+k3VYLLGwSY84u= zu!QCemncnS=JCO*Z6q23Uvo)2gXxThEO6W$4JIvPo6@9QS}CM!yqSQxtZ(V(5*hlY z4p>X)g7S5=+$>GS#r|sA@ij;n;0afz*o{Bavwx~5VXinC@WSB6b)_xIX5kA;0;0C8 zF?#A6iJy1;CIaSLCSI#g`$+P2pxgL<8!Gq5+kl~&1t(1Qj~(SYZ4++}qGlu{Z6cwtw`5}?&P7PXv zitv!LII6op>-lyV7%;a}?+(KA;McnKhqOnthH@tE$uBdT03}g@D))FVz8PPu7|F-Y zWKvdEvCkn5ZzT%Z;v*+~N_J1M^^M&pyw#|DlZYs54;~F`@~N4pFRYF}ZBelcYdqXk zs)S9)O&xy>Y0*3l$Br?CIP6H8U$yBO_j=QayW6<4IIS(L96u;oa^K;uAI0r{<@so) z1Rt2sZR5d@Wuz6A$yV`qgD;6DXHKv#MQh})E0e~54d#3!4=b4AeDU1g;c>c4r&z9? zu997@U$UktS7zmFbyL~U_wdO;{)A7X{a;BrekXmcN?M3FpO;)wi(gP~3YqXHSiP{4 zTiO;yJ!9i8=jmr2%)aY8RBoR&5N;>O+0c$#6)iJW^1JE9da})<($4h>AxpOelG}ui(Zc#A;v-$P8;RxZ6GbT<1R{8{a`)eo;rcN?R_uRUjJQeexI8p z-_NeQcArNk?m;3btU_L$=JzVCHnkMF-|^o_Agjv+52($Bh@M<&uso|=p)#VIB$=@v z#XC&A0PSVb(fJk%oxYGmkuSGPK5w%sq5UZ^0pz|5-z@}xz-AN$V>g9HDfcxfR>2eU zwrQ!#+h(zT6VZcUz7HhXDv(5AGJtY7vGcg6C0+0xtA_<@inYJKUW7dU{ocKLvI1bc^Esp^q|lsj8Dl0)RL55`qv8M?>elz* zhuDQ%At^w%#O(it$oGdOi#m53ZH6GQskY%yJ%#YHEbtT{kGgOWOhcQU^TYi`4`H9- z3sE!tS*b{rMvM#2o+Eq`s>q3Y3|_z#3rY8Z+2|%1kplS5i7EmqA!-KpQuqw!$zBkT zz9Ua$1UxakA~iUW98E8e)B*;e*gTL4vo45a!=qTR7@(D!+mm39o0LaV0ojv3z<_gk=1WAlCBMJj3QmYMs zKheeK=ft>SMdT%b0}4+B(;$VW1W}Bc(BIX@w^XjvM{(B60*?c3l)2OX5yLo(A(`>A zOz;ALgQgG%(xJ~!nlE?ViYy!k8)6rZJul#g8N>dI%rJ7XNu6)mF$+gTros_eWQ&#? z9TcM#8hg%a%aDH$e=yk#i(FzFzatMRCDE@L1QXD*Tejbn!qp+Gl1$@k83bW?q35-I*NaBf~n=R|;tn%j*K zjmuR)^8b_g{xU84Kj8s|P;B}?i?0~qrkU;r@1WN`2ogXm6pI08Ax`=PK1@0zHV+t} zo*n@OaSX7Us{|d)BO0|HQ1+j77Z1pwi#_Z!KpTj^08t6=#r#jYlZ7E^fq$8D%QgH$ zNHYAZF3Ik)5r80y6H_D#0X9%n99Ruevmhv8iiMF^@WagT1i+ixA3>1B1w+(~?CJ1Z zkGSkJ4k2ph1TqY-aLpsYkjCGg0N~z2QOTeJo`Km8AduoPP;4n!0hu-=C}7q_k!T=- z+UY#f(6sXL`4CKx^k{4 zQr%MXbEkeY{~wzA7eRIb`ls=noTM{1o`GfZ9jJ?b#EHrRY4Hq<@E-64E#pbh>>8~S zhD?(~|AhV(XJP;7f`2P3a*XC|FKCt%sD_6#V-tWi>gf-l6%Hkjqz76#v8kX2gqsu+ z_4Zs}60@2Z8BwGb9z#+{Hgg_rmTz?-YeGaA{tLBQKWK-`kVldNeVp)8kOkr|NEE>b zpFh}OPYzUZ!m~j|NTCkF0b?dk{NGJ^;QCtgK1c&;Rfr}Y_?(9uDV%&Y;IB5tM9s2d zoCT1V@WU+dbYPkKuaH9zAqbo}F9o6!Ko3#Vx6c5?N!4frDiZ;5iP=aDulQe$$~4&z zp8N5C)G30Hk*ff4tx45%?giV?=`iHQzXzK7vi!Lxa{_tsC`Rl9V47yS2Mj<5coFPy zSQwHHaN@)kfB}$cJpu|7KtWWU7-PWHmWQfw!i@>Cm|8)k3f_(hn*<2|y;n!~k2v9a zKmV;g|3#+#Kh**WW_hc3@kFWdepM(76h*l)MzdrMX*DH?V4Q`J=iHd#i2w(6VL$l$ zZ{>jdTYV-8n0vz@F$$#Xg&)9iv@(py3S7n%i`1NRqb?i)7tt~Zf;`Ugd<+5Mn1xN> zvM6T=zLcu_jhrGRMwW8?uMR~J@}$qDXWV=rI1rY9&kI0LT^QV6La~LQGGtnxAc03Q zV>5sQ8{6m2e}@P=ZdW9lz!i~F71KCS1|8r@@WNr`ku1P8C-%W#bIG~wLdEe0lC%E} zW2_lfdppF*;OCw^QWBxV-wO) ze?hHnC3Rp*RyS6g>~5)VtlKq#WIDYe0te~*zJLz}!aQ#1l1AQq@ymMT<*j>z@J}Ck zds)ZRB?moe_}Gn_@HKIQMK0_Oy-j9uC4E20p{56(YBO;uCa_i$nbS0R2ftK*|0`bU z1H$5YUEdz}#h2an_%tId-pl8di}NivyvD!tzJ6znRi}w>3PtPvDyqn_GV>@?tnQ3p z|HYfzCf~K!WAD$d5%B7Ah?ZBh%&1p+-zy7W|CZ~WVb2d6mu$HB!ADedT5U1kdy-n@ zBERQUc>TnWT^e%tR9+QTO-$(KqL5Z@8BJ;R(+gHsdSUg2Tc3R7P31^@5UUBl8S0I} zg2eSn5kIc8v?&X4jDnu({O--gC&6V5YY6#^j%xz5dd4T4R%1bn&Jhexmyma9PfXOp zX@8sCe96Rri|%PQT}#E%qH%cHP!`>4MMP9{{p&iYO}@rty7QUx%agL4tZuDuFFe(0UC=fa78VHR8W4JDQug4n5DfMy z1Houv#?&w&%~h^SqT@f0p`=eHmmkXqNMq09=@)cg0p?5t`WK%qFEc^;tBy8O#TG}W-en+V$_?9B5e!`luN7Q{GkR*M6{k2Lg?-EeUbt7d6 z|F~soUW1i})Mn^QU9X%m#a{U2`tD^=A>zcq2q?MI&XbL}A^0}U>6J;>j0D4r&rBuJ zws`(eKJPVA$=6P!+L~xOlS^dnLj)GNUumdSlu4^kzaaI(hhyu%d50=YJ%9Z36FWVv z_i`pTRyq@bccaq(Q}z%WD}Gn8XKe-NFRf7)yiXJ140ZaK%ER*~^~MHS|9o-ff?v|>XAH?!eYHuh{|Uz%rr@!J;iB0fqYZZJl-3OI9jsu;zD?4U z+%)h#tYPAV%oVAUvyf6(`ZS0LzpuSq!^Kw@q!r0lzuIzN#uQKMbMn5mG+m~kn6crb z!+wbF&+pNF2TMaucVt4G4^*{%CX}|=W0zZQUCvVM+9eqYTObTRY$k2%O8o7G;r8Qp zVt)&x@DNkPCVbaN(rC*me_h9<%)8~=v;}^7&F3<>I{))T<6=JysSn$#Wu<*`74ygC zYt*)YsmP1&xcHk5E$p`I+V-^Ysa0j+HOF=)Y=}9C zW=Ma4_?4M8i0Ci&GWU12;i^?Anx!8pws^c3Y)$A({TywdJY9!uyI|*%6xXV4BQkzH z{&ByuzvK~1$$Ea<^($0f_e-yN+hlSnP&N7KO~pzBM}lFR(11g#GNs=$p;$I$W)}m; z)?UNOl8`rSuyQ*ymFp50#~&&&-Vc%y-ZI^w`e0=-ygpTL_8ZjivY3 zTUHkh-cGO)@7lLpSvg-PRs2{1lc12V8DS;2vpz;V(oB}cJmAVz__dd7vG0PjHPyF@ z^SY)Y{ROkWU;A|aPBHWf2FhUd!A=@SYCm*oVIhbL6OB&MNql_>8Z;t{>P~)mcYbKqiQ0WT<;70Ops(5s$w>n^ zHQn4zeocB#6J~ui(`z+PDQHUDj#;F~-(Jg#WyT=3si%%_X0rtb_6NV6mST3nsi<4P zYRr~uNh%v|vDnrL*c&Al0N zw%j>IGezwxUYJ#^`mV&yxoor5nZpn9bf^Zkqq~_+AGp2qE$VUVQeO9ZCZ(LQVr_5f zlK|e9edyv21X7F-fJ&1$TN#Xproe+()$=Pq1Bgbyy90tJ~QangWOt+ROfno$R{z zt^Qmxyf->p?ob(2b>%Jm*WAQSDvl)T@9BCR1wB!fC4urG!!EPw3b&ITfBiPsU!uQm zqIDd7L@Pb^mht;Nm4zCEV7njdRQd4`HO2TBoQ=-uG`FZ;q7y5Rqx|aypBT)*<8|IV z_vrdsQ&dZ(8a6BjDS_J*KA-U3-CK5_>Vg6n4ccjy$W3S@W_-k^zxqKr6Gg+CeX%+Z z9dAbEQV5{=XDGyqMW~48A1p=q8#%8)w^B#1rgmzKAHP*i&}Xtyvu=$2`nYLGj4>~w zP*TSGOFMRKTPgVcBB{IwUnR6(QAFmwD0^J#SUs@HiMw~xTy1BiZQm+4W40}!E=ywU zsAw;JZ@?kodT89B!ME*kJ3876d^C5zNn8h0%PPsPj07if%+G9G!xs3qGnF~*rEmlt zCK^A$f5;q&@qjVQHAquTeplx>XeMlhu7-+iA;+6 yZ8?KEJ9O?5xi;Isu38x%M{I>!A~&!u8wr%D>F;ZjJz^CXO8d{wf39GTF@(000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Eg!0RR91005aR00000002u20000000000 z001U300000004La?0pNE9YvY;3Cr@csBA%w%!{gfp2rNe;}-xrEEV z>tvFdz(6t+CNq!#hWi~6kkwW3hKj7ZtRkW!U|@F@5nVw=zy$(6Kf8htYFtr3$p5}I z{hj{$^riZAorEM#JyTQFRp0x5Uwu_weXH)XXD*j}$CO-df21z)zyy3Ab&PTRmG!bM zspe*0Z^v;amCpbNx#MJ5&lp!vY*Z9^u~E`EKH(6YPNhybWV@PF_$o1R_J}UQ*;3(s zXD*jxl%2euYsq~|yA|Gc{Tz#B= zDHq%kXfLB|+w_Q>q=4rB4`SQ+`j){pBX2^sF+&6-_cp{Sh&Lg&Anq}lPWoYT98B&9 zlk-4sDSW^<S~) zK?U4mxEUOOIgvGXpX^C~0p(QnGF0#YyB?A4QpBu2Yh?8Fi;?=|x-)AnDbe>%ywz4HVS{^3l{sNK1hIZ5A3>DqPYQKA=TU5tK z3rv|0D(N1>d!zYp`L_y?Pk>R2aR5xoeb)T<%flnxubarRA#X&CmZaGetaQRdhs#Dfug75}wUs$R-$^}@lFK_)w7^S7Il ziGOz?q0A=4ycfAGQTnd3j@y)xdXbMyA!=;6sD8Jpw1s-7ApSd|1eP6&poX z%MiyPG80?=e_|$Oc1{26xqp6lTfhHl>(=vZG8`?FGX6dzxygNJ!V^2v*f{rpo_e14 z$jPhp6;t-tYwykP%bUFnhaqa)S^d6rz2`v)*G44 zPnCUGq@W6{r=Hyl{&DzQutkX&4jhBG(w7WTJ|)lYh+02oC9s|x#vbIEYFTbG?paZe z&2nJ2-UWlC-xE9+$&V$ys*lHw)wMhBYfjR--0&%&S|sa$GYICe7j8!(NlX z8-EvL14+5hSx1Zn?gKMqL#4#9E)ZC-*&}<@tD!%KhS!bNH)?U64@ov?(kMwz;^YjB z^eDmIJ;Ahu=2oo;M-htVxgx|gNicO==GYj^j!Z9EXXBLS%u6fC8-V2y)$X#Dsa?6C z%!f=Ar5L%eQt)W6Kn4)U?MZq9i(=!D=pJ01YUn`D>THkC+RvVx)h)ESWbHU3m5$45 znc=dw(@0spW~8h;hmo?5IU{8qa7M~HhKy8b0D0plCj*El8l`%x^C!ft-k%V&x_?5< z>i-EbYX?k-S$jbCDXVonVc=BTu+i!kU)1UvU$hDXtS<6dt#0x~t*-J#ts~YKwGLZf z)H-&3(bxej1DQwtlQxcwkF6aalQO0p{*{4Of*9-@hlW!K^V9*eVM97;no(MqPS#_t zPbY1d7o?M&XkbcW&y2=&)W(dtRmje(Lbe(k=BMNJS~n-1v}s*6U7ga$hBxJaGqNe| zo#^PQgj4!>f;putCWK=~1rPr^yagNm<6|Rzrwk4cj`oiY4)k%F<@7CqJ1s9^Wt-`~ zh#TM2+tk(5+uhgPRcz`hw)8D&Z^0RVSuSd4-!BHYu|!r0QAl1eyrmhe3cE$%OO#@xV5Q!Y2R>j5wW|& zs+Jd*G0;@1xv)|2EzPaRTNUn83D`K2qJ?{F6#Q9d)uX$CJy5r?>h{2ib_eSA7(l2! z2f=oKjmwb{gB}98_u}OJUCba%Lu^6hwZyKHa#!K5bR^ozv7Qw3sLvARPD! z{`d^$^M+|&sv^$ z@|=$k-z4mg3{Qb&Tc^X2`zYo~iZgP4W;hrz{J00teEL`8qH%2Sl+mHF4HV=eTV6Ob zkU$3WY1q&Yet4DHGqRRqd=@O{-3KU$KzEgteZadQ^uHVjB}Gv=@Yxx!KNxtq7XTiHJhf!v~)r*aQK4OwR(iba*F?MS@|SVobPZAtT} z)A0ywGm3m%3XyU+683Y?VBpndrDt}OZTj+I_>?zGwI8L8d6Y|J*(NVaJu#BErNmN3 z^oV>OWfG-KQeDoxluKI4CFL5>BBp$NK{uf$N6WjIZt=8yYbqu0f5s*^t;#dg#y1 zjz_#be0TIgWpARW9A=@vxIT;_Zti&;6;n`89tPg~3e7!ZLu-bHPhs`pY6uV}u7A=q z=~$HIy(p$_uXG0VMnzZsQJgFjUfIFeZXFJVuXsO?A&=OCSj$s^osEwZ=`$E7ha(l= z?dT~?Er;poPu`wn;HBrz;{Rv8ee+AOIgd!6OB&^Wj%~)ux+F{%S@O9?(JMA5r6L=b ziY;;Vaph6IijpONoP8o+Suf>GiI;8WWn1!+icHp2c%$&5L()o_xN^#|PRomIWx2?* zT!(|O|8WjsxCXHW@y~faooxSP|4)wp?Kcl_?s?8Tmg;#dO&-v4n1*?b7rYEv`tOAZ z8}i`NvC<}~lXKO$`pR<9mcxFqn-_)*^u@NGf2d;%+RAgTO1orRj+e46sgzfypel!b z(4MK@7S~$Tsy#2lX8MV@hs58u)HA~*yTLB5(PAJ+t?Uw>*I*x4wQdIY6vUkms13SYeHf*`gFZbuCbg%$KDauwgDQDYYE6mwS-s0U|K8YX^Sc*o=NGW*TD=VZ$h9-y%^Be-QI$C6w1AD#~ znfGJ@{dOvF{{tf^_ak2>)O3iMKwadYSP?CnSSq0`5#Z>QYNX5?j({bR9D#um$r0Ew zA~^zsIg%qVW+FL414f!dKGT-KIEds341!8KCYA};kv7h$6C#OToe)WM>x4+6UnfKo z9XlanJi8etbLLurS@(RT`y-x+yo`Ng4fiPuRfd3&G<;I1(gWp*B+#{$QUPc3;E!(` z@pDJBRt&7-YpN5UI^xz|=pS|mJk`y%q@^0D_kw379-)9Y2~c>{A6 zt{9v@xT=0`{oKKUffWOD7n*rTUio*td0+1oBf$Ja%W20 zFxvhE$WoH$?NGj!bc^Kjt z$Vq<2o1oAXAkT0waSuHcJZfNZt!P5vVB3p2od<++R8;8(c8uLPX_ z=xE2k$iP~0Jo7qSgNKhl% zomD??e&f7_b@lZP^X4?nH79n0&}n6pHs9;_=I0@pY*Qs#Gv9&@2ZtPp_Erlo`1;=k zIH$}-O|4Ds&BYeKCYVcV!4crd-u{_R=vhwa*+54)Zz_r68;11>a(avug#uF~WNnyc4^_1eflkSkl+#1oC z17T~5{pn;FbXx@c9qHi9V&M7o@TdVb191FVMzf43O6qV33fL2Y3kWTs2jd+PjX%b! zo(x@RJ57jk-?3H(8cpv-HGdH*Q}kV2`};h2Ye(f3LfHw_9tU5JN*)cGdV4y`fQn2A zU+@L`QAzHJvFw)zb0G#*y#kdE?O*A^>t{t?qEb2<3!fzv$Q)m%;} z#|>wI7kvDW0OvEArqAQ^M{xQHw zAM7}71Z$~ynRHyiujP`)>W^Tzc{5;_Fsjb@IT%w6g*)^lsto$CstuCnMfvuLbjA=G z1?Ni56m5xvKLt4JvQMc`yBY9Hz`3fD$AmVVmo#IFFpr;577CD!ogMfnza~V_gDCYu zexHcp^(qKbbjgbtUMmD%@Y~u2Yzp|z8t$WW-Ra>`hoX|47;Cue`3~(Qv8wZ?sS-2bK7ngceRUpgu z1x!%2XM%%AnY#S&I#nFVCgINlp4`cE5roPX92zkK*LzkZon(^Z8EK981qWO5 ztjEDTpB6D;I2(BC$au1KP6l{^dMCoU8Q{wJjTzvg8tJ8g^HdKXA89RhV?tMTfo#%H zxXjIl%iZ8txWTV&5zr;4jVHZ@3RULh# zXL0=85U2GhD>8H#FZc~U8feS#j#K<@Kv@@ivnvjG41oB{1KA|!J>p96GZ17Sw2uPKXS(gY)~({nwrbyFpl}#HPp7v3 z4rpOZb$BM7@-D1tih}M^Afnbh5v#HnD z9F1)brl$5x_uJp?20tbPT=m*oc-68eu(@nE{jbAkvO_;>hf*80MrnR0EM|SSv2rKS zmL?}D|1V&1rk8kLoP>WOgL>6rzXiO?w!>HnTQVpYEYkZkoFOat_uSwQxWT{g27eIn zWXH>n!Xc}}h+Q$E8?mUFOVRTRdpfxIHUK|mc1quzRjU@3uaybmy6fa`{u12O-CbJJ z&VvLt0)g$(VKvmz`tFY2uI8eysmxa**8s*vhV8{3-mUKIXpevTNUlo!F!08^!AD2(V_$EsCYtTJB-I|DGBKjh{tY;2fQDXh0EfujK0LM3J$Ff8k+s%2w9@r{hG zVrOd;zOvoi(Z0B~)Z9~QUy@`%rSfsm@R@&aCq7P{ndQt(O*ktPYCTZ4P}#~2!0^?A zrA=KeeT!NvJ4J(4BxYWq=}n3D!kByN&~Or)fK@aJ>Ly} z0pPT*inA=G{6aV77Xkie(Dil~^G)6PuHus3)~2p}Dbsq#i$TWs6g{%eu8t*LO>J$M zOY@zjOz*Z_0!BXO@9t@8Z^5ji8Xm|(tlYEA>xbKyX5~;CE&~S-yWMC@YcXGHYg!U} z*bdpEcrHh!!$`XlaGq&&wk_)I&Ud#qEz4xZRiMGSQsz_Zs~z$0cf?=gi2r~i{#r-; zb&mM!fp^UQ4pCIg_d0zXN2v zYl9J0YUhCJ=_<{{H3EJ;4yBO+h}fO;8?~kTrgKx(&U2?TS;6rP6p-5arb9{tDgK`^|mYY15W7gyP5u= zlky)_k5-*_A4c0Da74tUmOr7?vowD~vFZ4HC!R*n?Cn$z3uIwI>@@caD2N!*Q71s}hA2DsXp zr)Gfr%5&FbfGgua0C<&yM%jLC2Ib23>j3B7L%C8{Re@~M(77HQ+#Bla@@-2`4#3n# z62k|Q+(c?;ud#`e|D$%f;+_*-6_5V3f|r}@58o~x|=(e6}vL6llvDi@o<%Ch5M~8 z;D2=ie;Hu>W!}kebHsnu5r4ZQ{%el-I~?&}2R_r$TFp$~r~$_}Yrt`5R*r(-m~Vlj z+T*P{V%`NfC-Ewdx4!P&-5HcC+waK$SK7br2H%tct~_@y;F;&I`v9ifvt3~S2hfKB z9lp`s)SmBc@9r!%mll_bEqSgJtm5IL>YDFlaE|Jl?|SXaHmrUStvu~{*ndXDm3hYZ zyxL-9Kj`oyH~7PV^Si&Lb}lCA$!BxHkHM0Czx;_4`loIVc*G64(OugY^{8I&u}jl045WlD#BJ1YZRd2T)6 z**&+xP5C*1^P?o?=UU3Efh;YIn=sF{+rWK_a88W+U7M6k=^MQ7$q+4pOX+zfGCDFc z*0;8QbZkg|zn8XBVe(peF^SSTq+2r|hvvF@{-lCg<;^AfeOOS~hsV!JE4>3`%AWEf z`q(v%0i22b#uzg^J9^;)VN)BnQeK#wo|*EOZ537 zf-IO#zd%2)wA&Ww$7wGw(yxAaTNmnUo%EQ5@?!n!b(Re zr=M{;%ZvA`)>&S_Up2D4h`(xNc_Dw*$ns+T_ztB5`SED@(nJ{xOs_&Y?~txrJSoo?ihXfKGgLN!AGhK9 zm(JO>zBo`m5Thm(lIYll2O!or9NEx#oe>Z>`LDgM*#&`@IvK7Kv2tdHLTLuhqYxB*Cu-66QIJ9oAld?VmIEgW3G zc4Ty{Z(xO@4rG&TI1iEzO}H3v+HmU1zLD{El zT&JLcY=}qwQo(hQeCres8@S7W0*xkc(y6ymn+6d99y4k3lf$i?&OPzgq7pB-= z;08KVK4%z7;r%w+W>2Jj$-(xqICea^^%2lt-`Gr;e-{oIN(w zf6B<{DYHih*N&{4J&qsy8yX#%xq5Kr(CqsD;gQ)x>jq|@F^=0TQW6IyBGt?5GQgE#UcOE)bS?86ARiXlhJYb?PEisthpcc=H6*joB0?*TW>2q2Y*s?{0A4wcn^A=OpC#& z$WW5YeGidk@mE}AxX)u)6v6O7Du(ZP497$;d_NV#10KVX5eyHeV)&uQFi#i?fO+8u zNG&6T)en0V4ML&D=#%Lv9`Pt<3590?lc&;AJnm5(9zn4=9mSI##i2q`0L}|fBTeCp zXFQ7OLZN)||I$%B>rose6k-C>mk{kE^Er=UnlKc6ikFd1(T*29iv5H_wPOl)<`fiL zJc@mU!Z#wfTRMstJ&L^}DE0ycT~Qyq;*mDK>@n;W!SIGu46k_%dqgn2(Q8ISU7``Y z;n6AQn%yEO-kQ!6dw3MD;Ro6)r>p(bQS9YWyc|I>Egi+)9>t3h6bGcE*vF&T5eFHcS3*93H<=jDRve}nc-SFRKM?}{6Q!5 z51i0H1lpnD|LLUsAt&_1PUs&yp??B&O1B+~xf#-dP=~o0*PqrGH=e=dOnl{dYe+Y@ z$HDoPX&3dU*wxcHRL_=Ak7&WEpkS+#uM(g27!HbH7_u^u|2Z&3p-;0nAqjpu;Pl{J zypo0_G9=+wd+HmKf0854`ZZ2%AGV^|d(_R|n-<~w5i0{5{{k4I&})HCGyLN4qgL&- zpJ>{@UxfYZtPHe&3mBr%V?d|a`QzZ@PRh@8Q+}2ke7zfdgB$#8H~2Y#rx|x~9d|D9 z92fC(R??_>pWS#4Dk|r&-6vw$o@b|^1uudk3Vwbn`{U3TIH50eLSN+8@QXcoYj5Z) z<#3k?Nq)Noa4y`zw_RI$oAKeLVpr@hsU`7T>So4eZt%<9;8(c8uLPWzlUS|P)ZQHb zurSGgSJi;y>KbsozXlxF)PUmyHQ=}w9C#Ly!+Uz!I_0_=7;!x~%*2e}qcwYD#J{A0 z@j*xY4UYH^15f*s4-9b=>qnf>ANA0U3nH9mijq9{F%LZ_@gZ28#Tg#Nk{`Wrx}IpK}7{hN;XJDse*3+NP=S#ieS?WFu3H|5{9!`ZZ?YwRDV zvOn$``6qS*cwC5sKjsF1!VUhU8~iCZ_+}4od4801f8kf4NIoI3UF!YL-Ud?e_{OWn zy(6v`|JP1I8(#)R6#Vy*ZO~7k1RZsc=cRPY>BOkpgMSI`6#G8!4@SZF0Ngh5kv{4E z;+~!fmSK>z3;&g8M$%nEnvpb=_Dac-bl>0&UQ-g5<=Y0+8&jIW(Zm6{mkh`PHoWjA z&kT!4U-KyT5DN8B^DUsjpXE$@H(afk(V`vczMcUIejs@q{BKa1W>gtGd87f#gtysE zU{~zH79f4?cj24=(^8nhX6yxyDEtAaWPSWuAT1y|&VsiC&bs6umDem$t~eMhY22CQ zi|LVV(UX+6;$`t>0mggb&`1WUWlDy+Eo{6V4thd%)Aqq+q9D1L%S%_)=7|K7KeQp^rnQrTLNmr{P}sLOKd@!4~O4!6W^m zoq~M0t(*81B*}oeaZ?PZ*2c3jB+u>xV_P7T8&`u#1 zkb+Tv)c>0(un$~8Qc{OIozUNMLf-{+3fIS(ezzO^o~mr85jxCEcSj)3_Ex}g`jx{c zeoJ`Etq-flGkqqc9Xx!=i|<+X)h}E)N870L?Dsfh9(8NWZ{6U30-Tn$7MB%U6Q4oE z+0Wt6_RWfYJ2uq%0>r&A6_wSFLN$NP_mn4}aK@Rw0BFv>-HV%h#h}VGWV{ksn8A1j zKe9SFTcJZep0(1tY;A9FLeFtRH+$%Yxw<_%pXBv6C*AE%=m8HM|E^1t<%1qN+o$VS z1C6~k{z)M#WvFXsF7o#AD!%TZUJNW^58>}hwT%o6uI?UMGqY*+*x+b?{+*ol{!Z)B zd9j7=Fr(C~TnskaScNSflF1S$^in5u$q9X&6Z&{3bgL7(EfpG_GlhrL<_#-X;~OIR z(z1M!J;rr9euxzDtC^b=5!dZ}e&J4!k4tUkheDa>%fQpTJYL>2J3h;q|7%sw-|m%i z4kF3(t!Byd-U{=WnYK>#^7wk{*0-oU^%{SjXOKcp6 zhpU$D(@`8yu9m*l%3+3s?grB@i7rgpw}~9b(J-yn_%yMA-xNvq2Y<^pS;B65E&A5D zFtIHMTP@|aOR|G%(U!Ma?Xdg9Sen?`Z?AzCyB#}<1P>Ihqi}_lBV}t7N1@@UPQncB z#LmuYSS?7mIfuRZ=5%12+rbl(9k7N=P_e?V)N~Gn8y=|9QLb5`&r-L zT3c%tcO=?jZ|(MxNO|-~9Juy9susOp3xBW~$s>8CRl;ruANVC}**^X_*lLHpEzuJE z`9|J0V_1ayXvx>Nh9hwV*(KggRgN5HoNA7Jt)%9lr6D0p%?^|(5rUZW*Ku3kzVqPXoY}_`nv=*eHw$y_3b>i5S+VVQNFoW9Ae*0(G z4JWG)z=iw~?bDkv%^FW(hB1fNZ9ae0qF?OCm(Z0~=ol64kHqGi^JFbyKZ+SG(^S8t zRQs&z7Xz7Y<#9yzvdt0b6aL}?tQg+mq7yVai4C#ItzA}yUXV~?v* z$cNFnc4ddT5pk!I$WtOwEi02HLLC(<2ze|dv9lNKrks3Wq{lcTNY7>U$3coCAF1Vs zs&DOckn#H2%5-xR;TpwpJhfb^Bn;$2C1D_mBPetzFr!dI42RZY2A$UOb=tApAN?t3 zUmBu0jA8u6V{!5~2)Pr>AEe-E7A(TL2g8p1uesDK#Ia2ZYSH^4!$PE?f)Hc}C#Y*gtrEshiCWAFTB3xV#50syjO1Ey)S|UJ3de^yvn6_cN3nEQvcqK*nuj^) zwBPZW#V9gTa3DRDu`A{N=`Z9#h!i~WitYYsE&3(;L76_@+80jO!VdF9BBKjUpP~os z*Om5V;`X_aUu#e9?ANRkc8|4k>UZ=5e90mU!;~mfDYVwRmi7KhD{E4(m%|223scwid5-8haf3cKcju*}cA_NZ8M% z&Y*~%E@o_(0kfkxXwr`0`B*LdQHvef#SS^}{e9(IN5(MLnhesEubDVbN~SGZZOsbo zhH15-=BISB=BSzJwI(%!$Ma{(Sxqmy}!eHEP9oEt(#v9C#O7N)uxB8 zE6r)KmdMaA_Q%GxI4uqt7BW5bhD->Oa>}t!N;`lAJ1xaUVq)LgFN%x{?XA^X>`tzQ zT02Ju2ZiQBesM6_$>wS;)O=mA+{$5ha*-UGbY^8iXsny&Ye-1KUSPV?k;AUVNZ7}i zU1FPC+Gh27sI@0oLd=j#wA6kH82u87CcT?#BRxhbhUaN&qy!5YYSu5?OpCN-z4aXl ze#tnwwWigHmR@P)uz#=5e%r-9vDqi3!>pEW+rE{4`Gfe=IG08-=y6&LJM8{2&n(22 z2_veu!#;xSZHYe*5|2H?`^nltf5BstE-+eNr-J_v00960cmaHuT}%{L6o4-XRO=5Y zilBmsVu5ZIQGQ&u!0gQ3-O-(y?aVB)@nLGD#kC0)!Dwik(DVfx6Wg>gsf~?^35AlH zYN?u-^iP_;H1?@KZ$=Xz?1Sk~Xw^jRIcN9I?y}2na&vL+chBEF=iF9Qh@FQ|A6cViELg8b6V6bM>fLCSu=cnaNuDT9$P64+?7Tl zw1T0&*Y{sM0uza9PeMViJ6w-yP9Q7=eQDdgUi?C9o@0Z<@qxit`fKBZBVDDWaBj~B z7;chPo|Gk7K^?T|wxsIZETar8T=C=`!L3f`EH0UH$l1$dE7>7fh&yj=xe6h?1_Ql7 zQzXk$gSy6bdlmZ=zU`j7R3QQ<(%CYirp!UD7BO@n%h@5wolj=E{)G)0=DF$Ys9Gev znzZ)any%iK3rcH)9ioc4Eqwmzjn6ORM zrX7oL0M?Nl*iJu$5Evfm_j-p%j>ZQE))R2%{mD@wp$Y)9dR}ZXl{T<%VRd|ZqFm55 zd1%LPD6wivNucP5+cv`>3yvI!AN8f#;z|3vtATvxa*tK8b06?C_D6EVddOMORMumHw@i4wxTlu~cWA+AZ=DEeocu6&G=(KkVBQp7O=DIU*rJL>`B z@RJLpm?S8B0}OO87wyPuvwO*4`r-yr81rt?op8zQU?%{$;EDf^AdYn~=-)t9QBw- zW(6I{Sc#rA39yaT!a`@!K=pQ*Q7gKSU=SV<^WgTZ+-(CmckU((UNB=jsN@TVMvBlv zJS)7$P_GJ2!Bzt*H+Pe5@3l|Qz>@22hHa3nHrKpl7ee?$CouUWIpFk4rYiZN-B5eS ztGkmF|8UOtwnz|B%+!`tJz)5<-0TE#x!p{bDDGX**B4m?_D5%@s5uDpP+rE}L>Fxr zrQ__)d$@&M5lt@Hr_sRftbQq4>->(<)I+|Ml#D(W^2BpN#d3k-2^dnAzg~cI`89L0Bz z#j`BW{ZhkJ5Svn|koi&zWCa!97<5XBXM0nS&gOpi0@?k+2>k?*M}N5k00000001ok00000001)r0000000000004ag00000004La zY|}>$!axj#;R�O)sIBJtqJ{@4dtQ??A8xktV;e1BkL)22kcY@4yDspSjWS>1ZTJn|fZySH z_yexHVAm5~uu{$TJ(Uwr$%@5pL?00030|9Ao7Wng5O!N9;EHS_TU zMj*`uVgs?Ki<8a*AAeTPkEA?4IK)55m6^c+DE2eIY(L0I5SE0haq{=~i4Svi4gs45 zQO&}@0hEOSkZLX<206gZ)78g?6)e4cRiOEm6MZyM7)s;*m65g43|nkO+a;NQht7p z9T$dTcvzx%D=jBKvBZuWtlB#z4df@V$M}G>2QV!C9DQBm-9tTHco00030|JlX(DgXcg delta 993 zcmV<710MYS1i=W9M}M6O00000006cE00000006530000000000002P*00000004La zF2%QUkeR{ogRXo>iGn5EnFxrG@+nPA@w@n%e&9Z7bc;NK?lw|-_N<{-G6)EnRl5NQA!;VHwKNXz4?~E|BPmmx@=4 zUle!5!LB$PYHT}igXjyyRm7OzwrU$h*AQPKt|h)qTt|G2_zLkk;;Y0bh_4Yl#Mg=Q zi0g@qh;I-V6Mx?%K2Cg#7{9M=4K|1_ByJ==L)=7smbjTXN*p6TP3#h%BEC(0k~mJB zPuxO`_uRHt8$_28-ytp`zDrzA+)ms<+)3O;+)dm=+)I3q_&#wTaX+yu916(=jco66 z#wQZV!Nk+Sac68K>5Ppg28NytB_~kf8%`YF+6~Y1kbl~ZxGZa%lb0!)lbtCZ#pcES zCgSc0XUC-hGD@15M?3cylXX{~=rE@&=9ja-7nM;Ufe{-2vG&o7Pg&^6r( zbjV$uu1D0}N*DCLhnSL!PN3}ZKh{2M%=k}v9PBY-9qZ)M3P{Csj2Gj@)~^)h?EOJ`oae5;p9E!Ax= z^Qhv3-cZqGWw4>&00030|9AlsU|?jJ!N9;EHIq33D}QG5BPov$4)G6iWoEDdiY+s; zJIDv5LB@(f)r7e^0~LS`f+%HSFaS#Z%rDyyQU=13P^C`({yy;_^+>8&890ElFaT1` z1;ik?x_P?#xUhkxm#-?c0Vx3~6^2TKoaN~58t>~G;^FVY4wj2sy)+DFsW?;}(7T?_ zV3z?^+ka%{mDq7GXaMED#Bcu&3L21lb*Ne&e}C`LfOudS`MAc1xcUb80K>?pxFp5O zs<@;mGcVna6GN{qUcG@KK2}yvPW}<`MlN8>?YJ;pDgiYC)ul=K`8jsn7>eOxiQ=ua zoczQRJ07rV@02u+52U8^kQx)Lv=@$Z3CIGhPq_X9GWM!aO1*&lk P@^kb7CjkHe0096083@bo diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb index 8c60616..626799f 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.logdb @@ -1,63 +1 @@ v1 -IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,, -IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,, -IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,, -IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,, -IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,, -IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,, -IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,, -IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,, -IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os.,Critical,0 such failures found.,,I/O,, -IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,, -IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,, -IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,, -IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042, -IO_RULES_MATRIX,Total Pass,0;0;0;0;0;21;0;0;0;0;0;0;0;1;0;0;0;20;1;0;20;0;0;1;0;21;21;21;0;0, -IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Total Inapplicable,21;21;21;21;21;0;21;21;21;21;21;21;21;20;21;21;21;1;20;21;1;21;21;20;21;0;0;0;21;21, -IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0, -IO_RULES_MATRIX,Y,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I10,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Sel2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I9,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Sel1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I8,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I11,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Sel4,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I5,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I6,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I4,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I7,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,Sel3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I2,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I1,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I0,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I3,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I13,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I14,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I12,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_MATRIX,I15,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable, -IO_RULES_SUMMARY,Total I/O Rules,30, -IO_RULES_SUMMARY,Number of I/O Rules Passed,9, -IO_RULES_SUMMARY,Number of I/O Rules Failed,0, -IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0, -IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,21, diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.rdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.cmp.rdb index 2da86a31d124196516cda4bfa392eb8696855310..c1b3124c6bcf6ddf905b3c192d0a82284e48900c 100644 GIT binary patch literal 17932 zcmb5UbC9Po6CgacZQHhO?AV?i+xU%b+qP}n=I+?GZ{PRszWVC^x~u1@q|-^dJJr=m zcPD5-KtMIH!2e1hAnSi0+rL`f%*ol(-j0ZciGi7cnMlOd(#DjClZA8;)dq}KsuVvQ3mx_o^b<(>d7igN zxX&s0zQD;RU|^nGT}_8XRuU{WlP`kSSjqB`&F7svUHM*AQc~h4>-Q7+?Lf!K_!SSR zyfZ|53Sx^4E5wi8C;KApe0TlI;-TpnyT9)%ko8mW^ON`UyZMCX`H}kZmFau^0DUJ_ z^52G=3+i2uS}Mm}JX<#L?6?mTvU14~JHf1YEqpJbs!Ll7S;sClGEhATc1S+0jg;wQ zG;L{+8gxwWhEvqI!5>KylN&7vpNq5=AdFgaz{^1t#~J&&^IeFWE6U}{g7ePr*W88; zEhAB292AQ_XnItO4+cqdaYYN-E5QPn<|*c>eB)pG?yZi-xg*1u|K*7BG}OQ)yB}a9 z$))^fpH5-$feR-$%G*F}NZ-#X^4{__k&0A8+9ww*2$*3cSb{Cx;(L6yciM0pcJ!bf z6Wr2+M&V%B6bV6PMA`}y9(qzJ#~5wz0vXwIyASPPVnQ&iM|E4)BtjmCBB(*E8xv8H zR2(X%qR>mWfGjikPrQ_O9V<8XOQHqYNU2k9FtOpEw4DkH1I2K$qy`J}1tK>1rpgx~ zNVS%Q&C2kc)npm0K4S?coTnmvhebs2FOUdtVTjfF+g=a!5==4gO7?IxW`DK#NZHh= z@KA~Ec)n$D~O;X0?>0(K5U@X0~x}=l8IAdk^7eE5Xi&qQa5_VXBM9; zo0}V_e1^z+G5L5^Js$NKiS^aHq&tmhbqjF@5woUDP>E9&+LD-Q1?^K7&9y%ONQL6F zg1x`5^!R=hvZk@$_~@N`Tp_Wx;7Jb-bT@Ku_MUHj^DC4p-=(Vhy6^ZU{^}ItalcBL zLN0ab*&Pb)3xycI*bE2!U-tK8==oqNzEo|V4p5!Sb1oc!SEu~sej3cT`l4{b8+D~o zNQ|!Mr{93}l7A`RKo&7)d@^)&>xy5PYRQc9{tX3J!C-Iv$yFe0{K&D^0}(eC06&3= z{tjlg8a=T?7ckKOzES;biKLE&9FVH6CNpyI;#(c`qcbToP(tczyr+p+tamuMl)F^W zUmFyrQ}@#C9@EPeKU35DHMI34oo;1Js#FUX^nH{b7&|9+;v{vQJsBTR$1CamsKS++ zw%3EKKSb)c_qnlFeTyUPODaR1n54()*6C94&%%OMPdBfhBN<|9wo1yG(~pSP%0;Pv z>8cRz;*D11dnAIS5m$(@p#{8pwKb8G?yHUWl_Jk8bGWi3BGarK$DXb(o4mmb8J}X& zc=}E2lr|h`hX}3TQmB7@wGj_HkCC8ECYU%nsla@u(p`P)x^|q38&s zJbpNF*e4>Ynw&R$mbtw3oW@1P67>Ie@$J0Jho+GKgX=W7TmW6fNRs9ilYsB;cEy5b2F@U2H3Z&AO$F0FOPB zS4!sv0&+!Ie}V#(1Wp<=~##?0Ap3WKI{6^YDd@v8Ts zbqRf?c$n-39wD1z$(ZNLxzZFKs`!U%R0U)qX3?l*F0(~x#7CErE0#tm;@xbVyS~? zqboANwg7FA0%`n5w_o^NdjoejBnsv-bO3vCU|USnPH}~4`CJ976E)UH7GN!j-4J*X zz=M}sdslmW*=isGtd}Xwp;e8#T=DU^pkMpLe4Hf^?}@0kv;cYv^J;SgnDqY!?JHs3Jc+(TQ({{hzd`*><@&3B{?hsLTPYF zfF+^REoP2|b=52%62ZqfuZK#-7+56HWN|YrK=@x+8(zaSOrr-98~xy!QGx%G`ESpl zIK$wv_`1zy|9@xxhsU~GKLg``klj#OF~0ws=D(gO-Qbz1`i=E~|0M^kYyFkKUarcz zoJ<<;BWVWVg7`yZ5<*Ww>h7EWZ_hd}b?si9aX`|Bn%T~eK|bRXotu1*6{dY-e%tpf zNT55}NnW3Xyl-($03l!nDG1%+h=ByhGZcs^gS_rtS z4ovvf^1M$*)Dl4^_TBa8v%aT!8Rz+X9zYnki!_YzDDS`4+PSVYQ$hP_|K48K1N25y zZuSjHW_&Qm2wYWtHvR5CI~N#FHv)w+dbH+Eh!KiWE&+g_;Q)v{-tWZO@xTK!sHcc~e+-etL-jN7qF#B?Wof^;gf7Zkp!fdPjfRVdI#OvO;@o}j#4;?S4e%9n+( z$5@sSlwCRs1MAh=%g7|a_DAe)dTO|KS;^cX?pB4OE8R~r;Lorg!{(>JLd-~rpQ}T# zh57IBIK^hEbGm{fug|V%e-G@2WLrf$9etO2qQXZ}SYd6drNMkDok@&TzZSF6wxs73 z_kdc~nMUx-2iuuD`E%JJzof{vnxTUu1O98j!iUY^-Hpw~yv+f3XR89QlFPFeJDFbK zcYGh_fdid1`#cXOx&*;Nk^nS zV{3id!Z*C#rJKrSq@6YTesY|ruyPSH6tUpGwoxEOAD^xakpqe(onIxN#skp4=@A0o z;_#|&OdQyZ=fV2Fv%`gbaB5j-UiH4~UJ<>ne)+7v6%Npy-}Zo~q@y$eFjX_f@q63y zQB;gqZvthS%7dIgIe`WGehO!ECCCX^>9bAjvil^|= zTGeve_1b)~l9%G!rPu`!+fFB^;OuDo>{^MSZ=$m97;)RuUPojQe zh_wRDHTN;n7C}sqwnpcP`+9}$(M3Qu=6qLwU_;+};=#|2@NWvQTqr6iuWl9pZ>Mb` zw9=6+{c)x2%;JW|N)$H;q)XpbZ{V1W%yXwAz~zVe+;9Px*6 z4+p%*11EQa43>#aLmMTC{Rv`zM3P6zkz3@#u7g=P4{N5s)+ypOLHPUm35D2YqpSuwAW^0@ zH^d8$zJp0E1t3?_ka{tR>c1=gJp6oPlmej5C3n2B6Yzd+k1*QU#}@XT(*T+4UgOg zHu0@UiW*`s#w2b*V&P?lkFQluZ&gny+Y_PZV9YMN3izQD>AF#!zIlMji>i{9#Pw{k z;-k0;#1t9pN5#(KSymP;ORDoS@nke?WQ^1>} zmJcz2L1v$FUv>o}8pWmj*;H1cu)u||m~V8z%&_(vYBDgk5&sMZ`{6P79E`?_v?;eB zP&!X!$TCP136+4SURrZyLKS*nlVJfU&)DTCrg|(+{wk0^K8b0^(wqZE9TKqubm#s+ z@`aF3tL&RTSg;_AlZ{;VzJuW0V(5$%SE;lto&uT;5n(W2ze5O|Cm;T4HOT5qxktBF zAaN~qzExH~elT2b^Y_l0Od#YH?(jx$Wk~I^HSRJun3|w<9fLpFAtQ2 z-EOVR#aK*GkCppxzq&=f-75Di-kjQVAOicJOSv4~l?Q{Rt6MfMfry~PN6nFrv0?f| zpcSS0I6(&wm=d7tV1jM_lI4-Rc1*YvV086LAarEkc|i#@m)v;b9LT>F%lHNe3dS|k ze&R~PJ6}O|7x~`0RW7t+F<;Mi;txnDQs3kxCRDA8u0>TI(4+xI<_mo|sHzA;JiJ|y zGcaOD?OlC;R5^cA0mYT>g#$7rijKZSz4JsZ31OW-K5^NjeoFG@1CZI*vvyzYDm82^ zL2o8j>L{ePvgR$hq5LlGYL@QqWcw>$#{ivzJMORQ>TpI2C#j_*WcfR$GGQpW)DymB z%Eh-cBVBMTFA;q;*LUhK)A>_93cbZs^{(BDHbnHZ%%`Ew(j8qUe<@Qeix`1xf)+OR z6Oc!Hpyr;RYW*u5t!>Mt4FK-k-F(2h@Qh;IQSvW+-Ok9;XV-Bi>Gj}Jc`ciu>+>(e z;4QBFuHp${GLDjH+AJfgq~3!N0tY<)n{#*r!!ldGm4i*DI?5 zmuf!3z-mIQfXw2v*=8o9(~9_SgIG(emyA+^_H

JTvavPud7&06+YFeFS(Auj!d=2Q zB!%-1yaZ)Kx@et$8Z;pxr7)e080F%O(xn(BGYgs~qH_}+JY<~W8$k-of>6DZhQyMm zsxA=Kr(6OMqARMW@~8nGzr4@AGvf~_kn)sEW{V;3c(NYLW(imQ z;!Y6%>PSz0(woD&HQ~;}S9AT4g1l2W15=xlTgi=$J(j(DES>%lIYm9cEN$prfeWm5 z7$5XLXFewIMX8#9Ao;kK&)vsn%K`}{nN&UB%_BBii(ZV` zxR25a1~eHL*~4_D;-;|E?<4NEz>cBVGeEIseH47}i<${(#&0~j9Ib#7>7}N)NTsNs zMu4BDuBhNTk3i^%Z8a(q?4P$j++{6BU)XHGqOhZ^SNo++Zm2P?N3zAMzjOxqd8|Bz zRm@zMK-ycBexf|Z6y~;xu!nnFew8y?;M0{ zqU%AIV>h3$5`4ATAF8QQ(A`{N76-(C?gcC_&Im#L$X#WMH zeJs5j{6MUotukvjB_F5MHp}3E>!^;oqf`ZehCxNIhh&2fp;vFh!OQO%=B~x{(tq6x z$_^B&@Ytl4Zk6L=Rph)R(n5CN^uHz`@GQW4x9gH09m*)Gl_4?F8j!bIx)S{hYLm;^ zJ&Z9#U8{91Lz0E7fGG~KqmuLQNTO>=M)qRWr4b-$kk*blpe=nlCI<=Pjh-xqcZ0{9rNARZXIz zLNSGx*0?l?NN5N%L?mts&$M~aaGA)v|=fm-|6!3ytd4ZCxdUzULjVIG;QopExU50VZ# z_0D8b!6}YNaREn*UQbefe{>EGs9_p_pjIA1!#kj8!dc2b|Ah?JahOR0Wthp{yp7~U zNhDcC_;}cgtSnfxtCC<#tDK%6GQoeM!1T0G)a9y!1t$+%>|H`8pxFiS^CIEW}E(oHNNGXE9HEv}s9dIPy!yRxNH)S1 zsx$e*WY$dXY$r;87(jyKnXjal8ivq}nTOD}I@jTxX>fGus)F6l^nbEDAq5-q#ox9| zc18>NbX4TXjcM$(_1wYElyUQfEC+?6#}$ON^B@@T#?DTmI~nuY+QB4Ow&b3gZ>3AN zmAiEpudJ2x@*E9i+W7b$5s!j*@HBU>DhKfi|A1XvVOfC^Cuxyrg)eEEwnks!K`H10?6* z$((*EtEMtz5S}v72Z0I~7e)PaC-M0hYLFt)D4k&$sUs>F4a-IOeZ>omL=##Oz1H^f z_=zgdZ@5v3Lc4OGs^d}f|KyfQO5k?URJRn?kMfwrc7}mU8Lx_^>yam zJw}D>MAG3XHN{A{m*5NT(0$T?y1p%kUMACz|EPj~ER4{uA0c1fi-mo(RmZL;HtWlv zT+t|6wBgt+VrK-|Tv+BVzBMUUfO6cU`74QXwa>cmRZ)MBQ{?GM#Qz?Q$@Gc^>1>eQ zTC!}PL{-7(xiok447z6DFD@H|!GWrWkCR0L{-_N!>RH*KV9RjM3 zTO0;k0O8+uz{pWt9wJS291ldDgkSyxXrTjBi5O93i( zQsS*$u59*JR0E3A;q@M4pT<4|3a;aYmn$iHAkOtlNGk#FB>+iG!VziG^R3Nf39rON zTI8N5;;51@`w30^&X8)EL?byHUq?)U5=oG9)kNaiMF88ky4}M~gLGvi?SJgt+^!Q~ zBox$zY@Pfq8cU;70(m~U71Qs(o(=)>fc&4~WYV#NLWa`(_axHu&p5sVVUIPd-)>)N z{hGEwpaq*yvu7S%%>4?cOfuj^`cOwe)Mp9f6S$iC8IZ}1qIIM_p0`)0DJRfz!Eba(|!+TNNs*6qiNw<0&A>{&}rat)Zavs z&W7VEn4y?O;Fz>AtL7z!7>M0Ulc9fUJh!6!Sdc1HX732#Jk2)|d!m7_rGHhtcQbUQ z;gS`{)p!_*Lim$Xlh(O1Hi8k>N+=O2ufd`s*~tL4)VW6`9*#tu2(=eJ4*pQ-y5DU_ zK~KjFK4Ez43t@$n`|25vz%mNgu!q~r7n_K=Ek(^u4H&xNVxj*W7y@vyvU*3Jw@_HO z`^(?U(8C}hMoay)9X$*UUo(`CJ+4C`yY!PuAp?s*V|lW^h1(m1r}~sS3I=j90bA<9 zD{*I!gC9hb*FKhWZNfjTm$Alcf>5o*a^-rAcVYBRW*o$Dg=d6dI!F+Xf5{;xVgliz zf-F7=L#zcicjaw2S_o}_y!JNZS}~7i?*>~4^%#k4KK3d{3fyP59InO!{E%wDxNb?5 zIC6A%0DJg>RhwbEo>x}S1(-SY6^As>1@1H*UcP^GWNNhuJ;VN5Jtbgl>4RsjFceutd#khX^OX4tq=?R(?SNPjL0BcT>g;C&MT#7-#tJ~*ELUL>w7;{Tw z+Bz5R#4=H-`aQ~lGm;7q0~j;AVDml^1k(0Dmk_=0nX-vW_aPy=$Xw2@>8p$9O+NCXQGE5&c_lQ*i#Yy>p+% z1}s3e>vkW|G5_jzyAJ~3lZA{4_&-2gF|$DDy&0G03)cF_T1vqZtbKDBHVe3kJ=Nes z1=tdfFVZ_xTuw~7zU6G6Lxw3#!X1D6d$>{yLYl}Pwh z@S!N?25B`W&8+V+<$8^*?>A*8jVu5gQlwCU^a`C`leubZ_d3mO>U$fLAxzN%ujIDNIWl`{RVl0UC>C7J5_%owQOgK8ZAuD{1hA{*G0%DHPz=ic^{!nU(p$9A& z36rTdI`n9XohD0q%;?e98)i9X9R2KaW*l(W+h+SEs47p@fpXEEIT!#$0g|Q7o7U+p z5nB23Eq|y;al_T`31-|Ow4yz;Fol02vbBCj4?xkPLSz2ji~YR(Vg16CI<&M71@1?i zg!rqG1uL<#qyWe2Unu|71iA)9m9DCol?v}4DE`#^gag!i@uwPdwW{sY29NElsbvV9 zDxeH&`f;u`_M`4Yg+-cBBO>cNI`}d#CH>C+Jt79qR~H{}Ja&@xtrdptr^p;~-KQEq zrzfbKaP}uHrflx-Tj~M@w5%BGCh;-m2I5hwLuSmZsbGaQzdxje#0(H0f0zjnT21*0GFq|AR22>%llgMI z#TKi7VDc(|QaM#gE^vJ^4$4Z8f;j=k$nuhNkhUBlj*gXt(i2}`V7ix=(sXpbpwAv$Ak!J_z0R@V6@@o zrJ;^cG4OvQaQ{2qKJdw*su^EKMbt%Rl!? zRW$yNuYO#FOtnBB+Q%-E2QvKyc@>PghiTmv=wGTkilGxA%IUeQUsCDdg-CB}U*(bD zg@0>s076))2k-)8-l4?RkGUKUzQc5DhSRm8q0WE9Zos*weM6TXlZF`kjgSqwyq$3n zr+|knXP^-v8R5#VC`o_i&`-Sim-?B>i_cwj;VSnq2%an$UW0V8}?f1v+uKQ84)q?JE#yqej~9zDRxUd{g7j#0)=+1Wu+b~Sc^ zcG%y-ttWUek;Rb++M_?Kt(_H@+PS!zj)?V72-_dGh~vr3GW<;jERE&d4`qDJXj)5o zK@|~9xrHvz4VUXcYOl5qe`+tzKEqq}Vrpkj#R@bNTekGU?W+vJFS>rm8TqBT;U1u| z7S1qdoH%QT&Ht2+2*+S!?A&3_fd`w}qJsL%SfHuaV_lw;s2zs7me#KYng15uTJz@b zXm<7H)8Ag$%KJ}A#r%13#T~o0TMuZ;u+0}cxigT_7dzSyf8`yo)gO|yC%l8Go!fo` zKq^483*8?YUmE`ZZ1?{Uwn3ij+56iwODN*ISIyIn{EEoFj4Dz~t1P_FSktM5$L&S` z2lJO~^7-z6D`lkiP2&{5;lN}Pzd0U}GkH<_7UlbdNtW;X%Cf(8_yOpjP~O?E(LBc9 z;lPI=sE?2eZgB$TYt`V}bG*Em{v6{o%QMb#86v{G&-L78SuQ`4$Z#WFUc*B%+ILO_ z7%JKed3f53BY^RGLi}?y>yL%%XV{58Q6sNvfcNs0Y^`Ahvc#BA#Ldv-@3 z=hUe#{=nMH|JVdJe)mX~p5j z!+2lWZzNMb<+_%rsHQnS2s#x1Dx)m`$NFzl2er!#d!X7_&rO`1n0_^uIC~XRav}=M z=4s9(SgYeK$l6@bO^lkSbg9GQF5K_jrvy5OkmUnX`$j(v3I1L&`DX((W&1dqiElmV zr&pnz%RlJzzjNPok`);VA(`&A(*JyTdxz2E{%LWc55>98gCKydk%O^)1G8;)v>!(Ew zs;R^d!*n||sy8#kis7D*tf>lM4s)yzF~ic)r-0#JaMk+W7KBPW_(!D7smKBQdmEP3 ziAWb9_@GFYwlnt`7wAhPyUc2T#%NNpUc(Y@%Q(t32K!tM5$q?@;QUW(ja_;_o71S+ z!>o+zFnf?5!UnP>DEQmHGf|rj2DVFp8lRsm{d9lIQ2*YiV>be!l?x#K<{e4 z)rj=FsQ{z{OPrwO8E&-a#7-a*Vc0=sHw62rLipf;1Zt^7ELg6BI(f+6?wct)pV7)V zC>FVSM}~ZxIl#|kde3??ma*gI_ZV# zUKzuO0cgpM0+2-FIoY7`fiiYp&|(jV#xZP*YI&eYtCFCmOS04Hgwn#eUvj+nLNJr3 zlMw<0vSVgUyLlwpo=kfFAoxWaLJ z#@-)yB`1E@+*?KI&% zDx4gFq9?5d2ync0=Y}W9O`KXt@U~79WJ0FkHd0c=#oAJ)|*|+Kq`J z%eE^+-2Gg5a4;W20xuIMeRUdvlQ&KS}s7om?t+GzbwfdNnZLuXn>jzpi{&2rZz z8dhN5oR{?@qTXW%Z2pl3+9{QVQ_MQk89NVtBL zQ8v*2U4LMY$xm~jb!;a8$h>TO2!CH-(DL^sun|)?aJc+qZ?H>f79f2R50^0H^c3go zzWp?j4OE&@bq{Fm^bwtqoCzHOcfA?GuVdg>>25PnhZdVHPDsru4Z%+P~ zn{&;fI^v!8RM%)orKkPbd0f@#A^O#NdcSLe9n8FdiVyVs{o|ib19WR#bQ6@7Pp5W0 z4^W{Y&Irvh4=|lx$$ptppxieCU~6pJfmRKAJ6!SuV4IHuq*8pPpxFiel^E(U{!(5vBX_)|=!h1n_^pNR4V~%-O_MjQHj4!55 zN;rKw*md8Bby|YVc}}ZbAzYaI-pJs2W4qXA^TB=^Owm}dq)K$aE8UOM{)*T2@#d;I zQY>qWraZGqj8rNh_g=44wEkJs`pD_YxpuVmt7A~L4$(%K>&v%Z@$mSUYDxqmLq6CQ z=YmpGtG3bbvV3Gg%VCNL?CtzKc~ntMf{`!|A!;G}XJr*iRGivFL6G%<{4*jMvnSmq` zeM~Ht&!)UH^`N1ZqOJzFG&C2=XJK~?t+O`CP+4X8a;jOZu%u$m5+XNC8mp?}lN@LX zA}(g}#6GXRi;b0NTHFwzcw7rznU&}H;k9bc(?>dL>23}rJAD}vc;zk4a*+dtpjnY? z_GYCyazWn+`_E1Hb|~M?NRS2AV}yY;6`S7~3;z7)qLrr}-lWPziutDuUlv>nn)}cI z6`6QCS*PINHiqx8)T_RdrmNFWV+qi|4~GSojx{v_kt>5uhKq_J?6G~tQ#&5bi(#6H zt7Xbw)SWZtoj}yO+Jn_S$Z&?h!t%@bYV-(p#!yZw8qbb$EK>*SxU#Hu4i~He zGIr>4glWB<4dMpF4=MW&b}1QJ%&Iy#(gmaRXUf$syE>v%S-BWE&$e^7(Pg}xm!JUV zBQM7+5=o&wUfSB))8blm)mDpnFJ$-VbC25&gxZ20G=94{$3LaapX0M{ zN}FWzuNGJ(hpN)gVKPMQpLmNMNqGfHXc#a8ZHkr2G6PBcU_&;VUt9!dyFwjd7Z(?g zqLSY>F|~3R_E?A-=1F(b3LbaE(> z#TNEc?8Bk4SN;1Y+R!+3c=<}&x1H+vNSxyaQCfv5YsW(g&WrEv@H zeW2dmM0tDbCXya2WYyHCp%MGB7t~9G6w0tBeHn0MNM?0+`=F`{>c;&nb$KtSRK$Vp z12ek0M?C`nx2@2I{qp7M)oDMGHy~>D${IEDx3^F`ey*emO1X78Xj^4So3>?J-0<;X zG?yp+bYJ6^Yh{Y|S1J8o0NZfQ@wa)2=Thh|yX4%K7lZIE_SVsj>eVbx2$)bS8{Ur? zI|7ZY2siBGa%#195EGCpfC5`cu1)fYdGc;}clrce;Z2J8X=qQB(W7;2X#C8t!s!9M zyU8)tuwcdxw3R6T!4q>XK1Ie;68!$>hE=DoPti^UOz4WEP|kRw4b!}1U|M!=M2n31 zd5S2#?`WWw!C;>Yp>D48%Wkes5<%chBLxuvU)?sh=Uk3|1B#EgU)pBOyKtO2_fRs! zl2K6elvdRwy|(ZIS@m@8Hdf)^)|{wQI`(rdG4310hu?oSOa7Ggub!E4%9#$MJSKYZ zVQe9LCI)xgmuIBiQ22%Ri{9yKOW_CZco^1$;b#sfT##=W;3>xHbf9u6@MlgL#qmut zK{ z!$qo3M^3`UxCj%i(|H}eh}FE3^wpi)xk%nA@CEV_1~jD?DOgy=lq1W>vr9~J4dWY> z(42j`W=OlDpYUuKE6VoLj|KM}ecUK0nMbe3Y0H!Y>BeQ%i|}TgD5Yl0p^-9#teAqy zcFFhxG)VP^*dP;T{O=janrJLtV{Ucic_TsCh)HV#-nvRFV6e$l3s030P*4}n#n(Ts zGh5@)<@wRSV{ydlApl0Aw`G+R2?} z8%xb|5g-LhC%q5tO?9uQy?^fnDF)*b&f`HqlXE+lS-TkClRwDfu)p9vcZzaLWFp5( z%6Qr4o5p#5VE0spSoeC_v_AJ@3%k_62K3qC5ViGu6L?mcR1B)kd z!KCw4!bTTV^}&-36P?!`q-t?y)h9r}5n+h4gxs)=cwUOENa{R*h6)vkr+2u>NmtUG zFX|bGv}`dQ+L{?whl@Ur#?0%nw1p$`274@37%MK0(a2S$Oa*G5YmKCQ`30#QwPaXZ7&X!<_4s% zqfXl5;I8qVR_bCsQK-zO7{=}W8hH8`Uh~ez3$~K%q>aFKcQMd9mP<1r5+7C-VJdj$! zog&I00jjh@ebfgVgK;F98Y_5()B%hN;Z<+mL_}-;}(WS~T!b{lznf7pO;9m-$( zqnmNTUAgwO2kMq~3dNB4N(7M<-;@-Rz=C0+M`LlU??y2x7Yk`s{dcohvrl)2ZzzV{pWq=VO$^)*g15rZAl% zVI%gkaIR|Fy37U4L6j=lNh0g_zjr26LHm;Hz&nc7)QBxPx@a)GX>xXQvX(>4uj9Ox z^FU;rq&QFUF&x8IN2vCs^sCD#cb9N@G7(^$F8w4L1ZzZ=(0POgBpaK-U?a)dwG)Zg zSarEI(E2KQ&_pAC)k0Kn7B!wDE778H4~ibr1YDG;0fIit5LORn z49itI(mhZ3wM1vkO}|Rw&cgUfCd{r03K7WhD;b83B^j)oVO{Y}RF=>tVS*^mSw@#C zuc6k_Q(U>#IQ-@dV4`W9!cPOi}+mn7!f2Y32FciIAya=9j54XS+v&`P3?z;;uV%Q8_&bKNKuEiV0pBBeWZgpY1%HzK*f5;B_I8be z?*@CKGFUwI(Te(upLMsV&}<7+%tK8N?Qt3n;^Pn6HowIp?18SCLoNOXbPC{12K0CK z>!=I%6I*1x16wx{3_w;-dw~(wGh871^jB6(-tJkm+}Qm%*dhxS-|xlx|8c+jR~nSv z0mlj{DBI_T2r>ApD>V=c9t`PCE*qr<@%)OAP?;Dp)Ln@4Wd#ne(un3;#WAct@p_rG_Suumu`A&4UgMi z%t;jkY4n)s#n5SB`g6@Vq0jrggR{EP5*J6s6DtT%Gqnfe>7}*=@y)Yj_;>`kp_f}B z5gxidrV>=~xX<_Con<2l398L7RT`D)oDsMzhn!gmZ#J62YKse$?O-`c@)nfh7%zW1 zfoxd2`qecw9TjMNuhK#(ApZ6^y{nkb>_-BUe`}AA0}z%gAXQwtozJ)u`kp5JGv_C7^YDqmW;U?uSG87qso!DiV^5`-GCZY^9Z*sLS45ITur5@;Q%Tt3=gQ=ua$P4AvW+%61uS$SX+TkyG z9mRZeqc;WRu1SznF4S@@@fe)y?K-Eu-xTCaGSBTzAJ1xS(>m=AIeGDYs3_#fi>C2r zHIMF)+_vcCPMdVy&WAPuzx#}vex=f9-R+9+nwQXFJ}E=@@bgZJd1^!NFyS};mjq#S z3Y2E6unJ_u6y?q)!q+@7D+8y>1S_JsuE+{s!cpNaEnMXs`uLk+K6IY)G>X2P*+(YH zu3m<`XY!lq1_nYTM3>hlKOv6A1DrLj<&snmyg zT@Oqwi*gjuDba3GIJV{J^msq`Kbl0o0+_JF_AIkZ<1)@^!dLD>Kk%|YPafcU0KtN8 zv~cXu1a8(g%h=-Z-14c$k^=WYo@s^%U1ir@_>0;`I^0Y#6mHtacHHD9xEoMfOlQvc zB`1hU{&s7e4rM7mAbAWBO-UJk)nn{hTeN9cb2{KWK8m52Z1;phT__FK(|dlF6|1Xs zj+?m@kkko)A-664a$xx10aMZ&?U;|Gfm@CVF`b(9kx*qxFgTo&AmXCNt^2~AxwTS~Hp1zjq3=3E{jQ4V_ zryqr?x=r&_Ry@|gjLX3IF7N+6n`#@5qGmIAT2r0CN=iAd{pP^{RU_z;yq231m5pd1 zxt3JleyuGzk@;-?4O#nnPb>@A?RsyLPJ7)Eewd}u+jobY;mvZ1$TQn@z59Atn@r+h>@C2 z%z3Z%N^ZXYMoCH0Y(K%#y)zlc){Nqd9{A>4xk$jsf(xotRH&Jw5~NUxYIw&vG!cs+7*yvTkH9eR^oBPDd$lrF7MP@4Q+1pg5V~8 zcc@;V^w@d>1fJVRgGRTN-{6T!WpPlgR1eqBD>e|@K9!nkjaD!7O?$F1JPlB!9iVK4 zoV?!B*kPGlZrVhWi1EKue)nMzh&NeSxjy<~r?d2KiF(P|Dqh7^pGy;oi(76N)(9ymq>d4*1VW!*(y(Y@S6LO9uXh##A`;@j_g%iLoLc)hpksn0E8 zE9aLeZ}567A82)03 znVN0+ZyMTloGh}ucOx9zn3khwsx{TUIDx?_-&oo)00KU}&r7f+@F`67leo>Q znx)eyyHf^826&rFH6*~Zt5vXe#jPiyc|-Q}noCE*c<3?uaH$#R(h-6joKx-_ZL0{a z<>*klS*iP2+7Fv6lZo}ydUUWh7dm>D?q@wr0XC`&w+y3jX1wkXny3i6t7|v@c$?pU z8ze3ijKS+}ue`zy>s_Pm?bG$cZCai}AR|3?781V8&P&)qFK)7(@TT5BbD zhs%YOjzvILh5fl&Q%>V%Dwvf(xVc7#oTOD8x{G?qd0JptUcZYn#80Ry40USB5~KGY z)jY7`|MI#O|K9~Y#KwVot5TyMIx^YMN+7J!g6#6NlATn~?%P-GGTyXJpGh0hR}o5`1r@hp zwcqScUe|~cRHOruD!sCH{Y!av%_)@2+I29q2!3;h!fJObctv!@j(+F> zap}{S7-H$sap^1KQ2HBr=bDi@XjurqVbSSu_UT^|ip-WA?h181XpBf*$PXH=@x4zq z?y??s>A?pu@K3KAIH-_~M2#9@fx4{D^HjiDUeNI#;_TJIco#L^U!2DDJ!AUfvKC(V zvZgR-s%uaC2qP?Gx2j+53&?a*ryn}NtlT^Lfwp?1KW^tgZDlr-}&iwfee;qT*>%YX42?2>Wx+4X;GcggGcov! zOa5@y#Nf{edGDCN?&G~<{&bV~j`?do{yJvv@fW}R^^BfK;^x+|e@WID&rPfSYY4ap zt)5@c{zaK__AeORvwwquC|G?fN5HqQ;RDL_8dSPU2SPu1?5_6jJHylPwY(!;awkmF zXn3wJFp4Z=i1CEFWff7&c8S(4tEk15-J5mCDsoq|0qU;U?O5%tBIC}AXWg=j43I_A zx@8qLK<1Qn$13VdY^JR{R#8`CIkoOsMO}%_r*+3FGUhCp)-9{3ySh=O*_6^f-H0=@ zsmYwVS*_Wm;=X1NkZa9mAf~VMRqr$!r%_KyIRe@jYDn3B)$GW00030|9Ao7V_;+uU|?Wq`e>ue z2&9>Sm>YAgK^KT&^8QXiSN*XL?fLHa>h z42VJc{anN2-TZxAT!Z2vN?E{4A3b~D#12&|1XYTnj1{cxDuZG+$Tc7n1)<7(T-_ag zpoXzA>;Q`9ar}~ChN_Z;stOME^>qx2j1O^i@^OW#W@p#{l-(+*sLTRYEecf)awW1# z4zTTH~}j1z$>a`km`b#ZZZfhyzz+q<3P&pc45 zAo~T`PHqMXpltg7Z&!g7LpYEF3mAwhS07hjSHBP*1_hwBOi2FGFrX5UVK6U4lm&+b zdHT7>Lsj!KBmh-#EzxR+1rW?ocgIk7*I=j%`M`!UC%s(34^$5FE66P%|3a0yIEFaF K%me@c0RR7XInZYS literal 19233 zcmb4qV{j(GvUalJh8riFys@2ZY}>YN+j`?{Y}>YN+qUiG?z!j3S9Sk=HB-}FHTLu~ z-KZcSAeFG7|3VND%YTmPA5}4Sa5T5I!DpnWWuRri7jQPWGQwwNq{nAqp!??;>Ho2c z68KW)PR97cM&?fc(h8jXw+Lzvoc~1W~DwGh4kAC8i<7PF`Mj2=2jLe7Ho2 zalQ_B(6_aB@5-BJUEG`ZvmlT-WAnfrB~{hUw&$fv&1M_#&!@h=KD?c4-Tj#9y}iEX zoBGABGoi^Gj7H5Pwu^@SEnA`|Q%>LZexbmB@py!1>o4z$AD^o|*}d&L#LRg2-C z<-9JdvdN;_(Kb?qcKr`hD{9`CvdtLjZtS!tcb;j)_97&g{D`E}#`Vc7qIoM_A!o-e z+r`nSD)(Ovzl%28;SA@kv!Ayh?aeSrMzP>DmWxmZI??A^E)e5BVyp~`%$_|neWo)+ zCu=OH_MZ|bp)x?$Gn)5r@&Jvr6M;ba@~sP2Oq(Fv9<2GWbqsqu=fM(|nb4{?1y%Hw zVsk9S0Gnp*m;jv>`Y4x9U$iEVDwS9|i`)dNX9>zaR{(wKdI!tq*XlO#G0T0%Qq#&q z-)pfkba}l}_(Cp7u>w|Cn8>Iv-~x3hiF;( z2t%M61h?bmA)$bGgQ2ERGrU_SkI>j?gh^+)hANr1BU;1TF@NWBOz(O9ITV6pIG7&2 zh#A4Yr=AI(+Q4kJ8iRZ@hN$VM4(Amuw&MaE7(O2G_!nL&h_IFbs(p~wkP0fcK3ynz z75{awarN@4Pg9-4Rx5NzD6Oa!&iLcgq6DollRKf$Lgwpm$sTk0*BZr zm)KO6gKO5E!7|*x{m090B_mciU}XBu!z@pXC%Qq}?}a zzvsIl+^ZOZxyPpLO7(#r@sLa-yc8=h0f=jrg_k#6C#;@F#`WGI2#qWV7%=a9*E_u1 zb&uB{g3&aG>OZzja7!C)3FpGcW&WHv&0=Ka&`l64(M2e&9Y%afkLI%J2ln;x_C*-) z>RVeOKD~b!ZZ?`u#Q^T1jp}np8guZn&_pdpg_6I#6WJM?O2J>U#+RM(q)LpW+AHip zsHE=Wh{lqhe=B@UJK-;at%^^WUDLoJYjUSh8;=}%Kw{$L{Hp$rT$qN2#ChK-2yX>^ z+Fi8086u%q$gcDAeUtV4zVJ#^kSw;mRpGtIZw1ALjNGRJl-%pQHJG$z?Z{6bJ&R?U z$g*EWu}}4Ds!%%oXWPQ-c=P+c{Xl*qMSd#bAoQ-WcQYYH*Fq zf-$c^SpudLIe(Fuxha=LoS;t9p|&H*Cme>$$!x$y1`dM2{`(#w(%pnIDkj}}^Q ziT)}Kh+2P7F_V)+!x}dj8KlTKO^T-cIQWt2dt>qve7#fxxGDN2+^f%UoABQ?u)g9x z(_2X4I!%+{fMBN#w4b|b^+Rdb1MBIRtXQfY$y`0`_kWScVIhzDZO5ZteNpUoJjDV@ z2bK;*+w+}SAMp~)nOt2!MEP-;(wBlW+`5spU#Qn|2j0yb15cnqdHK9*?`n#&-1Rpn zhUt$)?}9a+5uhRk|9;NW8^s8krlzSbT+hf?g(3+5K4EV(eHb=gq82KZGa3LMxoTc>F#6!`-AKTEY>l?R^W5}Ru3E2uv ztZTUn$Xu&sbLO`L;Ebmj!od7`7QiQ6sI*wfUJhWL#Ox%Bu$eCgW@hd@FLAXjSZUMe z1yd4!3}ry{2#v=LhF5?t3OzHz?BiGI>d>99)jrJ-l8t(i@t$6P8qd8jQX@Z71Oy{H zhpy&Q(TV?}YoQ)i+gMm@NJ^-{ZEWu>9MF@sn)qGpveQ+)-iqTmcZGT4y1+|%3zBoA zZuA^$trgE)n0|mYz$f- z!jpX67dvx6CkYVR3^eR)3icOY@WH>ousLcEMjgA2MEjCkjn7jUzkRu&gy_^*o1Jlp z=h>T_5$^FT*4PcI{)llWV;+$ilbsEF(G>gB|o6hAIG&lyP**j~VNwlxKaek${2RUvFALv7YQMcC&w})TMHB}m!uanc( z&3FEE#xlAgd?~TvHgrO?#7rQyb;=g)95_L@!{H_tahoVz@LotP6Or(;&seoD>%K9rqTAvOh8Y7CYo zo}Kp#;uh>N)BOwFc4h}}_n56C$C87kl*+!~PMF2C;xjm~7r`_I-W&Xz!G(wo@2$mo zd5LN?uMxR9ZVcHv=f+Z>*x_$a-D`?8?_9(e+Fr=q$!29(zW~^b{`wxec>{uP zt5tx}^iAQNTXnU99@C4a*YOMj)UTh1__4%4$tAUkxl*CzIn+m=@%;wB2l|FJ+rv0; zvYoub!PSs4vrYe(lE<2Q&1r@24#IuMfjI<*f_oshfd17=`j=#cWK@_~Jf4qMoR&~L z4ctL|NR-$;zQ^e<66gU%0hwOVVD6&RPGjR6Bx+Z%99~r=+*aj8=aGJcqvozN<<4@v z`j?aqBdXQR%PtQz=ugapgqBmxYN>b|NqV5=fbBe*be!ug56mb%7+qgXw~$?X%xO2# zD#-Na#d@x0E^Sq=F&{F(3$DvOpi$u^Ot^QX=suylUmy4yX`8#}iP@QEqY^Nli z*ugJaIX!oS5qY`qwDA}ytNH4iRT|4dsEoCqO^GM5Ul}u?nYSa^C!lzv>Os@lYw%>I zpvid#!v#27voa=lpy1<5hW(e`5Bwz4d%mJGHlS%jf-g+>a9Jq%KF3T6YTHm?Z@Ohs=9G0Of8-_4MZ#(3@l^2;Z) z^m&_zf=)%+-p{4~qN<;Jy88je`s|sXHclCw`Lls1RdnJtSIB@CLERq2k}-x!2$w%h z@zK5yA43c`fA@ChKz!81D-%YdyJfCVi=Z~Wjh^2eW6TLV?N6B)t2IQs(93pt zi|FA4YKP4a`bQVMTSxFCoD@gBDSvjT|I0j2`AZheszC4fzD!d+vk1I3Kc6(Mse= zndqjYRbg1zv~c}Dl7+o%y5St?ar)YyizN8x$u%SGt*C(d%f6wz!0L~vvz9C3yRqABQU4R@)9q6FCuDz}x~lGTv~}m{BM{;Li4Io5%xjN4 zw(YLN?*zIF4z4Z+%{7}SI9>IsW6ag=pm5joOo#`0t{JRu!Q;FBY_z48E9(+*=hiq- zF?&zKI9jRPuA`mqa_8C3=k`X3ek_X*W1d?i`*j}^+9%;e7k2G-aSYNHwr#HBx;UK` zX`A^0LR@c?${}r$U8jHD{%T2li^;@-A>ysJ`}BBf-8!PNo7|*j=a^P?YV9-$+YKdc zTeAwz#|A>mv8FxBFluEwO)AfvWkWsc@HV-AaM`8AoBHXS?r6hd#c;X=_*~ZkM7ss{ zAQ7A*^Xqx4_%8ofc&KH6=f}ws^eXs)YX4JyU^e5~(2gm@Xy=MA+;Ya#ZuQ)`ExWQJ z!<2@H0Bl4C`;+e1^7lKldk3HLZdYg6 z9xkGR#N0=W?)TgBH-<0lwqP1yqhQ6Xr)zZ>-b21P3>JK{o+QCoK%OqBspnDPNqn4Z zZQarM%eHUt56+?Qlla`lPmT$81mhx#2*&#rGMYd9tG<**1U%9GWcs^yaTs1SiMxyz zwwPl-lL1#ap{<-n`haI)dLO?Ljc%Rc$ED-XB6UXHJOf|khKV)9`lhedp*Pb+Q=E`W zPE4)Gl*WG$fXd{74=ydOx~6s&wd%yZ5BT}Z&mr5yF_^E_T&+to`bYcvqiAePwiFx< zynP+400yYu#K>Rf0b`p)w1DxU!EnjR8+e<^P5Wlcqq%z<&*Ut}8oGe;68Fn3_vGg# z=gejMw9RFc;laACj0MhmUZVac6{>QRK`R~&&pH#_G`#fi*MXa8ajpuoz~Mt6ybpTg z1v#8G85ZVRf+0w4QpbR~GzVn~h}YF)UeCKe_~7481bs;;C=ee*e(G z^NU4JU{REar(x2>3GUU_2?D`o3CYZls?40sY;3HQ#x|wPj`ciwwwF**T!T(#@8p|g z(WVS=z`$>Hg(=%e-Xk1Aj;uGpahb9-^3}7uE`e?|{`tG#unFkA-ba~jEq1%W@eX=z z4Bw{9DJP`38FENSW=)^)li5Qe9pC$Oom#FR~_aoZr3si0iL7a@_K$8tRB4$4Vf74ukIiIJD{Q_P0JG( zCnp~phh?qnAkr`;CG-0sv+ZN1PRBC?GxyI%r%oeOp)|?bfl!N`^MRT1ottZ6U_D<~ zHy<0FaDfy32==*Cr|Q`6=rWm}Cke>|O|)7ff!Xa;(UBu)t|5xIwbpxY4Vs7=^W=#V zl&X}#1^)YlslW)#W>ney9f2#C>guVrxxZ|EWUh$1nK+#s?3-0tO0v2*xYw$M2o!!N zI)o|7g;c&FfvG=Qv`LJO3unjWqM zLgC^1#_typZ%A3!;Xh?wNse{x-HsaTWmn?gcbp@N3;=nOdTz_vP&EuB=Ow4D##- z_t5(8t?EkXda7m@xu)^o8eBeVgaduL6zlW;z~r6sS>f<7 zo{=D{BQ$f>yy1@VB@ljFb-RC`hCl5$8uBBKxTFSOg`#cUDrNX8S zq1f=L?L{p&C_Mt6#!y@;DtOLomn8+iTg?WC9ITf~dRCw}pt zmOyVir7!F%tx(BoZS|o`F4o{J&=JAOcJx+rf#DaZnzob|+b%67sj?;spNP$;F<~@yyUMc4vH}~1+XFObsIOrj zW|rJlAtEhA?-}dv9Pv8d{)|}3CmY#5r!L?ryk6X{b?f;;JNqrWl62s4tOs==95&a` zEWR<1zp_;HDR39nYulVn%0YX>(Mp}Q%dM`Q01!&s4R##!dfUY!fF4gdh`{m{;*5Fc z>kOgAJb>1lJ`4B!T)>pYuyDbgHuSc(5yR!3NyU%uvC8 z?5lRb7o60pOInCu@F7JD(}2s>(cDy(BJ6^{Y4uCkA1cMb1k{;7N%TX|P7XzN zZEYQIVdJ0LA9knVm!y{(AZd-a$R9xjrdKfmTZNM1f2lz%p*lbhd1~rw@nzz+<~LoF z{WZAzJACncGEoVoA3IN|hv>KX>i}Ng08zDZQ}|nfnW1)sLIVncfoZN|X;%}@z6W2s zMul?S5LG*Zr6}P6e$IhufR-$RsRep&g9dr(O9u<8w#K6}wUL?!zw02e7~Tff99D%w zhPlcsCp6N4AnYVevUj~tF+l2%e|Cx0@=dr`hX#A$&ipU5q;c-K{_C}_3F`umlLy6>IVP*n8VFnjG3ki5MwxCHSd&M=9lw6KGc zujP{%DL8O@Z->n2-|nwDF`(uPTHzpuiI=8ey~ z+-C{=rV5ixAtb)y02Ns{=pd~kr)KczYq+z$v0^N|k1oP0Jp*FXd~jr^;A$1$`O8Sf zWJsGRQl(@95|}I?D!6UxciR@p&9aNu&Vy9})RIFU`)kYc!#)dI1`cp}U!y)bLwz{| zPStGg@Qur1X_%1>nzY#Sq>g2&$&_6e4L@F{T6u7Zq^W1bI!;}@U;XelnBEvLx{k+k zRJaoEoKmK+Dg51QaIudto!O4s<*2*%Mt2h2YmZ=C44(uiqEnqdD#vGbuYcfR_!{*Xq;Oa9*BZAGv^Cm9Y@71|f*Fzz(rFN$#8{Oqu?=G_KFh4%{g&~zsyLxgz4_^L(DD{GRI9?1rC zXhQaCnLRaTbo!o9&B|tKZ9Gmdo+IAix*}GL&V)Ed%?VOFj^GYEA-L@F*pHX#BdX;9 zBN#r^EGcFq9dg5;@@diX5K(VK8oxozOx;|Y5ZZ-|Q5mUTVA|DP9x*LD6bNwIMTk0n z5Z4>0yR)J1#egvMnmpUV=FrxJqcr}7Aw14zK2%bO{)FDa@+!u;uy2QaoF^dVDY)y{ zs!J8A$q;mGXB6GdF%}5TgDHIO^H9mjtO9Hh%*Ig5kxya1Yu5Bayd!P}J!KT`P+J(( z3W-qKC5ccUS7EF#Q#>b5U!vyd0LO1)${cL! zrmNwdY$3Ydcr*5N&Zlt>s<1)E^{!FV+G;ZkkxX#`Lz;d+-as5kss}KHM$!HS7Is%= zUa+6+gM5Shl-c`*otbryE9NRqOak*YnKK@|=`eV~#^)Oa-c`)e)^R1`%vY-2T!Zwp z(NaFBPHx|Ym5b~Qt1!K^VPbq~Qu5b8K+-mU3TTGA{-ircM`X$+j|%0o_O*1RWKX`p z%?-}zFXCqUz6(Pr49*8+sTV2;TK;QHTDmIEdOwMsCqamcwN9Hwx_y=@gMlfC_C8pF znV;!MuF?bqS#bG`bPFLBBGCEuKds&%qCc(v^fc=;K;prGi%3NvHz8-@NB3)Dx(R;l z5)^-iIgiq6EanN4u20n4DzTtH28|cik#X$*=hr1kFN#&QYzc+qBaBYIju^tWQM0op zYU$u9F*2l2nM1B*W6=U*`9afLMwM?$$hdY;wSBK+5WT;Uc4$ZJ7QIXHKFOn1uyX_B z9}MfTmSpy*5?2$$FvKByR^VvPjqpreTyMKAid=o5?}tys*|N60yDl|-3S$0rN*~oR zu(9I8>AE`dR7-$-(3a)K6zc5dGaG$ARRKa^*0jia2_4Tb{+#NP?&Fvnm}>qz6@z)H z)3B+`do<6tH@3P@|R(RW5wTT(HCULzDP=y4vjz%UcwKZn)G?ezhi=O7a_kNq7 z5OqkKQvUsW>Lkf-wv+^p;xLP8C9kJA*zX#iinl)pJw|XsjcbwS<(x7AB16^pb$v{AgBTQ5Oci#lI9>gv3IbF#c{QBH{04o0nV zY-7WY;&&+BCq!c0$N$q)DU(?V*#j%$Q!i9M1tvaw9(ZZr&YPH zFN-(ZL(7?LBI8ada|=tF!E$kN@ z)g5%3)465lOL3{nS4$EyM6l8s1UYgWnp_5|j#?1TG%ae8L~U1Ybq6vu2FbV*`mEU^ zeY@UVpS=CGXlGuoh`3u=oDZ*6${MXHjE&GKG1Cu6@9xqPdRtU!B3&=+VGthvp}2!O zjZcFVGrH#1i=?1a;yHrtp4tb8Bkw_Tv=t@v4(8aCBWT=M$&A*CUp|fg{&!w8A7pu5HTuyL zn(^0*ba(rQjrFYdxe2(vO)f8c#1Z9HLVzL;2b3SmlqeRDunz(_t<}}N-KWcA#!P%i z!551b%)nmEZHy@N6MMSF)PP^*^E{2ir0>sPk@mwCcFy*?&2X<85-c9M|-3`zcVvqyLBe0iVk*AkE zV)Fsxc=Axb8n5{a2n?hTydQVe#TNU*2w}b zxXAQxb2Q0L6B2>5L<8APl)}WX9SH}n`L5LH1GW`T8k^OsDe`yLdq1hcT$43o+1_Bt z9EWnHY4ytC{aAT=3|K<{nTc_5@gsnc+;M-%{gl%TJ(=Bkhhohg)8ZUnDN0rAQ#;k)+mVNnE{y?_TS4oNHs0Q#jHN}5*StD zdzgdJ_Nq>MIaR>ng-bR!T<;sZd*O z8-lq(MJR!Yt(H(a#Ho6@`1US8(;cG);iziB%lyf?lw8#$M+KwZ7LX94G+5(KO|rW{ z{S=hY5C|&RGgQQh$EvaF9zr^64;W=bHk4&ArMut?A4z*cj(3AZ2vIFeMOb(e|EO&6 zuUHy5$Lm-4%abJX^$T+)O+6dE|4HzMJB2hqbv0~XgXdDyv?|3gF5Wm$>s z!jsROIy^VNKxz$EXJ}$rxfXy>R2kC!e|R7&gCBNBa9o`CA0p>7vmxl$Wk2uwAQ6zy zp0qR=<1+gd?q&yL=xn{hlMxa=vMcMH1i_%dv6@WQr&cVmX{9qXObx&k6)TS|V5Iykmco*>4yAso5S|Qu~g;!oXqd*U z!C|9QDIUS++1(o1U!uoAF|O_5Y;J992R>Oq4%yW)Ryz$>g~~Pm*u{`ZqYdL=-w&XG zR(1d=ObA_NA#8KFv)Y{#U!r*Ll=J=1-Btx|D#kGK@DlqM7NZZaB!q{ZB z!K96vCFCu{JZ1ZO3T!KB-d8NS)mhr@PZI|Jv=C(O;wj3u2lDPW^t z8i{@`9Gb}VZ}&-1*m&i`qbC9db{cI=xVsu-L{?~FM8-0(vFNO10{Xzolu8_whi-w& zC0+?86n1frR7x_1Tck&JW103M@agS4!On2~F)eb?NCie%u4}<#iFpG<*9Go9IP#^+ zH|^&3h7+l~bvz#tdp7==`7?682?_1Y)*Z@+616}9{2j4 zT%H`NtL%=#`Z)omRr`@i^~wt6LL^GP4FW4a`&adfnRl4_v2hnoT-|lxy*pP?VUl3# z+EMtQX%MgW6!1^((0Y{c zYT;L@kniBe4ppd?d#PR20-3=%)ASU?<{+r(DN8&W#JRXBi(!h))J?;lyYtrk@vmlK z0H+eR9R6&58xbSv)+Xh&nE_I60-+1}WBpEvTIkB*o7kq14hOK}v&B=2dHD_wYr4PW ze>xbEFDUH$0#w-n;R_@Kp#BfH}XsyrAq@r9*787V!S%CvfX& z?i00q05U{)<6(L30xgBW=h*WelVR$F3aND3*9Qkn3d~7S3+jsiX@Br&=GW%}PdhBt zOaNo4pk*Y6kGbB=f}&AR9qzidWni)xZi)X@Wt2XF8~UKEf8jedICr1+xB@CJW6LRL z6;;-2)b&Z*)jC@&IsP?sJ9%t(i&NC%Zb^-Da5E%af1cbqUI$ma%kx+2f~g4#tpzhK z^+KDan!}tL9urQ>4X69SNR6ZudBv5A?}#!JQ&I6hJZdQO$Wi3!V98xE4Wk;)`o zbyvw;dwa>IPu{p*)nFBpP0>gq$SP88yTi)`r>eA^>l83nveUT6l0B)0`ep4U6HP$u zlpTr}x6e&ZV>ur4c?4Z_@A{{vY(qpI{KgCu?ixl1j#u$ShA#t-sWigmFB_xTvS*rj zW_L^AOVvIiVqiFoa2L!JwRPE17A56?7QrZ1trBtR!XL@Z2Ew>oC1q7m=ZZnom;U~R zwWDnHvmnBM5TJCF5jk@mDZ+1&@-oHVR1%pIJ=lsDut_Dw`osdv8q!l`WJuE_E|LIQ zB}s+ZuFY6D<-#wXAgsdZ$GY;gO%!E1!BE9gUhuty8E$}V#vBFS4||Cj7KlgCS54Ki zd^WZ~>nVvsLR(9b|i|l%d^ty(uvMN0;>oOSAL>7JC^PAGMX&5s@WLx$> zT>G6Zi4wV?yVAm$7NLR~8&LR(60g=}=*4DK^m06Y;rsO1#k7)vwWQ$~oEE4gHCa58 z31>7)^@@|q2B|BEvmwFiCksPP0@-IN*_1)Bzq9f@@Y&iIPJOf}-hZ5_L3R09t0^DA zQA(F`^Uq<;z(~_%hC^-n;S$RQ`7Lrt?zva%RN4Bu*~6H4D{hPP5^MHu=rDWr{26dn z-XStT3cjM|xNp^*S=kH#KkLcDxlS`e$%*L7JU&kcsaqWGGO{We#AR*-jZ+jFBRhgg z?~xzE($Y`Qa33zbW;5Jp>^XHnfOAEE5mY0t%|Xri0}lcK2%FYR>hytGD`9WQnK?S^R-c@3rjwJPz0>E)9GB`W?d<3#K2V}RdO++ z;06i$zYL$@UbR$YF-CL-H{8X94hlv#xg)*F^IkR7rXz^0ATCXkJz*IyC3i2m8^!HA z;HLhZ9w&gn1roC&Y?K0If`Cq{6`v}X;I7w83OM(R{Yhz6U_D8ATbzcnxKg2pneVvK zo1&?I+)MVkXeL;berTpVa5-_*zZF>OZ^Cgugrb0p^H2Oy7oRhrsc%9+)Vb&a$Ke{R z<07c{S~uMvU>8T2v-F>Wk{{Ln!pK-NjymoqmbzkMKd^ba6%0UNt(a zYKYktm{8i9lx$2z1*do_j$?Nt3MZUwPYjo2ZL2WNyIQKq#`C?nnJ$3#AxIgQ&P-Urb*}vWZ#5{Oc2mwTUCsf?EgpP$-%*yMVOXkKQ2^ z?9}12VByy~#3EDXHq~7?AA1nDCdU_=^0>)8k+nCt+Oa&$Nmo}(tZNZjv;c{Iquj#i z?EOa@C^Z>^Rki%m+uyYF&U=*9reCUs{K3>-;q0VS3T9CfPWQT601-h=X6DF#wl>f@ zD5F2=4H*}3lvr_2A{0xjG1a=6Fwgqe{?*~uD$geO4&Y4pD$C3M?NH{ixLCCM=}8CB z%5|ETl=sV=eW=$p$AO+*Gq_3SlY+l27*3BJrG$c{#OXpRhjg;N1h>Q^K9Sw4^=6nK zy>JPJ>a)twIi-=fjX*jh#B5cu6*mLl57^e+kBxq@E|B)u8VpE^PCm&LMXL%73na%3 zj*i3gc_7WK8_b0xjdLIb*n1QD+}WSTsk8;Yh=_P$=K+@dgZ9$H-sFvPwFW_qm zyCO3O7v?-+8E|Vtg<#b8X&l-s^7m$!Jz}KBN^2ww6HI12v_}Kr&Y1ydgTs3H7Op-CcRVR`ap@;M=DH*$wOT^ffxJyZv#?2ePtS^P!#j$iZUW$0+7 zLf9%CqLc}|KQ&zbsZPW95>laA^}iNfqy^wM7cDBG5@J^WnY-q2q1TF)Xn-bfB+SVF z`hGF;#Nc&K40m*NkdI3k`Yuq?wrf=AvE)Qu2J+oAf^*8Cub_s zyhV%4*D38~UaW*x9CvlWq9hAuA1AdFZ4cKD}@#XWrvn z2o_`l=F-7CZfYIm@X+{YaTj$xQWwske~cyLC&DXb{m5bZ%%{>eJ$yYab=?RBl_3jT zG3rgo-TMTjg9NMXghsg*Mq?%=g-Q*^4-4@Y_OgJ-g@hvy8Rz1c8o38;Tp;Juy_!94 zZXNsM4+N|1y@OEk7CS}Vm_fnPYxu>m2s`W?2dK03uB}R!df!FL{ho!%tlfZ2oNEB@ z_MPHtE-3!Sz4k=zzjh~iqsZ2Z3C^>Cuq4F!Q0or{KI;lf$nqxLNbMFYJsKM0LYG}< z`?6bSjwNB-l&;Hlohrg}so)~&BO7zAJ`Ad`ghjN9QOMK7bW8+gQ=in9kr#I*in&)w zb+5vb&B2HZD%w67#KdBIpgmNCR(2os?-g4Or}oNvxa=KQQ_3+K{rYFVpi@0YWP4&K`Oma^dw_PH{-L);$l`uRRyQyF`8&Hr zi_Qe^ALarNkWOV+m7Dbh{?@~6{6eFX&gLHJQ&5F42|=BnVjDH+m1{?X(d~FdG%=m|O}LgG#tY_Cn6g7Fxq~|J~#I(kQL3bQUs}X_%z*7A#L*E)7o~ zO7Qf%?crGOofNy8^P_m2r+%`++H{a}xc0$bRgMeZiQD+{Qr7R~pD@>*Pg&$tpQ~_` zK(f59)r+`wK_%}lU&wGuJLod|AhSkJ0lNv*qnbp9Eqd3LQu&~{)-SUl@pM51G?igu z9thiDPNM|Mba<7pD~A;dVbYb@vFaNj(4;@J3dbN7>p6ngx1S(?AqBtxMY~(V|q!imhR*17OMDaHvXHlbBV%mC)jFt0Aqq_n?3&wA9dG4)P2T#^9ggIEz?iS5~ z{CdFTgmP`28r~zVzT&!8pkb*J&Jyog1ut(r!>vR|r>y5UfJAx-+fv;(I#f`^`Sdpy z33j|&oNaWZN}0!JiipVEziAH5P@8($bSOKd=UEkE@wO6@!C~n`430wk-Rt%?5}Ltg zbeEY;-tr!&&kN^tQgTF-qP`W1oWWuHF&m12wb;hYxEG$v!pcHc_0ohgWL%`rqE-0=5Y0^0ByD%`t&BbBaDUC9R$serxs zU~T@mYX5JNpr)%sMVLnNg52V5=L@};)TK?I%&5F=KT%iu*2Hs{`W1NWhgbWK^30AW zFW(jQNJdw`s^iDgcM;jO$bB81euu%@?IwLzv~z82V6{vO=-rLk@s87bOB+@H-YEhm zKvk59xjMd>L&Q-{EU=!==X6Gj2@aUWvhbOfhb17}hC1BYq0yP0v>OFl`GE5*)Xwp6 zU>wpymPT7n%+1^&*m9=O!hu#lU@y+35iauEpj69+LX7?sU{mcp7%?OPD=p};c}VQl za@r;t5xPBPru$(4D-od-&wqpPHj*bSLot}Jn`bkpU&7p}lgh%0Ny%TzrD7=5D;Huc z099xiH|vEouEwzM)e>#4(a)jS@uaI5mG5gN~YFVW^DFvb0_Mv!9Ga&Vq?=M`y9%TEJf4)BZ@^=2Pq6_xHB_ zE9ca6R(`AbnErPei?HklqD0>4c0GA@6y~pZO+_^0?udDnag<)6pJSb2cXAOu*LO~s z;a(HyA#0G&C}s7*xhICh{pw7=K0rqh1o@M>P`P=*97+m`HE6dgCvq|(2_TwmlX;xr=sipFDi*&ld z@3c0RM;moj$@&%a&k#9-mLpjTWwghyOCWsV^RkNZ$-hCPB%53-`wcr0FjS~%eO%HO zg~wHTQJgD9C6*r{;L(xsZ_#p0+@IRJ8q49@3??#!_$Kp~?x-5r1x)KiUaaax7*RT;8I3{LRLNpC+36 z((nGRs&l8DQnt{|5^8^=_~B+YkKk*psVHh9^}B%B?Kt>{eANZlRYeILLq%fq<%{LV z&MUNUi%HT$Ri+A$VCR1{9`tx==&44lq!Mj}%VCnJ-#Pgfm(`QdMPXV`#GJ0xNpEO0 zzqt}wxy6#BfW@y*Yc0Oa2vJI>dJSUZ*#)Jpm&S)WO4_qZcx0LmHkgt_Zl?ypBk|@tMZO^JfHX~TE4BtjWIPd^UM5EOk@M(P4SlK zvyqDJddM;;^mJxmvoqDu3CK;mrOx1-ujiK9!6KzB$%`v^N6MvZA67ADkq%$&#&+19 zD=I4NGyt7mcv5hFLHoxbtXGX09P6|pO02a7ER&15c1Eak?Yc$K^#+*+e`C#Zga!sJ z;e6t}7Co&F#CJxmSCZ6HNxpUSQ_P%5Lg`?R*`SqZm0#Ho=F8ZIvhdFj26tshv<&L% zP$(ptOjV<25%C#zGBF zI?D5f_hoC8nW}Kz1{v1U<*1MeyRG?YBbXsDMKIB&W+dtEef1`}Kgt3OcOpjU1#!Pp zI?DVH?lp$WAT36g7$X4`7U*q#n`%2GWzwY;1T9qdnLnIJX53E{s>8uvnUcW5!@a^8 z8jH_NprH~j4s+M}OuD~EhrcLJG z&#EjLFYlRh*Pj{&UNAZzsi)m;m&n24qmSQ;f#sZ@ zaY=!(n@jGTT?)xA3X)3u^gW1Kw!5~++H?p~v;^9f?h~g>LzSuJUP8arRW7} zB2!;Gkc+b%Amr}?1M8yh-HEHLtiqDL*X2n2oGf*fz2boBQB2ah=RlaIa}&Q>dCR15 z5Vltwef?*))E@Z87LgV>CoRwBU!lNnE`RmJnd!1o?|=jBz5s6G7NoHn0}#-*VnAX0 z+C5)7JZ|O{)1R)W6j9%e7Glwa7ix2LAZ-N{p$r8O9HTlVZl!0y3k83Aoj^B;kJ6KO zs1Su>8A9EXo@aIQ4#22NiA3WtieC6s{TYs)ibscpze5$164LV~j(2yN+E!zlP^yrcT2 zioM0v?t|6~Hk;zoR$|hB7g6=J0yci`<5rKVsNpqL@bLZSqPuln={qhlTsS;F`TAY7 z1h<`L_;8`YpA}q$*gps^`nql9U@^E-w(sGun>=f_E0bBpmzpgf$o`(|9u;TWIKW-kFCOyelKi+CMT3X zfBn6IL#aDI4?K~Hnkz9s?Ny)5D)o}B2gH=g+9hR$<%CzGNPj-LqQABu)fY+aC*}8i zkWvx4_1u%F;`l7nTgh(a`&3vmgT~Lj>||1&Sf1H92qaa62pPx&wX&%NvqgJcoE&3xLsa}%+XRLwSh6O9WNC*!&a-ZPgPuwOb~>EguRFWkk9_@) z32Z`=zd97dcd+K<4>?!yk_nw%9u!}*5;qqQ6ee~J4Y(1N`&~DStCFr2PlqW)lUS3l zqbR!ruVnoxRl1iw?cXWeLMsKeKbT$u$Of`8YDHGg=2|6})jK!OLLPnS0)QxJ^N;yh z%)GTtwI0fiVf#;-$)UKJOl?^k)T|qL9{p!H<&MjP!IQ03YqTO`D=8o`b1XyS{N=bN zZZ{}J{$R~mw83gU3-nii4}whK4)D@D&m6%x@LYJAd)Gmveuzqg_;d3jvbRLhkN*%6 zhgW3wLDJURgNjM{S_dy`u3I!`Fij7OU56|Dm^|EG^L4Tpl! z;<%Dz7ZTaBRLo?`SSMr4HZMlm6Dl%xW5!M>*|J22nUsASdoN=d5jB{xr$R5r#9+w& z+Qt&Op8MQy_r3S?@0{oP|Ihh$&U22?-vlQYb1z?2y6&^)f^naZY<3#XjEG63(d_CK zitqPyi6Ht)0lWCHCr|&I+iH`g>rXh+7^|o4bK5swiCFu$iGF%0(kgU)7q#5g72J-M z8>MxXLY8+|QXItn@iN=>E@#5NAnSamdxbysQlGDN;~Szvt1%81TMZMcH)utvm^FRl z#KwYRgRG#;=EcCw(BDBL8A3O7>=hn(VEbAFgRCWSALA@bH-9KgV26H60B+gj8R=O6 zRk1m+kq}&N9d);)cvB^O`F>enz-WGYjTHk}gX!+izf=l7NHsJJtEn#DgzZsGEt-I* zR-o-wJyRWN+ntE#;cwf_+7rKVs#EZdF!{Ny{j*l(T>uTad;@RGpk|RaKRKvm``bc| z1!(Z?#6x*!bkzB{cLfAzn^TB-^PC4INX)i@aq=U*?TZC_kGwe#1P%ab|3vlp&+7W*g^ z|LD_gykstJh1jH>;OGB_o_c03R1|B|bS7;z9SZ!*Ou)`ItuC#FdMZK~jYSO&-E|A(`8%L2GS zM8BxaSj0yxPTd}Cd39=a_=orc^|cn)e@axS>CjhBB-~YUc}3R!KQ^^0tA7IiSCP(E zVu|nhkVq1(jO`j1xvyt&b=b{e;GaYvsS&5<4_5QGv$IFua!oo;uh~q`Yd63DM63EV zm{1-N-D($|Jyi6WZ7uIi>cXL9B{XDZ@?bMOLdtkm#rx~1W#f3K zqUSt)`9{;OHklm?&0!$MZmXCJK-Y;Y9FAk@w3qJ={I56xS5)hMQLjFnZf4XnI-pZ~ zaz>K#zD;#6_rdSuc#QKN1UrG><|QmFti@6Lc4JZ#-wytLn!zII1K^!0;2lWiBA`-u#M09?9+~OJQ zR}|j7t>!iDL9QisSV=#bW=~_Kq_;SAN(>n?#${P2&j()ASjJupGji2nxhQm?G}A;4 z7Tj?V*H4j{TTS(x*=FFKcSP1wcDrx7*J?eclzXSOc*kl%lzG@CSmENY`!mjf!VV;c zB31l~nyL(+B%%1q07lGkaGrOf6=D{22d15j!_3Nlx|0WSe0Gx!pOnoJ?cJ8J^#?q; z%=CGquoH1>%GEV)W^9!lnLVj@s1PN9UUo7TT!h9 zQtvR642MYrs09X`v-ugz4akp^8*i3FG0xD=51$IBI?9ie_<*lht59s+qSNK8TrhYU z&1rEqhboU`4c59}ai)f8GU8i(NZ`YuVb0f($6BG|PNZB#YV#}f6~zd~>vMnZ@%8(G zsJs${2At0Bq3Y1*AcYsj)We*Sx?crqaucj%j50nWP(w5GWkK-Et=?HRP!*}SWbo#T zfc>YjS=%W~7W=ysda|!0JzbezAwK)X8$&Ge2G30VG=4j1s4RLzkf4Mo%vh%0x3p?P z58@!Q>u=tI?RL_X;Q(4BkXmG74vshMF0PYk?pJj-Z>}?zC^#AOJ#cAeG5L={_-1C6n4LKU{~pSagD>(*I@C+i z`%af{%>5)<2aR1C@=8tgcio{F*!$Qdeb18Dw{a*f^)FTo0kWxFbEqsn0ZCWPqV4AuYhV+$8!FGtSXPpeRTGGxmGDV0N z-~FjIajzyTVZIyhqOAmuI26|Qvr&Uw6&u*^-m|W_?9TXlFfsp#;s>5Jve3re3yY8N z#v0!_6<%OqPVl7`7r~Xi_LE(-Z?9BR{8h;td>e335ITf3EioiTPqPoDHd^%drfNAB ze!G4<)j+H9jjoXLd^7rkEetzDnHYAD5$%0B+Yx~io12*!HpZ4gkgkg85Uvj&8)#Vx zHjeW#;cEHW-ThU+jr=&BypCo~+SN|RK{^Ps7el)FkpzNb1A3WLkdcMa!$sCM!n`aY5_6-{j;i-R0oD0YbUT1d(nIc+ zYnqM>y%*;vT`3RF4d64>2quVq^rG!m*kJ%(kI|L+bFL&a9U_e=>G7D55mAtNTdH=g z(9FJNQ92E3yAt5<@i;(bd`#Rz?L@`PHk;vke*etzu^ijFI+L2irzbCuj*ml6Sz}CZ zoYCX&PA0E~uk{1bmt_PJyVy~0RDHRX>$jO_FUEvk5il1>2&!e*ejbDJfAzvZu4B;i z&zuMrUI8>eyUa{MB@sx!Tk+=I!{g3sHB zo!EY$6dgm_aSV1$DL+?DpICOPOJiC!IDh%AYnD(984?Cp7pPu}437e;imD*KJiS*# zkt}e}oqXl;?NJ`;`p}C}63;tiSG%Sc_o!!i1Zh_hA_f31bLbn>PgmLX<~_hW@W4UG z7$uq(==H)LR7L7mnt*a&57}Al;(Ca%_LvJrH&4tg)*nL(uW9l}*}ss{P2%R%0s1(M z8P(~DeMmhL4-tFCcl4K|Y~Z7y9p=_4L7`z5D2ek5l%A}ss;7=?9dR2sjBVNU0o;4= zhdS!EzIrEAVCNd^uk!;2_2rK*h^MRbIy88=ZZI0y&R^mTDyTn8{qQ#&OMDBAe)5*nye#r5h@@0VTw^^4ELjl|L)^mYXATM diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info index d54ee28..238e389 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Wed Jan 25 23:17:08 2023 +Creation_Time = Thu Mar 9 17:16:16 2023 diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg index 1003a18..244679d 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.fit.qmsg @@ -1,49 +1,32 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1674690113139 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1674690113139 ""} -{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "MuxDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design MuxDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1674690113221 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1674690113260 ""} -{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1674690113260 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1674690113328 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1674690113331 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE10E22C6 " "Device EP4CE10E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674690113358 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE15E22C6 " "Device EP4CE15E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674690113358 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EP4CE22E22C6 " "Device EP4CE22E22C6 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1674690113358 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1674690113358 ""} -{ "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION" "5 " "Fitter converted 5 user pins into dedicated programming pins" { { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_ASDO_DATA1~ 6 " "Pin ~ALTERA_ASDO_DATA1~ is reserved at location 6" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_ASDO_DATA1~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 75 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_FLASH_nCE_nCSO~ 8 " "Pin ~ALTERA_FLASH_nCE_nCSO~ is reserved at location 8" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_FLASH_nCE_nCSO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 77 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DCLK~ 12 " "Pin ~ALTERA_DCLK~ is reserved at location 12" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DCLK~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 79 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_DATA0~ 13 " "Pin ~ALTERA_DATA0~ is reserved at location 13" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_DATA0~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 81 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} { "Info" "IFIOMGR_RESERVED_PIN_WITH_LOCATION_SUB" "~ALTERA_nCEO~ 101 " "Pin ~ALTERA_nCEO~ is reserved at location 101" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { ~ALTERA_nCEO~ } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 83 14177 15141 0 0 "" 0 "" "" } } } } } 0 169125 "Pin %1!s! is reserved at location %2!s!" 0 0 "Design Software" 0 -1 1674690113360 ""} } { } 0 169124 "Fitter converted %1!d! user pins into dedicated programming pins" 0 0 "Fitter" 0 -1 1674690113360 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1674690113360 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "21 21 " "No exact pin location assignment(s) for 21 pins of 21 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1674690113532 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "MuxDemo.sdc " "Synopsys Design Constraints File file not found: 'MuxDemo.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1674690113590 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1674690113590 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_FOUND_NO_CLOCKS" "" "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." { } { } 0 332096 "The command derive_clocks did not find any clocks to derive. No clocks were created or changed." 0 0 "Fitter" 0 -1 1674690113590 ""} -{ "Warning" "WSTA_NO_CLOCKS_DEFINED" "" "No clocks defined in design." { } { } 0 332068 "No clocks defined in design." 0 0 "Fitter" 0 -1 1674690113591 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1674690113591 ""} -{ "Info" "ISTA_NO_UNCERTAINTY_FOUND" "" "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." { } { } 0 332154 "The derive_clock_uncertainty command did not apply clock uncertainty to any clock-to-clock transfers." 0 0 "Fitter" 0 -1 1674690113591 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1674690113591 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MULT_RAM_PACKING" "" "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" { } { } 1 176248 "Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MULT_RAM_PACKING" "" "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" { } { } 1 176249 "Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1674690113593 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1674690113594 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1674690113594 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "21 unused 2.5V 20 1 0 " "Number of I/O pins in group: 21 (unused VREF, 2.5V VCCIO, 20 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "2.5 V. " "I/O standards used: 2.5 V." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1674690113594 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1674690113594 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1674690113594 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 4 7 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 4 total pin(s) used -- 7 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 8 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 8 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 11 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 11 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 14 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 14 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "5 does not use undetermined 0 13 " "I/O bank number 5 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "6 does not use undetermined 1 9 " "I/O bank number 6 does not use VREF pins and has undetermined VCCIO pins. 1 total pin(s) used -- 9 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "7 does not use undetermined 0 13 " "I/O bank number 7 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 13 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "8 does not use undetermined 0 12 " "I/O bank number 8 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 12 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1674690113595 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1674690113595 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1674690113595 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690113601 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1674690113602 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1674690113856 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690113868 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1674690113875 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1674690113922 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690113922 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1674690114033 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "0 " "Router estimated average interconnect usage is 0% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "0 X23_Y0 X34_Y11 " "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11" { } { { "loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/" { { 1 { 0 "Router estimated peak interconnect usage is 0% of the available device resources in the region that extends from location X23_Y0 to location X34_Y11"} { { 12 { 0 ""} 23 0 12 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1674690114264 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1674690114264 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1674690114282 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1674690114282 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1674690114282 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690114283 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.01 " "Total time spent on timing analysis during the Fitter is 0.01 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1674690114355 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1674690114358 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1674690114448 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1674690114448 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1674690114645 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1674690114877 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg " "Generated suppressed messages file /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/output_files/MuxDemo.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1674690115045 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 6 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "941 " "Peak virtual memory: 941 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1674690115148 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 25 23:41:55 2023 " "Processing ended: Wed Jan 25 23:41:55 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1674690115148 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1674690115148 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1674690115148 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1674690115148 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678382521345 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678382521345 ""} +{ "Info" "IMPP_MPP_AUTO_ASSIGNED_DEVICE" "MuxDemo EP4CE6E22C6 " "Automatically selected device EP4CE6E22C6 for design MuxDemo" { } { } 0 119004 "Automatically selected device %2!s! for design %1!s!" 0 0 "Fitter" 0 -1 1678382521435 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "High junction temperature 85 " "High junction temperature operating condition is not set. Assuming a default value of '85'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1678382521479 ""} +{ "Info" "ICUT_CUT_DEFAULT_OPERATING_CONDITION" "Low junction temperature 0 " "Low junction temperature operating condition is not set. Assuming a default value of '0'." { } { } 0 21076 "%1!s! operating condition is not set. Assuming a default value of '%2!s!'." 0 0 "Fitter" 0 -1 1678382521479 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678382521552 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1678382521556 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "LEDG\[8\] PIN_F17 " "Can't place node \"LEDG\[8\]\" -- illegal location assignment PIN_F17" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { LEDG[8] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "LEDG\[8\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 96 592 768 112 "LEDG" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 22 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[10\] PIN_AC24 " "Can't place node \"SW\[10\]\" -- illegal location assignment PIN_AC24" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[10] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[10\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 32 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "KEY\[1\] PIN_M21 " "Can't place node \"KEY\[1\]\" -- illegal location assignment PIN_M21" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { KEY[1] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[1\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 112 312 480 128 "KEY" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 25 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[9\] PIN_AB25 " "Can't place node \"SW\[9\]\" -- illegal location assignment PIN_AB25" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[9] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[9\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 33 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "KEY\[0\] PIN_M23 " "Can't place node \"KEY\[0\]\" -- illegal location assignment PIN_M23" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { KEY[0] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[0\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 112 312 480 128 "KEY" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 26 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[8\] PIN_AC25 " "Can't place node \"SW\[8\]\" -- illegal location assignment PIN_AC25" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[8] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[8\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 34 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[11\] PIN_AB24 " "Can't place node \"SW\[11\]\" -- illegal location assignment PIN_AB24" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[11] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[11\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 31 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "KEY\[3\] PIN_R24 " "Can't place node \"KEY\[3\]\" -- illegal location assignment PIN_R24" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { KEY[3] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[3\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 112 312 480 128 "KEY" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 23 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[5\] PIN_AC26 " "Can't place node \"SW\[5\]\" -- illegal location assignment PIN_AC26" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[5] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[5\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 37 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[6\] PIN_AD26 " "Can't place node \"SW\[6\]\" -- illegal location assignment PIN_AD26" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[6] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[6\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 36 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[4\] PIN_AB27 " "Can't place node \"SW\[4\]\" -- illegal location assignment PIN_AB27" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[4] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[4\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 38 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[7\] PIN_AB26 " "Can't place node \"SW\[7\]\" -- illegal location assignment PIN_AB26" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[7] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[7\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 35 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "KEY\[2\] PIN_N21 " "Can't place node \"KEY\[2\]\" -- illegal location assignment PIN_N21" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { KEY[2] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "KEY\[2\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 112 312 480 128 "KEY" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 24 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[2\] PIN_AC27 " "Can't place node \"SW\[2\]\" -- illegal location assignment PIN_AC27" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[2] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[2\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 40 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521583 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[1\] PIN_AC28 " "Can't place node \"SW\[1\]\" -- illegal location assignment PIN_AC28" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[1] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[1\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 41 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[0\] PIN_AB28 " "Can't place node \"SW\[0\]\" -- illegal location assignment PIN_AB28" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[0] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[0\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 42 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[3\] PIN_AD27 " "Can't place node \"SW\[3\]\" -- illegal location assignment PIN_AD27" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[3] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[3\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 39 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[13\] PIN_AA24 " "Can't place node \"SW\[13\]\" -- illegal location assignment PIN_AA24" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[13] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[13\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 29 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[14\] PIN_AA23 " "Can't place node \"SW\[14\]\" -- illegal location assignment PIN_AA23" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[14] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[14\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 28 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[12\] PIN_AB23 " "Can't place node \"SW\[12\]\" -- illegal location assignment PIN_AB23" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[12] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[12\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 30 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Error" "EFITCC_FITCC_ILLEGAL_LOCATION_ASSIGNMENT" "SW\[15\] PIN_AA22 " "Can't place node \"SW\[15\]\" -- illegal location assignment PIN_AA22" { } { { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" "" { PinPlanner "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/pin_planner.ppl" { SW[15] } } } { "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" "" { Assignment "/home/tiagorg/intelFPGA_lite/20.1/quartus/linux64/Assignment Editor.qase" 1 { { 0 "SW\[15\]" } } } } { "MuxDemo.bdf" "" { Schematic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf" { { 128 312 480 144 "SW" "" } } } } { "temporary_test_loc" "" { Generic "/home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/" { { 0 { 0 ""} 0 27 14177 15141 0 0 "" 0 "" "" } } } } } 0 171016 "Can't place node \"%1!s!\" -- illegal location assignment %2!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678382521584 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678382521597 ""} +{ "Error" "EFITCC_FITCC_FAIL" "" "Can't fit design in device" { } { } 0 171000 "Can't fit design in device" 0 0 "Fitter" 0 -1 1678382521597 ""} +{ "Error" "EQEXE_ERROR_COUNT" "Fitter 22 s 3 s Quartus Prime " "Quartus Prime Fitter was unsuccessful. 22 errors, 3 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "386 " "Peak virtual memory: 386 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678382521619 ""} { "Error" "EQEXE_END_BANNER_TIME" "Thu Mar 9 17:22:01 2023 " "Processing ended: Thu Mar 9 17:22:01 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678382521619 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678382521619 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678382521619 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678382521619 ""} diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info index f25f66d..da1af1f 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.hier_info @@ -1,4 +1,28 @@ -|Mux16_1 +|MuxDemo +LEDG[8] <= Mux16_1:inst.Y +KEY[0] => Mux16_1:inst.Sel1 +KEY[1] => Mux16_1:inst.Sel2 +KEY[2] => Mux16_1:inst.Sel3 +KEY[3] => Mux16_1:inst.Sel4 +SW[0] => Mux16_1:inst.I0 +SW[1] => Mux16_1:inst.I1 +SW[2] => Mux16_1:inst.I2 +SW[3] => Mux16_1:inst.I3 +SW[4] => Mux16_1:inst.I4 +SW[5] => Mux16_1:inst.I5 +SW[6] => Mux16_1:inst.I6 +SW[7] => Mux16_1:inst.I7 +SW[8] => Mux16_1:inst.I8 +SW[9] => Mux16_1:inst.I9 +SW[10] => Mux16_1:inst.I10 +SW[11] => Mux16_1:inst.I11 +SW[12] => Mux16_1:inst.I12 +SW[13] => Mux16_1:inst.I13 +SW[14] => Mux16_1:inst.I14 +SW[15] => Mux16_1:inst.I15 + + +|MuxDemo|Mux16_1:inst Y <= Mux2_1:inst14.Y Sel4 => Mux2_1:inst14.S Sel3 => Mux2_1:inst12.S @@ -33,7 +57,7 @@ I14 => Mux2_1:inst9.I0 I15 => Mux2_1:inst9.I1 -|Mux16_1|Mux2_1:inst14 +|MuxDemo|Mux16_1:inst|Mux2_1:inst14 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -41,7 +65,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst12 +|MuxDemo|Mux16_1:inst|Mux2_1:inst12 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -49,7 +73,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst4 +|MuxDemo|Mux16_1:inst|Mux2_1:inst4 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -57,7 +81,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst +|MuxDemo|Mux16_1:inst|Mux2_1:inst Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -65,7 +89,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst1 +|MuxDemo|Mux16_1:inst|Mux2_1:inst1 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -73,7 +97,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst5 +|MuxDemo|Mux16_1:inst|Mux2_1:inst5 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -81,7 +105,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst2 +|MuxDemo|Mux16_1:inst|Mux2_1:inst2 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -89,7 +113,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst3 +|MuxDemo|Mux16_1:inst|Mux2_1:inst3 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -97,7 +121,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst13 +|MuxDemo|Mux16_1:inst|Mux2_1:inst13 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -105,7 +129,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst10 +|MuxDemo|Mux16_1:inst|Mux2_1:inst10 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -113,7 +137,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst6 +|MuxDemo|Mux16_1:inst|Mux2_1:inst6 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -121,7 +145,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst7 +|MuxDemo|Mux16_1:inst|Mux2_1:inst7 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -129,7 +153,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst11 +|MuxDemo|Mux16_1:inst|Mux2_1:inst11 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -137,7 +161,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst8 +|MuxDemo|Mux16_1:inst|Mux2_1:inst8 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 @@ -145,7 +169,7 @@ S => inst3.IN0 I0 => inst.IN0 -|Mux16_1|Mux2_1:inst9 +|MuxDemo|Mux16_1:inst|Mux2_1:inst9 Y <= inst2.DB_MAX_OUTPUT_PORT_TYPE I1 => inst1.IN0 S => inst1.IN1 diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.hif b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.hif index 43654553d442c302e7ed608a232da8b751fdb86d..70d01cf9fdb4cab416b1fa1678f5cec36f7c06fc 100644 GIT binary patch literal 471 zcmV;|0Vw{g4*>uG0001ZoUM{wZ`&XghVPN~ACP*pUBma!|{UT z*qx25Rn7kUz=^a?DOD~iV;RoNIh@D5Uk1^cp~rWf-T^A0>vh{;Mgkg8d^PA=;gk_f z>3cgEvD3{bywC>lOlf2HBAOwZl8~VFeE#=c9ml-jZoPm9s2L*1r}(;Aa;p|Mhc7{s z3EmjnimhFCqI<-5!fbmynK)(EsAuDcjN)dxILaFSO*s`VFf>M+N3>Ka{s|F%ZI z?e?t(OCz_A=gFN$6HY(ae$4)G^f;%HUt(U#pX9IRRbsE=Zy@xa^MXXYQsPxoe>p!= z=2i0XZgxU;E$`vy0F4ARnoa#@IhXA596j|MJ>PS#@Mt=gS$n0GASz2#n3s@3MPnL+ zQl*M>^2(+=liTrlxY;Q;JD+<@aNJZ;7C~4FDJ7{atBN9_$~en2TCp&G1@8Teu(I9V N*I)p>`2#HFu5jQF?&|;m literal 420 zcmV;V0bBm84*>uG0001ZoUKt!Z=5g`yj$vju#`)CSYmq@480}Y-6ZXPq}`OOW$Z`S z5^GXpqDD#od&~wYprlm~6-H*>ym|I8Ut8&X+u&eeX`rKzBw8x^`lai$8UXPi#C%Ct;$ z0W+q!m-0iCb5pT3CsVs~}15u3C1)#d`7eZSeF-=TeP zAh=Qc&d)=C+Q)DmPiG^Output only Bidir -inst9 +inst|inst9 3 0 0 @@ -32,7 +32,7 @@ 0 -inst8 +inst|inst8 3 0 0 @@ -48,7 +48,7 @@ 0 -inst11 +inst|inst11 3 0 0 @@ -64,7 +64,7 @@ 0 -inst7 +inst|inst7 3 0 0 @@ -80,7 +80,7 @@ 0 -inst6 +inst|inst6 3 0 0 @@ -96,7 +96,7 @@ 0 -inst10 +inst|inst10 3 0 0 @@ -112,7 +112,7 @@ 0 -inst13 +inst|inst13 3 0 0 @@ -128,7 +128,7 @@ 0 -inst3 +inst|inst3 3 0 0 @@ -144,7 +144,7 @@ 0 -inst2 +inst|inst2 3 0 0 @@ -160,7 +160,7 @@ 0 -inst5 +inst|inst5 3 0 0 @@ -176,7 +176,71 @@ 0 -inst1 +inst|inst1 +3 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 + + +inst|inst +3 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 + + +inst|inst4 +3 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 + + +inst|inst12 +3 +0 +0 +0 +1 +0 +0 +0 +0 +0 +0 +0 +0 + + +inst|inst14 3 0 0 @@ -193,55 +257,7 @@ inst -3 -0 -0 -0 -1 -0 -0 -0 -0 -0 -0 -0 -0 - - -inst4 -3 -0 -0 -0 -1 -0 -0 -0 -0 -0 -0 -0 -0 - - -inst12 -3 -0 -0 -0 -1 -0 -0 -0 -0 -0 -0 -0 -0 - - -inst14 -3 +20 0 0 0 diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.lpc.rdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.lpc.rdb index 00cbd0cd31d6bb4b9c42ba81091cf4c3b6818e13..a6effa802bfeab5fb686acdc06724824cbda13ed 100644 GIT binary patch delta 446 zcmV;v0YUz@1kePKM}M^t00000007$o00000005l;0000000000002+|00000004La z>{i=K!$1)2Dr)hrk3QuSY`wo)5Cx&W_yLOvbs=md**p~dcRibp@hmMwO2G|eAeqUT z>Fn9b?4-ki6Cnm?u%45dOrsZ>8)a0cqlwh9ils@4I&MLU6MsPB5VzwMFLAWu4}TWy z6W|T>MeJ)}Ph$7`0GkllNhMzpXYE2gsw9{B+xy%>+fYyXqcrZ(?tGHz!boi*!ViGI z>as{;Ms4&%nn|PdjPV`d)6z6q?T~4*vcrzNIxWLCzRAlD%l2NyDu;%6YzZf}gxVon zZ7IrhI*-V63V)AVX2G=wmqI;0?d=W^kPTcHl~tMNZRV&gpf$r^13b33zsrWnb{_f`F`>KDSopa2w>3CTbD50f7P7n%^w-~a#s delta 426 zcmcb>vW;bedwl~x0~j1+gwSqqz)f^aGr--O)uI_x0umMc!9qV`Ls{lc&BRt(m$3|%T%&JeBygTX9;ZoV6tFN2Y^H)#uPawLmGpppr9NCZ06$s?v?Uf)7Zv#Unc^Jf@Uok{r{QQB6G0TNf=bXB59*Qs0H$@ft$HKj;(;FrI4{d~D5LGpIy+0ellY%{@nV2LFou-( z?an-)fp!y1y!ev0ulvn6-}}+onQt^2Z^;)P$RCsL3+Exlr+?%y;IJoExcB{$Tc01} zdHjE@1~aQUye7Ft@=h3St*(9Ah?=h)5-@T+8jVU$XPnb!h0hk&w+kd<*Jp&W9Bti!oH(q(0wZtMz} z^UEoBI2ZTD2!G}SEvy8k*5=N3)O_$AR-9_l%H>ez)<~i9p7>hC#uoW_r*zl~p=r>Wb0YUgMY;iu2zt{Va+wHz!shH=e)UhKVHH z&#;N4*(u?(o0{&T4(VlC_LRa&XnH!|x{prycBobOS)Fva_h1v#`4jN>q*{fa*D3sg Xhv{3crV3L%e^5?bSGU%#lS=}c^z;XF delta 531 zcmV+u0_^?g1?2^hM}J2M00000002+|00000006}R00000000000089z00000004La z>{U%q+b|d|qfBFfn9$(JKLEEhEn_z%IB)=V=+03{ObN7c<~Y+P?U(WsxS(l>3xe`K ziS3mX(j3;Ec%|2F9zTBGkJxFwR;#U%znhZ3A>Bpo4$W`LVSm73bNTe*^Ne1v7kCDL zo%6>0t3G@rx%cE9$NjRqcW~r3K4_$6k>A7NFynNJoVG>o3}?%5W{r;J{L!5DC&O9L zoVML{Z#XtODn<0|hS`O)o8@D5Xj7Jo!*D(Y#7DtSjPQG_f-=;7o;HPskpVJQfK{z3)7f zVx!OfkTtzXurLYOx73p=O*UdF&bhA=c9{Oy;{#fZe8~qqQeNK;PM9wSaoFd98xucN zp@=MXQwpK};;0xYnHx(#vlNRM@OAPtPX_KuU-%cFy?;~*x5pzcJw>6yC{aI&@qep9 z5QnJw2%*P}QktAoB~qot@!f%y_G!w^ VH1c^S`3QJPC8B^QYmbvn0-Dcx^v3`I diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.map.cdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.map.cdb index e794cb0a13b80a5d76be21c684b767bee2251f46..ec8aaeaca3330f444422b2960f226aebae5b1089 100644 GIT binary patch literal 3369 zcmeH~`8OL_8^`snWt5;p>DYBc?Ml*GYP4u;FKSD*3@Q;JwI-6tl&DTy`!wyL6|FTT z_NBy9S~D~xYDtNpYAXpUBr0in^UnPA{tvzPp6C0V=RD{0+;h*p_uQ)_CMHIfIUurP zVlYv+5UFo?Y#cNuTHD;z*v!~W`$7UVDoh(>ZmMl&W+EEqrXttdS=$vFAFk~f28|cx z7XR{}e-LGd{t>Z4@qhJyRv+-Mk37IBpwu3@rj$Whj zXKN!TMVLJ$qz#^DS9g90+!8L`_CJ4A);Hj0DAv>;hVA4DVTeR&PE25(R z0fS&io1oY2NXzu`I#pkE(2wYkb@;I#ew>T{y9p}G<-xco4|ihOU5%z;27fSyeIns-S&(bRz@m6FG`E;7wbkGOgx{ zyPi6EQckJ+^p9?EElxLw_dXJ&hyXSs%{+x)CK{!j-(h4mJ!W6k4u17<*g zJkL|3A_*SZYjNKuYdwM!`|NHww3T(`I{O`g^S^Xq(eg%D-|ukL7CBQ=CFMz;?CNY< z{_b>~%h_@B?bKi43niylguuMs5x$l+!yAj1KD6>DFO0O(JlnC=koIzbdvAAmrGkK2 zb$pb9i!3lq3fvHk^>IvEX&+?RQ8S7sYq_YJkBzALe6XfDQI~zE$-T3Dlg+yJg@h3# zXzEorqFnOmDbGbI9|w(G>Om&@u~aY45c@8R>kVFRN!ISGNfPf`7Y;frN0Qc)nSN?n zt1&Op4X)=4FKvezP#YQf;oN{MEO98$FrOaFxp@QwY^~enoFonz1x5#OCv75^2xaXc zdPZ9~X?-aFMl`BJ0lkGJfC){LEW1U5)iPx_swgX#x|Nt?=$-j)S2VW(+VUbv(9zfq z0!^X_S<1he#I3~Z@MANbm%ZAY%Hl|EeS;rFY?jIrK~zZNMs&a@uQ;%X`D%<6e3A+$ zP=k0q*C+~5zwY?eN6kT>`F<+HZPPLol_a}s@*0q70J;9XY={-w&+p=pR{gZF&`1b- zQ|EP&yMtWwve??=vl_aadLKFB;zD;v15;YmPu*mm#-%0z2_wfwRoI(+9DpPlo=EyW zbf24Esy@&G^Rtg1Y-(XIx#h1qxsxN{P`8UE>o_pUX_OfoQ}Fx@Y4BElVKU_{GnQJ^ z4DB`J^81h|>s&fSXbZEpyD^iM*ufjyj#@L)C+o(vdX8ddiaJ()nZM6_)(odJQ_S;O zU^>%%5!ll0FcY?mfzLFyoOyNy%}8wE=K*bD!qRzB(e@pyh;q^)5wYl2RjVjEY@^>f z&>jKTs-Uw)n9@;JLj=5H(6G#R$reUoZZbra-G?o+jlgNx0>KuBo{Hj{CNoZjFU}-0 z2*pHKG^0Fb8U9G)1Y%hpCzLOJ&tGKI`H>4XY%jWyOwBA33cd}P!nlu&!BGEPXmtY0 zsCFIH-M(-V-rTPHGVQ9bB@~3U(o9y{Y*(vz`q3?*Ulm2odmco~aldf(qLuWV?8=D+ zK0OB~dYSI;BM)Hybb~0`EB&qeeRzUi%0Hed!Sm_S)2!}S1%oJ!g=URf)6XU(bL}hf zzvClETpoOsDiYuPc*WD^Yo^5Ex7qnpeT_=?l`6JX_Z}b96Wl8JgMl{|A}L!b8~Zb~ zsp-Q?_GWm`g%5m)I7Y_b{D3vV}}*7s9s?40%u2xObxt!EW|IJWA#1E%Sm{lQ74$d91FfeIxOXH z7L*t8wD7Cky)enw9!#H6LzCA!E|1@hD@`ClR^qv}_0wCkgNuVl|^YThYLS-luQafCv9#ye-l_@pJd~r-KR&>&9PR)MN$~=>Rbks0^@A>nJbU z9G@O*YuOTmIl6Y|;rxcjp6u(4;7ID?1ILe{xAP!&)fF^Wc9j#uv?#cx|7I%D|C~%E j$HDdHSSf1hb4auUZH>pD%Z6u=a|dp61K59=JYCq)f8i;6C5?)mcw4J2gE@m1 z=GU?qx3U2tHR`umUu;opf*6qmnE;P#92lx?rhLd49`V=WIQE@&y>kqtR%5{kgB(=% zgY_+1m$B>DdLhk-q*}|WT6Tk^9#z6S{2}eR9$6i&sl1SK#_B@#^UA0&`R4&<7$GJN zWq16|51wx`;#%*aR>I_~0?ez0t>3fX=kR?WzTd_Fy#$v*%MG19VpkoZ7qOTq;Tk12 zd`$~~Py+gr)Zqy0@hCAg4%?zK~U%x~s`>=-&dVaC*JIpjzpfn@% zX<$S}NmxcZton>=0 z{~+5@hoM~Cm|P_|+@JiqLVRT6p?S%~QcrKTeHV(`PJ7u~h$Q*<^g0SPAIX;`b@B$ts0v;E38Itf*(;Z4NV%XEd!@i?i z4qdJQmjeSxQl>a!e7sLs+4_$06XIvgI z617gM+AUmOlW2hxa$V~WLzDKuz8i+jlWpN3Q5 z0H{NiQi7^pLZ8zx0r^i~Es$QXk&H#sFoaRQRbAp5ArtpuHC^;cc-7TT;PAUd0yg(r zBCz-d=Owp0B19S4x|C_6-_ysCRWu7jaEtQn+IUgdzxqaGb8W9~87;?dh-pFNzls;1 zQEno?iXSfy*(PH~AcDKjgu>f&TGcUwXi8J#b$j46_L$c*Ys&qGm@TJCUMJhBIEstD zZiGHEc^2i4{QwbUl)@WvcZ}?+3KtpCNtqwHN|w#-aRu?dwKYB}P{(i-8>b8dVZ%{% z8VdO&L-&U2jxxnGXRoGEB4!v=Nf<3fjN>uZ14aO93>nOLqtAipe)V|5&B0_YNGAb^5aF$(N$i$QLmXvm;`aM7n)(TUU^8p zU;u7KGRSo$V|`-Cm3y4~>5%7~#bCSVC9&j~fQI&?us9xmo;2)p#oL*M^%#j~<+RTq z{re=Z&4r#rEm|+kp`pyoT zUHe0)=|J{H>%hAoZ45d-wCXe|56^Pybu8x1nitX+8=h8-4z%ku&2+TrG!aEvF)Wqh zQuAm^4wYq69DnF&{Y5WQa}ISszaZ2ZE{X3Ao9`C2`!127&tfH z?}Jq=Avmb-3HZ%yfA`){-Dz=bf7i|@a(g>&SNvlT^uSrwzT;b;s2^Vlb+Tmy;CK2O z)&1%|z&2vBDe)MygeseN7N2@AHEh^5b5gEUdS&wBWt)v`85MGFfm|<9^(_7;+p6?J zZGCY_(Zlgng`?c0u)@{(#BwN zHog3@pLJa0We$2*jLx;W+pAiDdkE5U82?}eRFjgZW_QBSAmE>mwRzLzl~C)9*pr8I zUGAq04b|`z%wRX=;rUE!k-K4fE8pu`@y6ctVA;3sH1LQa;H{Qx;lP+GH4bPcomW@S zTyrtnvyQ8@SBrGgpV11*YOzoxuVSWkq_mXthGjfo4MDvtFs)!?;Q+{6sm^mLt(X*D z0X8gq@nSi-w{;La7|G zua)?uRo~)jc{i}UGh#2!c^@fiYU)Z-HvELkuSmS)(t=FG)O~X4aozo0{J(zOM_4lc zimT1ORjOqXPeuRc18yD>M4U=}5N&JTgoyun@qX6d%kC1zx4-#^Q8<~7lR;7WQ2Xka zLyX)ir*V@~|E7MwaMOhZp^@Qp~xw;lW!bCa-t?twH z>y0{lSMA)eZw5LLR1hbSG;=T_5H>V(0Ldn+ga4-sfbM^qFCOs!)&Bd$H~uf|0(R~Rm!Y+i z;6u>+x!`8Hx5C}JL*B9MWsxY8sYLo7(W8WAJi~@@ci{pGC>3($Pgpm6$%!>j!E{{4A03;))R+E?ygqH$@j^R&W?vw z3*O0Fp7z4RLo)+&^DG#P44zK7r+}b`OdYx#zjOjOA#D$1Mc`$fxw-ijQ781$A4ka(ngcIA z#=~EY1M10D%fV;cUUyZ?*~ZyoRHtOk=&w>1a?$$Kv!W}g4ZM`zzHpoNIqgr#TT;&< z4*Cf5X~8{378jX;MokrNsFp119zOE7#7_~vQ_@eU+l-a1l`ns>pckBEFQCm=yP=@vI-b5cjb9k}2*Tw`vqmYYvx1gI^<4?&{{Nb=fdENvt|ONibB;o5J(7 z(J#}MT{P7G^eAo}UYp_|+`7Hm-?siL_2EW$j;_*Wg|Nh;#{KuV90uqE( zyta+jQafG%ZsIJe+n>cAF8_>euO*;Bmpli5$M$2fhfr&?BdW@_A62Jl#avFBLo;S15*(Gi9YN8V)WRNk0k*Y1B3-uXNy-3R^KhYI z&yn5O0)N4#N_9K9T(P}V3>xkBVi~ky`>`#^TcHxZESR0zoArTkeQb#i+~K2NWc?z# z`074e*D)VfPdU7*UMf9HoXU~wH_EC+M)esuM$dMbj=cds(2!u^l`}o_n?i08Vo#U? z)9P>Ab~+WJ_EjZ2EFHn;{OP%kWYtWn9CAriM%a=!yC_tUV?OxE>CIC*b>*kkQq@&1 zxv&;=0JkY5OoUv0`Z>cfX_(7jFy>iUf+ms^Lmi*rkXV@-tR3JcT!=mHC~7kDN{c@M zPxHM;p-I^mK4d(k2(gGuDGwA^B?W+*Lo7n>A%~MEuNr`O@T(RtA+4Utx_Z7;ExHrQ zAF5fH>@Z*}b0I%qJ=nsER)4o`TOTWyB?Qv8hIaz%-anhoOH+NlXisD(1^w~l0dBlr z$8;MDV+LXO;piHl`V-bd(jLjf{KS820Qx;@t>F%t&1(oyqq8k%A$GcQl{OU7fd%OC z80tPcyF!NBeR4hMqtQfV39IKqxUoStyW%_zg=Ftx($7{^nTv1}c#?e*E(cD1w48cO zlnH6}`0Qdg3AI%E`Gqm%j)Ow^4XwF{2~%Y&H-Kd)!>n>FobTs|O2nK^TFh`%eR$_DuYxyj$G-t((u zx^Qa|m}oj_j*^uBX5_>D!QE6b$v8U97rAWx>_t3f;O>>mQm{RBh4k!OH)dsEquD*q z>|atE8UP>8f%@~;n?oZ>b)M{rKkp-_NHUltBV}n&+_<>-N}r1FKNg%74T!#D|9Pdz zx#mGuihHU}vznJV4yGFLHie^+13)~Qoh3yoIm(%RIXaj>RivCS3elol(9ra_w~=km z_xj?QH%04T6_q z9?taZlQ>d`1y38f#!&7-Viig80{O4w*5inZx|Zo`icOM4Hci&w7R@8iONiwC`3liU~YTPllM-Z5nnv6a6SAaxZwln zBwkH{yJqR!PZdMwz9f};mwtqXMG|`&GkcX@D@104bZ(P~0Ye;8v+Unf1^@z7@%w60 ztfypmg45n1J1A(k%`#^OEclt2iBL9K*~&wN2(s(m`-6kpjObo9!;-LB#uFR&Cil%o0<7sfz6a z%|4SIZLwmK^9yW@ooox6uIoB|zSSPd5P&3=m8;r}j!=b^;F8;3o ztZh4Q?&4WfkIekH*bl^~8{Y)PF~4vLco94dA1h`k?#GvpmM5&OomAo^d_^;+3oW+x z)3X^Des9HmYIBcF;zc3PZT0z)vTWH~N*q@DLgYO-uP(Z#LtNL@8F&kx!LYj&Rxg{9 zOZ7hrM{u6_UwsY2%V0x4y9g0s~_Df$Rn&Ub3r-gJ+1nB^an1I-5>XjI=*mOPk5SnET0gM;lP;RroRQ6 zv6IOJe7{wT6e%t#@ha}+tRj}a^EKcXKY%ZI<$!)qufFEIpmp*8CT@#gKzG6&(Oe~i zr*B6|+Y&-8?cxmm&~;y#9q<<{yE;NlrqSrwJ>2P8aNc04KUH2R$y^hNQkC7qx(=*}^?&t%`}!ES+);l-sal1p-4R4~xJHQE zC@Pt325&4Q!2CBstp<9DL(1a|2D9f;hXZV2>>o(RKR+hL(&%i}uq4A~V@DJg)0qN^ z(&I=o%*4qnB+p0HNdM^VpX>HwAluMZTpytvp<(D|=wU|ptY&|S1fQ}Ao4QCqS26fY z5mxm$3uVU<9;l35Zm=C-J;B6Q6%HBxg5y($rsTYzp$8KqtUWN(+rT)8#b{buawUb$sJ1rGxVF|{)X-=s;idK#MbF(Qp)L|KH=qvW zOe&L}!fe3d=`ZYw20_?~M9}33x|9TA`hA92RV2Sf&DBu4T{7!f^io9-b{j0SqDbk=e5(sHup@zk&q zm;FM3^E^IC3<^*~8*QN}J{C~JWIL4esf2#i-%2=)74h1bxJr?xGmwoRh8b+RKA$q&Q9R7VMx_DLml`YI`S z^@jHwg+4+n&;(#Fz03~P=SJH=0b0ySq&R!BBA?9EVT*o_hW=pG3(P;T@9-zlt6n~< z5KiAGcwo(neRdUwlMjp1c9M6cdi}QK4Ex$1dWT#JIa7BnAhk3Pg=ntL&rdGp_~a7w zvYnY3Z4%Ndmi2e27vBZ#AZbRuS^K2~-6;$H>Ce-dT}7a0^X9$x&Wz9?VCRKtq1TMU zJaA54@mtZ)(|{$Cq5@1oHtGQw5P1+uT7urqMm?;?e@;FEr<{4`S7?Y0p5{$)%#u%Z zGNH6bJF4&QUO@;dKCN)^lM99Zz>oy;ZyxP1)9Y*bfbeLOpR{UYE+guL5{nGlwMo}; z4H3!ZM(u{wdn6YB!}2$3XTsbS&ZrrbmT>YvP^@ES4^GpOq#x=7Z`7|bspd|{KbNw% zyd)}Q_xFjv)Gt|9;ay&J?$b^CUDFfIuqNS2F^aHebXkjXzOS788xD+RfCW z3;9ji-B~}4QoDs!>bgDc3P)Y~NQSqjjj^V{OTUGcUTy&*K-yHv;z;m@lxh9y-&_|QmdB{ zYSb$WH=0)D?cd?n4N1(X3xoO!P|h+&FGyp*|Dz9oEuAR^wNXs}BxI=+pQfb*SF7|t z7x+r9!9(e9VWseA;`tk0r=VgQ9&w9ct9De?c0E}~2ZJlF?F>FhQKbiMDWg4DJsEl_ z5-RzyQKfv;#8R=K(S6TELS|B4$9yr0sCcvyo0y2H_^{OhN{f>_o%TMBD)d1`qAK}x zKrCzJSQ=fo3vv1^#Mhz%7)WUeWcZ9ELL4?~VLnhy#cVSP%3h@$)T5F~gW}EghqQ$2 zs*up1)w$X@P-xk#Qn^xm{TELZpm>r6#Z!M6DCAT@AvXgGIT#H5DF*G?tR%GitcUvY z{hzxB7(00E*@wTe5eH&0+TMcvd%y(o5&!HnXw4zz1r?Y!C;X|7(rM4Hh!?rDVMZ9lAnx4#M^9Nh#wALuuz99pob_%TY{d`l4xcJ->5FzOw zA#ERX^(WAVm~6n5gq=c!(lT8eCqH$OTs}-pHXrqGju?eLf2=%&KVd-#l(0B5Vpswp z9x8<}A93 zW#V+6+#XUwEX$V=sPqt1x;tcEeMZs@ntC+r7!30cMwRrPaijSw#FGOCRCH2=CRTVP|hH+UHxTTkh{VPGw+YA+{`P;m|Zp}aW{(o$E{ zUd+9zMNdp_C~hwoJ}{!Dq_vQ9Md%#m%&fi{6j9Ok7d~JH_5J^DUMQ`(+SBPrQk-v` zU;31e+pwuAt7dXN-=qn&ZvI&lI^1=Mvon5Zsp|B0T++LwD{tg5&PA3mC{C+Eue zo3d(T>4pPEd2{l3)S|YyoizOaqe<-?)kv+rVG&W;lmsc7ffT7JtqX+@ChCjZQ$f8l zN*(Mp|n<<91W$BNeEam$TX3;;o5;{ z`EBCttz!qx|7Nd`g%nskGJznk@I)>ol|?lf-A+ImLVk5=xC$5NaoSJSEIfC4{qZc9 z%0!)ABadUopd4l(HOPPifC6GZj4B1a+ANTy(?5-&27l$*{Sa@Rcz4@r+A%9GUzvHE zV`CMQ+Swss4ovq~^sjo3^^8f|{lY6IWt)MYkA?WS2QO{w#GPUh##qX49>#d_q>qL^ zQG)jbXRFY!5Ry)qBe0H&jJ8_{Q}UfvSTe9VDCY&?t|ON$Ca-mA*WIuxf_oC;yYy2p_3Y*t=sJQ(Hs9pysSnzOQ@>O(W#wj zB`|)-M88pk3G^CA5%p3Ca|Paj9Qu94)_aKh3$2#@zlLy^8*vjS;e{F@F5wUX0k1@J zxW}OECIJf=y$_%6FLpZK2ZzVm7SCJyTdb2z8kq|^E9?{t`Dje-3dQS)`a2T;3>K<1 zBlhjJJVY%h4TgBOqiW@XfC~utp6&hgW2wc1|3T|D#w zWq1?@wAGBuxj{oPdm9ly3iG& zlFszzx20}_9*x{S1^=dwjz$l)rLX~Jz0}QN_wEy*xoF0LSz2P3HYsc;@9-Ox>l9)$ zP;tZd^N%Ho$%I7-*(o@XN9Y|0(%2}A*#8jU{-L+O5utI|j>oa$N*B$nv7PMt*MF76SFF8CFNMNwL+( zq_UpPDQj+SZ09p-r?qLaLDO&tBl939%PqB@O&Yk|juLGb>O~J|Z6pRQ7!|!BKnDVV zz9B{?q)n|C7m6r$(8z6&ZZ7AXau3WDW}BMIvVddMg(@kgtW!1v0P0P~9Q(ck%KVHDFVVIX1#mT-1pLi~!45UYNc{DNE z*0^j4f^Sq4#}a|tqqNyE_Mh24a#&rrjbY3PgMJYd--%QyULccH!lZp`;JLqcp+Q?< zk23R4#LsC)KCOuiOh z@;(Wp%6*6@yyFOxOj`r@ReD9PS0ui$>W<@e#$)a-0kjubWTzI+u~!cxKdMLlHEBk% zn?5HG!CF)hVhP$TEM^#om~B4p{Y_hB7V<8Fml>7O7(EhTk03(w-Mx?jb9> ze^lWJ9lD(P6*lVYyhZOd!CCoV&V$|~FI=A1?gznEvo;BSi5YkoD++b6q5@NhjHFxy zo3y{MS2Uo#vQu(ze9&c_4zQ<51FWKg^?C<>MTO=8eXd~{FT;aNmc1YZ^Bj#%U;gflPML2op9{VSDTx)3)GR^aEc<=CzS)knSq2ku8%Ui+GG9E!XdsVt}mjF@F|;+1s-9x zfBMzNt7*IfPqc@@Fe2*E`pT9JJFM@bWt4y<@b28SJ3(#&?MfcAk~jGj@TOvwt0`P3 z5&M7AnQ$fli9rZv;8xJS&My>0b=hEI>^P`dfUZYC<^hl z?|D-le+n{@<1?Zu6WS?A*}H80;#dcXj{*z!84cn-g%#>nQtrkRH-QC@lfj|<4ijN& zNVCIfY*Q9-Lj%5r<+k?$nPsX%IQ<1jXe6^kEm=zmV)#cmR-Iz_Xl%~@7EeFZh6MQ0 ztF-FOuMUm+#zfGo)EXVWbDFhV+aNB#3#kryn(K8<98q-FJumMo{gF0kK5?9`#A@88dvkgD&{oCR_W!YW+Ikvnj-$BN1Kxn&U9#uo1kVQ9 z*=2Y=JlpeELxsA77TrTt*yfy|?QmvuL)%dqI6U^didNh%1aPJKz4)EB9Ioj_%$?=% z+m{|Oq=l*J(uO_>jOcadX19@DJuz8(%u6q16L^<{ame6YgDT(X;)Ly0Chr#L<|pKn ze&?FXK+m^ZoTn)KSRQkPKl^qt=R<(1epLAK-bbT*IHAy@tSeZr(0oiRfIQveIxr+hwLwcAasG5!S%XZ z^EFq2Za6LLe(X_)5Tkkhn{^fIsF~Zkj$*18?aR@;9jy@blFkfLrT1XG+#D*!GwU5N zVmN{HS(p_~acWsy;_GaUw)o(X>JO+iRaLd>JcrcT;f`pY?=AY04Sh?D%Q*!W5`R*S z-q|+0q+6=_z~|Y^EN}#PW~#?y6xq=hxNS6X%!CC*C-dpT5FhIxE99K$CdmMXuz>P zG#6gaubD5LLEHBCT@T-H3>{Sdxz)XtDLbfI^k)8`)#3H=oFc@MaOF)h#4fg5fqcgt zb_thyM=-N2hGhPLT_t`9Z84w!AV93OTY+`j1N%jD94@i(sf#!Ex18w-%{m0Oz;GL*1hE_Md2>8ZGc}59 zlTfHo&$B#vEQfsQB(D6XiOtIPje(%gtOx{Q^VE4QI0FEhYfc494G$ANV5l<hne=M?s0?xh2UtU8t_z4Y_Jo z&KCf-gFPmdD%g{mueVDQe$6%++-oPSEowj2GwzxY$-QO07mOaxzEsE8^KYw)?_OI& z;thzUjyCKDy3Fvs8U%fj)q^J|lE?8tU!E4&MV&xL#6@V`cMsL6g*$^kmRfMD)_wuD zW-9zxf};+DAJXRU)VqJH5Yi7hxTAT6*J zH~RmthqV9u$?f+0mn>ef+HLlrIh(J6_GLASkp<>libDziKYHKaeb~CHf!BM}>WrDK9KQs0&V-&}`&lBw{pJksr1k zv~1^Br*Tn%qH&>ON)p(ha@U&Z+lj)OKa!yKNTqilk##cL8<*@GC#g%Ou~O%#FQ;!a zJk%dX`xltl&TBv9o|i4r|(uX*v#NdA|72eQS52+_N!P@IHP>6ZuG~ za!h14)G-o$ZwIX^{2k(Dw-?Hi+2xNLtD7Eie!@*d=0jvtJ;xHaoKxRY=u*7m{|3$F zc-2^KfPMu+6b{$m<%6{D5M8G0w^3ZI!r^Y3p}I z+{!rGZmHmlp2Eokx4=duG^e;lXa8`X%7}%1${@vP!aP1Y zIlO3c%2?O#JQ0EN_UUibigEk*fT%i(m2wzeQqvn1RC#j!hPlh<%`$y`?ro3gRm`mO zJ4d*cq!MBZ4B_=g=d8cdUY{3Z8S7{#+_xV^#pVr{{MC;aX&JL*K)$l2j{F9$a_@%L z|Esoz*$MC*{-)pgcbF*>vpwWDpqL-73mT30Qk;eg0&N)pzgWtukAI;O-7jh|kTOpW z<1g%N1V(8`xX&a_N(t^qMGVIFfg<66)ChG7+!38@7;Rhp3{qbM7w)@()m=3B297{V z%N-u$hfD3a=cLJ9oiT@UMUM5f3ov8GOL1B9p=yczjXt&)U0$_F?kQdljJq8T)!{iC zn&oZ51$ge)&;;TBWn5eI1CEaDYu>!p<*4K0VOyKqDyO~Y#f(2ut01azbRnG#n8uI# zqCnkgZG?BTkz;V=yVZRMEiP?vho`y>Y%cI()gPU0P}^;qE_9c3s9u%y?uz7sD>E*c zuiCnb-MfDu9R`M+HHeEjXR<&p_zMBgAG8^UoI?TmLNnHWnQU15FR!h_FWVeZU6@X} z52nANQ+M4ndcU7Lkbk1&nw)w(7KCKj;wHb!v%WCo7~H8Hbi~~>a%EvU<$1#$KYg3g zG%*3lR901BXpto8s-UCsY(XJE!PRTwy0;Xb;7Td`Wlq~U+yR?sy2=`~T)r%+))tR< zL3744WsrZ+?;hD75KhHghri9dHcuo2!F?tM87{rQI3z^i+1t<>bLEsY?^QFt!!#zl zb=Qhq2j`^ZU@T+Ignp1W@aMX4Y<;k$(#u8e24KIF@rjzf(%ctWLA`xFej*}ZBX#I$ zCq~i=c*Ile7J$o-#L<*i5kF2QNN|bCE{3h@^5yfp;(*>^&i|Y2o9@#|<+quhQ3*HH zY3PtoGmp8I9nlFLu`~m{etf%e%t~BzrpXH95Fu;NT4YcqnZz#dc&D*`Y~dSaqE`KL zv9bQKr_ibAMmV(^k;x{Zv*|f{ zoQeF=~i#+X9uQ~b$k2!cusraFS|%J1q`)1sWf_jK|!3ko$G%9 z`fFC_d$6bezP-_*)t;a6PRgjnZu!JGKJMs*Hk2h#yx#6S2%p%MhG5<4$-!Dem0*be8eaXQH6K#c>4*2{Ao#hFg8%BUqZXY zp0b_hpy`RImNH;9sjAPf5?I=TeQc_AH&1E!3WxUIaI!LfClzbyv3l^EYtt`2AMQIn z=XC^p+tPX671}T}_eDXEUwhRTg*}C{mXBWD${5=Hmv-xpj*AMCa;oJ6tx)9AVxNLN zIF(5WBgw$Wn;1J2cHSweR$*}i_bVD|znO_=Y0(Y8jJdvYF^;?#duNdvFo+Jw)-NaU zvQEw-a#)ef3~pFWbT0lC(Q;qFiIzBC&H_!D9v+`Y13gTfy|kTdL^`zY>CIN0iAW2u z%L|0rXk%i1<$Up{j7>IbAItm*j(&zGmw!9Z#Hf!KcpiMg7-}=%$d9rhH#&iz@7Wqu zT>TmEqDa+Gd0R?Pwon5LHO~k&mp5O~*0CiAp#`MW=Hs&X&I_fbn4tr;zfnnk{@7Bs z^Dd9A1GBTlvI4LZkG70a39iS6=b<-48ea8~6l5qAUR{{Jae@a8|>Pr9J zpcEo;Jrvk)q8>H|lZ@!?A?BV`)_AUH)BnI})X0xrMqYV+Cy~tsCa*uV>qt4lZs2V6 zt;dci#C7b)4zG$6AOU>#kj(bLqtdw=b!$LmrCdO$)r~=HuX($Lh_~vPTr=VN+AzEN zOfg@^?Wf5En?Mwn<;6GT>36#T56Y0;lxhf6~sRUWmg@i zFJk%qE_@muuo){2to|(S)%njB{&Mcp>*UZ;f5(YAtk{@07mwkwqN5#&x?lB~QCr&s zmkZaDZ>1pz)MAnBmS4v8J=^=wHcDx!Zcr3l&w?SvlmjF%X5a1jhBo`j0Bh5M4r=O= zvAgk3fFq-odp(cWe62NCwIJr8Fqzec%w!`2p`!ngvJ(bVvt?H2C!2n*KKocQsAjik zruVu*%z}=}UL_HpRIIH%4VjN)Us7=sSQ>6CpuESEXYId!*Z{)8Yf-W>WSszE;0(o8 zaO7=`{a`1NN6-mc*!o>}P&{NKdy@^gG%=&JFyw74eUJ>fI+(I74ZXrKJdG8@M6Ea~ zG;8?3!>ru+C|Uh$x$e?*9Js$x7c-W+$_|2m{C6R=fybHJI98ZM8zxL6dkkZ@B?6~4 zj3DZ0FRVac=Uvxg3&DS~@Tj(SqTYw$!9DNvqJT#w`zTRE-80F#G5OHwlzPe#u4}*U zW-*XOc1ZPSLwi}w5LB9k=e-a)D^+&A|MxK1g9j_t6A!51FV-hMpQ9TG+9u9N40bO} zNcA1xAHNo8`m#|_UQfojI2Wa>d=qb{BG$fi{4r%>nC)l(KGbbe&(cFZ&2}L(8frsP z41cvVY6DfskH^WYjb1(J>YkHwJ z-0!immTgmYnzK@Ndh~5Hmrp!)yB)pq%3k7c7x1OvOa}u%Rr;nXbgX#%11seW&HSJo ztb3@4``ZUzQC9#L6<5ZCmFgn!7htG++~lDCT4WkM-cQnq6f&?pgQGJ#|DLp6f%|n=np^t#w`=f=yKIIo!@9>yq|zF9`Xi6Eg=k}KUxYZ zQ(2`ay=gced_5H%g{g87Qeb~38Kk*a6EX262Z&%>i`qYsa?w&i=xMDz@hjPk(dN=! z2{y@s(dNP(t`ve51VDtlb_fPtJs=Ooa2cMZuc4M5Hr%J_K zK4xt6v7UK6}d&B!L51$?P{ybM05;b$|Hab2muQjG7n@o$pf?8ILmVjB1I35uec zbiS?Xhxpk;_^a2qr?Td%cW1(z3E!N^kh0uaHV~f>>MiyV4hVo2m)S! z(cK0fy`^dkx!yC6-bOObff*G!rA=HA?Y08a)x)+(X6eC_YBNUck$3dxjFykyd80$? z#x+?+ER}fPlK*cOt6vvh_npG#UB8O~*i*A)>`LLZNMUV*4wVGae|3=Ooe<76wau+z z%-cjBz8=J@Ks5mTHK4?$SKmu>8tr|Wj0}CcILNKsh51FqwL`7p!`8GFV|F1)KGJfU zPg(`$l{`*vSX^_@tfmhqHs?LA=Cdww((2P4{i+a_0iM5f!Y~wZtiFY%|BlA`mFfb; z)N$Z=mHnuyrqH*AZTl|k;^B)$qJy-$zDIgO-j-O;6?zrqQ*V)6%Qvun=u;1fChW*m zz;iX=TWb{6TdiH_qR6>e&tsx$+rpW4x|B^z>}rCj&wPzHUU1k%nPzb$a~aWCIuwRD zR5xIDOn2!$l$dg%ViEW}h0D#0uHwzQCP86K1wy8^zKjLLp8ePkb3HzS4 z2qY)+*IHADU#KW`ELG4sGv@mr{D+|7_I;kL_`U}|sA&7-sl1eR&aStA+kf;ydG7gG z1gFqcWPa2pT{m#|)cRI;Tg55X+(6dD|Lh=Ee#TZn*CzSSBNon`L1yV0Kn${FVD|Af zA=ACtuT}XDEW6eoX(@N|FYu0{?a}6x=>Xbubdp&$)ur<<4^G zf)>afJZTXvxy;)45SbO%m)YF6H@WOuvl|r0-^2i8jDydg3CQ>W|DdAy2vGs*(VuNC zBWP^!@}u~-6r8M=%4iPwk04;>qy^OR7@dr4lUivXD$?{Fqz2wStAK3Tvr=Myg8c_* zN-Hn7{#$10KQdK`Z*4Lw^UZly21$|W8~P7_WRoJ-P$!9E*qruhO3MnfcS$w2`hQDV zDPiC|J||>iJBQak&+!<=vqlUl08Zs+Ogu)>OxJH%f~H>nam#95yZ&{^iZyjV+_y93 zTOGk}qEl_Lk$u$LSos~A6mDMg8cuU*AI3nnT4D{lx!Rqq==TR9hSTNVGH#sCZttWP zPFvOOnC9|)AA+F1mMHOQrP9WmLyW^(80$Qz4y|E)^5=GIV`fZsQ}N~9t4yWE8YJw7 z^g`Na_}MB8M*&sQm*D0~i}W*D{}YwwNDWyp6#&UE8@&u4tHZS;;zEtK>;Xfd3k z8d*r*6ll(I0K!VHWG&V1^%OhVwt8!(@rf#m@sFQFfth`g+-0}C;x0k%%{3-w(zbd> zHU>zxZy;qPRwY;7qu$A##nJ%pouwP)N&f`-GX%5b*iwR|O?N_kn13Lut;H(c2x+ZqiL8LjC&H6U4` z%Fk{>IK~^*L#V*%@sWMeyo`h0kN5i8w5!>^0g?Qfp2JmQE2LNtBWKWjUyI6#54Vk5 zh|JooqkJWoqstcUI2vP;R#DeOmj70^tNs>7PBGFfLub`B!c=Y+=~iMb3WZR9nVJ#_ z+UV!e(_nB<+|1V8U-R8cz+G9|8)rR1}e^|N?( z@56jYdclH6tq8bEoiKl2Saf`+^%{s*@Tr*DX)!dN_r@p;VlgHtRedsnC`;2t=T!?Q z=`T=o#qzQhXD2y8Ypw6uL2L3-S~B5r*`=}0k$6tvCtDW#TK>TsXReVTC!7vTmc4^O zz=2~Sz$ERT&4r~G@{7C*L_nU>;iy|REZ0Xl;n7cjkxfTMJ?OW&7OqZU-q#^Y+)_1e z?EQmcfg3b7nSk!W?53W0ANg-oQ;YUZO!u`6iX=u@&Gxkf zO|rgaree7&?5Bt%vM}Rj7sNW_Dsd#dSw^;>70>2*@QVJ&;=1`MxREyeGKXU1caE6H zXi7A8<=8`BouYs4(0f)&Z#C$Umod`OPX8a{0{@S3M-DHQT7q4tSZR*`jN>XDej4%b z^j0kCuL(<_1zD0;q~zLF9P5mblt4p*xfl=2@DnjCICW z%J&Es+4NM5^<|eKvgRz!nY(IvH7?nNJ$+JaUVFU_taO``$5?Xoa{Tz}7}!o6Fcyf@ z{#yUtJJj{o^?k}9j4@K{)TaeI069xVQhP!?0gY z{lzq4ew$&yZ+P)FL@IgpNmlEBh(e&XPEKezoDZuFCBkAYIaxx<>?%C9)B^iFF0m=3 zWVLf#ZF!X>4-iu}84cWvt*~&-Wi+;)ZiY3y=82lr|GTg}lI~dW109rPvjkSC$}B_9 zR-Jx`t3WNIfR+$Z7%iu+cX<%vhuKiRXB%YzK~8clHS00Z0{VTME*b*w zq8QY~jt=_Y4?uIFOqOpi|AIyk>5rnG>HIk=9`*vY|3={pr~h8mLb!Ql7Ee8 z$FvgPh$m6dm;v5FnSXJ5s(f+^I^zR4+4Y>m??wh=8UdnY4Fwxjux4vcP6BO8lN~@r zvviL3K4kF3=`6Aa9k|t8{;0vw167iI&i%i`g*o*WQj_tZ0)gym10iR=pf-MjQ^gRX z**&)3Y@xYO_Gy$tLDhj-#stX9zY=0iBhsglAUGo-E)m>L0Xjtc{4rCDv_=$9AFQSz z)fuxggiEHusA}S&CMc&FCcQ)hO?EaIRk-rL=+?x8E2>TQkk{R)vG*^n9^1Em!p|A?R3 zGR@wmq!FI5F*pvXCSkuYCv|#%3xqJ@Dh5(7x2c@gZUH{X3fGL9ef+KF9n<8K-wkiu z_%OgR&ml!sSq&*Ray4rgP+m$FySsxPjemK%jK?{IBjZ)UJ&QE_m$2FMb(^K+mK@cKH zJ~dx|u~FS{dLi$tQR@)*R#Dw_`bKeZEB-;G=elN=eubDNrZ>AoF_m&8{O~$vD6_W1&g7?k_c7hwuFSYQ~P|z_OObFF$H$!`q*D z;Y}eyc~mf=vordB$%1ik?1H4i@>#mj!g~T#N*scpIE78B@?&cB-FzObBO4;35f@W? z7`q7ON7sU=9h9R#HC8HFE5B5J$C_hy%!73}n7(l=v^W)vY-KkBvZhp)fJ^02U2yk0 zNOhQe)mq8#8gY=)GJ&OJvvOrSvj?Wc}#HvK@k{`?G*&s4J zh$I8a)s8T!iba__%M3FG%hg7_WJWkdRkgXlc}R|=eI3VaKJS3~tT2=UGfn^~FM8?x zBa)}9$ZWpzjie14t+?PzXk;i13Ie$Z2`>`pJE7E}P zOJnX^>3q<-c|GbVEnVb=Bg?|PTl^=dTJ636CDf<31UEh5cJ?qEB0)-OCBJ-9W&<1a zsK_|4HpB9hDaX=$n$*Z~^~{iOWxh~q)V%b)4y2-%o)B(l{QSxHQ$dic>Asa2<^F8w zGjE(4!#&)ykx`Fn+``MQ)lEE=Q`f9A1){0cLgN#zbXo20Xw=jHnMZU7(UJS|NVEBz za=p}R=^leeZ*I@1(8e_JXiUa_gGWPaNB_Zpvw*dNHzt!Zy8_on`d{@cK$p+3 zh==+}Q3$!o@4f8zb?sdbuxn1k0x4Q|YA-#j*wZdaRl_Zp6u|xIsmYPrvXW=N1m;ffIMMG zOYdvgwMGOz8*M~<@B5QhmPlphm=A=2ngQLp>dc}L^dT?2_pfzJZ$ijPte5LxukX*{ zJbG(|zkbscrwM}Fl=?-HZym3)s4K2vf_wsC_wFhiU8{m@-uvE*JGvYE6adf&hS_CV zIjy`oS!F@gvq`_{7xe6{tRSii81kiyLdQhyfD?CJYEjZ=ZV&clLWeAHWVBj7ppF^m ze5|k_TH-fwfjOT_M2{dv{1oK?)n<;`W{z5CtfA+opDvS*cm?krPhe1?JpthGjaX^=%TLC$Pe?JJbEVpAW!443hc#z z;PzuvA5huUsA+it98a`ZtH9daAYl0udY{myvGWmM`5hg`-v~5WJ1mBQtYf)KCS5spX zBde~_05(6AdW0kNE2-#5I_|Ru&a<`ce%C_mCyVHZ^0rF(OlL=&KCn1{nO9lf5<6sP z;()tlbMwJhA7EXxo^@7l)(3hyTHlkUX-Baf5;KQI#!@5zMqWoYC0b5~>w{Ep1eTGtD9itzsK zaRGhw0-TM?lG^z(xt!GT^VE2j3{>t(LcX`=t5|+&Yl_qV)c#W=qbz@}kcheltsjjW zS^R(krKr>LuFfWMOr;JvXF^H!xFU}3=uI-fqq5@X)fw053D!^Pe&zB7b!VzJ)*HDP zk^3Qe{aDAT_B}@9@DWs^JT-jPBYRj{m;7v1INY+)#}6=d59(AX%iP*rQs(SRNmp5$ z+gfo2cq8S{(dhE;$&khnOswhUR@jHaBlwVjfjVpLd-|VHodmOy0p+-Gy7- z(c4r)qFPR!Xdj4ltwweZM1G*>tU*s`Y%q9ZgG$|h+2n`lW~god)}b-k$Y+;SU6^YW z8xWxvT{+2ilak^~!-n<8Zh;xPf4&n{;}Tk@D;xqLKy~E$Zj|4(Pk1VK-d`CO@osF9 zGqyRT_q5Qam$ktno&BL!+2=}8fh9hWKuCmO*=2sn>a(}4qon88`@4MbC1}uyE*7;p zbKLO4c)SgyX88t5dNww;X=^&e1j$E z%XTE%Ivf6U7X2W@ea^Pq2ios@V?9;o-J4M6T(5jHJ+JZ^JmTxqYk%jOuKCe~ZQZq< z^bE%Og0XR|W0&Xs^LX)niJu^E?E)s6P)tl z;-*dd9N5CXor3+|m1+6esd32rrPVP{8a_ms#Ois&;xba=G8z4BwblpOJ)%CLtnjw3?4l+tV#A}ndC}qNzfmwboQF66 z?IzeaTJ@3cGE&{IT&erUE#ct*s_e_7q52=ct=YylwiyiBcZOlYC|Sp@B1_h5FGECQ zU&CvR`}(!Wn~N-#28Pe~$E#B`Trska zDZvn~d-Dpgkc&(l2KjT@%9^4sSHlCby^UoZU}`PJ+^OLlD*9kPPe*0E-sRKf^&~b| zDV4;qZ;?`GM;iT790kSL9`vR>m}r@{5BGRzpEW6iZGb9X5RuHU&XsZA z{$8N?W$hFbRr@{G|Lj#}NvHEQ=K~yk4_wOyL`s`FP_SV$!K!D+4B5pd`ic1#;G%cJ#r^zz`7Fh{dfPMkAM^W$`_RLsdG{OCI#a6~mwcY1QLB1SC->{)ADW#S z7kNH7SeT0(LQ*0xYC4#t#@w0zz){{}*j@Tc<9^1dM~60u=y(6*(L9^|l5siO*n6_# z*&|U`R7+&K7d37~c+gB(;j)gKu3uoEv0f2Tc~kpFc`d z()()9|D)bdgPAOa3wySFgP0_e$iF%;1O>ftw~@+Jtw()So`Q?niKjXy-XTM;)G_}s zFi9vs{Z^XBaC@Sl)!Iz*N+yj&g%(y;p`Di%D0>pFYj_w3@SSu z6Ut9KoV+EJ^Am_0+dSFXco2PGZ>+`6`>9xh+Q@20>hs~@_w(F8eC#C+eoeod_WUN1 zNVJ5poQiu%23@-%+_ztNEoP}c@EI@7&bm7b)bu?6YR#_si{cnjC4XHHgi--~R5i{EplMuzcu<>FEza zH9eER3 z&zQYCMmrOct+!kwcwrwakb{?F9#yV3~`S=9#jDWoa;*AuqTd>yF5 z4a=KS8J40Mw`KEnt)T)s&|Ud_T`W{U8)|4PAAU==Cs_8ELTMN44Ong79?GIbCPMY* z#KzLPXzEs977Pm&NfZi|@U7HTV86C08}2Eii7xG8xuIK|2jhh!@6Ef7^km!% zbE?`%H|5N?_?Tt>G0!mzm#}CF*Y?q}yg6=RAXTbRliQ_~%`$tUwDLsFjV{aVu0=oS zn8iH7e7>(wwkE$TJiALU7m1BRD2b0L8rVMUSl2Ma`dfaiGrxm&)hx4^PdA@m$;c`( z;Y`!vbm&XBiFY58%P5P!?=o^M*2%EA-Ga*L7a<9kTih!N+3 z4(FIrCm&d1#34KWTYlQUU2Om4`f?+?#yu7D+lMCEYR(VGBsR{fd$DAPNiBwg9qr&` zEJFPQN)byyjckTNzY6vj zej63xTKKu!!x{AAK9lIh#^jzN`e`-&l$Ekh2Uu ztUXhRAM0U@uLSmjync4@y=!_okkMWNW`AP~yDE{jHQkmmlgs_MYgc!N{(Y@qejLx% z6xd@|Srz~5gu>1NeKDAhlidM>e?^|*d1ZIC@o@DImafM#yE-q6|41o#>Txsib;cpR zQL8KLV4=;I(=u;cb>--fOn>hB^+ezR)nTXgG5hghbI#90yYVCmkb|fBZr1Os4ohs) zTEFj>=9pc>^!&1uKB&scdligkh|5&m=bc_Q#8=%8tNPuQov1O&J#l@0SYyuq{oS}K z%(<~Uv+G0tCppAFYy@rITngI!JqQh2FwGqq-HgIg{pXx3S=^#>KELVH!YX{R-83OIbKmRDv|%gljQRdfNz4Znc=K0^Ho(UfM3LFLEu7H#j$7>A-N@j zP#HgcSB*{35p#hfi>~~+8U&3e2APA%=t!vLO@1g%v$FRp%g=6Y)oBb|P}XgcXSFwv zQ8O{U%1{C?pP@V`kq~xHFu8O?wwc34$iZU1z})8&6N&_LFf!>)(xP=8ZdM39ZsOBV ztz|;&UKZk7JIt#!CW#xHea@^+v4TeR{P1}|R(+8_?{xERbVQjGqfIfFvW?8en$#67i#F#Oi-hBi9P+6!rH5Ic2BdJMffg{d!?9iQh8QRLe1EcBa+@Kzv$Q)ll9F zZ1F!al>N)**uEhHLL&QN=?Bl{V6i9qdB~ZetAWfjZ`pd@9Y%=%m|*(w^4n?_&Vmoq z5Z&k`1tz7*3sou?#h&VLha4){DKFkM4Ff8|s{&`1xkIb-Op*7=f^nAO`fUzt;&PBE#-$=?=+(q%zJ}`;>nx98R$spL`Js5v^ z7j?v%9bDdSIu)J58ou3IvQn4x94j=J?rQ9k4Bh)U5XgvRYxMT9dP$4&NgB>LjPX_o zODI_J3agT|@W+UD7S|90S&~!UR!(8Af;zs?u8{NcroLEH_K_m?pI zn5C5ZIm@ z4_?olY8;wrJ>tphc2IxCUq&U=Nr|22 zNerIGnHsOVLZS(e1GGXGO!~nM{$6amQtbXj~Ba)ZqX${&-*c(qaPcI4Vo9cqg$3P#VB(!_rLo?0jBdJZ0_CM zJFZ^>KnC=suC2?nCQ*dZ={L30Ief{Fgfe#7ex*mpb2hIO*15fjX!4I~9X(?ZzZr9U z@w!z3YpwUD1nPj=tWAD=``i`xLA<+?QdnjB?)~RW#4J$`Yca9ZTjs5ToWGN97~0s(HMuhGq?N>dFLtUe67FMYoRfM7VA%HSDKf#9UJCzIGiH zTuMA%uqMa&V)hb$%P6XJdgWo=DOb^K&sVZVPR81fMONvvSW(`4kEgNfPhO+nhDs9N zjSS8*ihN6(t!10Ln)6v{8S~yPks!*2@+u+UBkW-Q@~ff0SW0HHw?+ zJ)r?{SKeL2!Y{tneWSR)pcfh|_n^~VcyRPsP^l7!%9lO(cdMiJPj~{fq;DKgt{o}H z$L3NHf{(TRN}h*#${6&keqX}haeo|+CoCD=c|3jZ@;AMrHPlljV|)qY6E9u8Mcy~Q zfv$q{-OFY2!Y#8I=~b#`YBlLY!cjf7>}MU>*<(}-=6~_r3@AJlZ0IFn`E&wTuPfP9 zIh7A6IXzoC@p@~Y?;7vAEJeLWlQ#k$pk&aGFQQa+nV$+$+_;!^;psRoz_h2tgb4ePQp+>-s&U7se!j(Zx=KI9S zU_4XgY*_m{e$xl8!d?`syAew48wss@XcG3jU-6s+N(A|WZ3#(T;=>aFTLQx0g>E#t zW17Twr&|{w<#r$k(N=~gORa(8GXV#7%n+$BS;vHN7>R>cV+KeWgbH7fg))r782}JAHKM#TSzw=U{mZO|P zav<3HgO-#UO&SX@2FKqd=@St$ssp4df{rGQ9f*MA*^w`_CkII>1&yfy8~ds^m`JgL zOkrJ0fjAB^Z%~3Ftc5!UT!WFXk)()gEHv2L-b=)_%19MBxq<``Lzr>;1yKkp`Bdw7 z$dnCugcu@?lcA!aOoe<&=vIA2vv<-+SHpt@Gs6_(gYrr*zhbfQO0zhNR z4id+Jys5k9?^3$GqgihXCK506;gbP10>aM)1*E~y#Uv`EoEZ$L8<2qn-;Kn=i{xl9 z>KAs*FlmKQ;SFk2?6h#oKrIZNN*aTd+kkMQtu#%QD$hiu4(SnXD};!AVnxq38@CkiC46G?onL)gWnt)#d%9{AAnI z$T1lH>L0d&U^wLq44*|(rRG4#yGSyGApis@OIkEOUARQ;D`GD#aB8;3*`UIIW0 z9Ev(FK;MnG%0AUk;-`=`aV4ZRh?^zILsV11DNu>*Q{5yp!r$>rCbdIU1jyF`ISLQ5 zK9!UL36`hvQJ0|j5+H&dLm|mia=Zw=K+BnO@r zg3-sH{-RVnz9@Zaj(}4y$(P^{Cu$_8y(*I@emtP@ms64P&kcPd;6%g7WET{511q`H zu{TaZ#xL=YT}DD~GnmzPkrD`naB?w;k+>#>lcM4U$mu{EyOZ0t0<6NwtfccD9&boP1)={!I{?(DkhO3GQV2v$9*3biLCGn=0LN4xNs5Bk z#PI`daB?o`I7I9yPJ`M{SPOJv^M)<3!N!e2Bccv7vDT1B6Q{m{2mr+?Imr49QWazX ziq8Tr6A*!5B*hMi6ZKw|>anL&uNu=$QX*6YfPR!e)e8i|(Rri@Ncl-HhM2AJH#{}G z$Fzz_;gB|lzyM@VFN$X1o%>B0`})2k2#>Uh;$E z3;y`4a$)!q(sKyLv?Pj|;m7(>KuvXqu_MgR#RoiL2JN@Jj&W5>KE1yKG34Zy<2O~KPde-2C! zNuQE~!s!DFFmyI4A5v}wDiLj^Xb`GAKfVYM;K1~fI0zMiU^GP?i4zBWe^d6QC_S1q zrkl|1eer+OCh; zq;O(XBFEG)sgSVd4PK()wQ%Vq4~Uy77)n%=#qm;)Fko1=(&@5vXib2q@RM%?2m->} zg$rPVkK2G!#34BvJsB9#h@VjffNRG{Z7<9TJN;i`flvW}vXo&hoHXzmhGs-=uh4l_ z8~L|X@J|o`7GQWrbt&I+i8rJzf~_~GN0HZ}sd_Ju^+7P)u>D9*svUonGSv=>&IT0N z%ZEt|gm;=W7~o1o$kk^6SoZS2<3^v#pH8-N4u(%A@etP({#};L#1ja*Cg~#w;N+B+ zzej-#jsUoE{3rBmAyW+WNzhHrp;Zmyh6SC7*?i<|fJz7n1g$7|Bu)>&z|k!9F(Opq z)2%ZQHw#dL$jyM}Xo-V6)kGe^$BjTn;!{3+E-*x(f4Dn^2ZiJLU+_$uDldR10{QI! zIZ=HRV1Hr<(3Uqx=^?<36MZ{nN~~ao9JE?OFeacMu|gjACwS;WK!Jn4EJc9uPa(jB z=X-A>K+QSTst3W?fbK+ubbTqn#t{sFj1+ws`8Ekk-Qjnl3$lZRQ1gSmbP-Bu{lBK> zq=mzHM-Thbqd;+nol1t1a{xDXH@ceHV4a_v;+fh1t zr&|Aut9dUU&@HVpk`9|ZPLgW%7hePZ?rH+~bRdEQGf2`SAOIHu;D4gDlXRX?;RiZW z>@;zlKrI|yN`J3lBB`b^si|O`{gL~rNI{zri`N?N$Ze<8{Cj7)0Kb6Q3j_%HD@rn9qJ1rtN%&H z?4Bs7nFT(%Y z>5jwN7U1|>Bn}7$3(`3#hog5nKJ;Ij9KD1PY<)ovN)3vp3tWa^%w38AEJv^p$WK{9 z(vW{PxpYzh5h45kX?6@4UHtZ;3(2)vWY~H9Nr!iuh)4L3ofvjLi=*qP@24OfEa6w` z;D^!-KfkhOGYl$`T)RKdw@2s{sIh(R!TGAqT(Hr6S3+?YUI+4AFoU8n%BH_&u={(# z74{GatETMCAzr{oPWiT-(A!4q{er=Wjg87rfOsCAXnLe^UzF^53SQ*=_b1M z_O{Wkq_w04zvEi?nBB+0r`H_@_QHQ(S^RDYvp$IQ<=h%58T5Q*pfsox__cTN5$k*J zZSa#)h)!|;F3;K9P6<{E%;ZZKKc?;O-rhs*rtaQ;?5NhRajrV1zTUJwx;6~y(wWlw~89|~^sDaU;w z?FesG>M*VJIDEOyYL=80wdR^$*8E9nTVribX;34)!8E=@E!|0dv8e32%Ev2c6ZJZg z_3wzD{+Hf1z>bRo{;;@yr~Qoa5wFhLz!t9KR3Ao-t{BesNJBQ^DlR>5Veabahl;Jm z2+nzmSv#J3CD+{eC@%Nu&>TSLxOuU-dX#glU8rV~h*pXL8|< zBN=CX?pGg8x28}$7)58N{2wu32C3)d8lmNBIuS#iaf;GRH8-AmWq1{A(8BAd1|q!u zclHZ!Pz)}|D#>>?8b^vuxECcqKO^q=lxleRfMBRRn(IO1%lj$);wi_g^jpJj5l26Y zH6k!_k5mn&G8qG?(d0Yx3Xxh9btNl>!rwWIhb5hHu3vkJsXUq6M$RkmU-=wgs&H#v ztZpFW3)s2DxEl8s&ORxrCDn;+ot?L`xn@F4wc%~Qi7~YVKb2srRgSVcox7US1-9x) z3dX2w7Z-i@!C#VltP|{_tN2!g5=`W_+sPR>?*80iYtG%Af32?5>=8H99hcqAH%fN| z13DgBVYwsJJvk$?N*&xUS+`|*@)qKO-1$_;`syW!?F$uGoPc9xvVELIue+9tr z-_eZm#lbkivx3PNttAZ(Do-hnuwD0Qb5ct^0gjW$CfnMWF{pt-RlLc(MP1SOy+7El zINDzL=>Xvn&CY;92rh178Qx|BOrxh33~g-Ue+Iv25wMX}zRyIQ*9-h?7u7ZudMP66 zb7I8s^Us&pl~uFV0<#jnoxdnxgHujv`i^8v2q8<51wERDhUST0H)8a~QVYMycn#m{ z%#!Jq(y{y$0L%5K85r!(J;a&>1Ll4@5W#>;@M?e3k!U17cuCxb}o(y^`9md@; z;L*bJT5e81*|{Yw@_b($gXaom#hUv4EcehucNo*-0{GLTF**ax%b$pC2qgba-iVtM zwr=Al!7e%m?MZt^{6sa8BQJ%pQU%mgA5_xR5h)p_?=Yv5gV7Vx57u}suhg_myzq=S z4nEr(x8J58=Sfps{Q?gfmP>6um^F*auV=y*`5n<7sd2q=H(chr7V>!DSKH2pYoBe@ z;g?2c-&FmeDXKS7So;r!qx(8e|&Q92UAo#6y_}>&}jG3-wc<$a1qRxUFU! z9@}$1cA-a#Y@i|VN(fqO_d73<@#tcvc&W1UR7;-XW#%|Wr;9~Si$#>INGS-Xk>Dz7 zI5}I;(50I9Zm@S(T6OvhkF6hh11*{N6_rOIUx;Q?q=b-i#ptzKERl~Yr97EQ)MF@j zg&X{Ah+D*#z#J9b7@4z;y}7t3kK!cX7~Ez>z5Gh-N8VG^?DpeO9mUoyOD=E39JG$x$}1DV`Kn zU3|;KM@qv*4_elT+$JYFzR&)^nbtw$ic_qj#Vjk9OWQMi^7syqJhp4Pi?=wBl9joT zCf5zxB}&9U^brMAPn<41k)s!a)$V@ZNd!JliTMUf`C8r$O4H2vRB-eT$~p0>|2Ywk zd1qrjRf(mt>%osHLlu^GQE_I2)_cUy9K`R22H!`noh3*KI_cfQK9Iie{DdK$$NsBO z=zd)LV^Ok{Z$o$6j)pTw?>_@EtdnvncO2QD1Lnm6X(;tYIGH4lE-Td8vv@6#R&=$H z@$&q{C)ucIM!U6~ALC7R$KoR;PaOX7#J)~2M(?-Q%BOoGGIA%gKt|Mq{Yk#~w#YBv z(}L7uPfqJCm$B}yuzO|=p1kqt{v-Si+Oux!NW0pgu}<0Ac^&5Vx#_LroZU;I1BHn$ Vm04R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Cb}0RR910090d00000006`b0000000000 z002rZ00000004La?0pNI9YvY%3Cpr9DjTAU3J8a62rQB?GnvUt7MRSOBuD0T=Mf&e z*U4ih5D1w#nLrXS@(8bh2*~34MpR_gWfc_>0g=1w10O4>5pa3>yWT5$6;UG(P453+ z)8Cmo-Sz0x)d`b0^_!lmuKND}@2jt>tE;=K`|MvR6polwD7*o2$aa_mUql%<8-Ki9 z_9fQ2nb!AhoUZ(7;2^h6hUMIB<;0pckrx{!w($vv;PhC^ghTdgiG?qYvDqWK1m}x| z_n1PVz)kk!aataq+^#Gao2f(65}Wi&8RK|4{VV0Ql!>ggPdt`#Uo7n?vB=wOvX$HP zOTOTaLw&i)zE6+HNepP=udubR-_SaE?$B$HY|O9$Qg|b_N!V_|){1SviFm>f6a8S~ zIG7j*3X9?j$XYRAr+&mS5-XA5FYot|w5kir09HUWi6LNPhYp?+=* zyE`7$S}5qYTo>6vTl6%WLTqJC##P|{CKJnA<7vRk=EI5{ zW6E-}U5wHa>l`Al=b7a6a!LE-SRT5GF0s=Ui)@-$>`EgmGU8L&H^r18H_I67_A*cQ z1xp!`jpxPlrM#Ay{PE3&cgeEMgtg^UmSv`L$@7&92V({q?3n&6|3%?0#FV)QTQSjyaKDSk}LMi1(ic2G^}Rb4~xcjpr^OT8)yzt$*6NbAQBaS;C|`?7wU^3A}l< z1v`i;ZO$@cB#>j8>}WADEUOC4cq$_MepEu+SFKq$Qs1bH>*<7KgHCFa%5iLi-KG&hM5W0zp+y3F!1RvejLvd+gT)tQ}EaE?!~Vr6Pq&h=4>k@Mn$ zCqx<2f!J?Pm%)c+riNjc=ows{s_Q_`>+Fcn+s=`k*DbWU>}@zDm5$46nd0)+Q%QNf zrlhd9TT;7 zV0K~#p7l@PJhXmf?fMaw5&dKLj|W~&ptEmYwI+oyrw$km8`4SBjPks6vJrEAI%&l` zH=XQg1yd47W;CXwR%SHSAUnGT*;;Ivla4p4-K=!ds&=(BbxI#A-jp3q$)>b-w4rMf zPU+)O=9I1&6}I$>EwMTFYjKDyTR$??f9Bwt!Qo{ig9H5>W;uLII2?(w2h8&g(v&dEUL*H%P%mQ5}(YmKw$$`fPrh3K%AOTJA`@^@7% z`ty#(-n@C^e4;1bU*dAIAD8p(3(gkD@iu$na=!h%czk-K+*UtZzTm`8iEZ-n{95G& zFM4G^?>KH(K?_^~^taLehY;^A+$f^WBbl~*|1Kk*}Kiw$LGo*cZg_CHX)3Av}@z{5!z6V%tA}9M27g45f$6=qF$lGFw zRKhK=pGO7*FZ<%2887?v<=OD*T*QZIo7Az0JlU8hK9X``B%LM}9U?2-j3rNEDdWDW zJkDjp36^+<1F^C9ty^{O`qj%uRt>4%_I|Cm&4k2wX`Me8JJv);^}g_ZycLmE2{)mg zxFCbUHr@=QfFlNZ7>v(NM^>G?YR#D}zCmkx!o=n0MJAnuykfM8X}c?)2EC>&RKJOn zdBQ6P7VE8tYvC)t-N#VG)`~69)Bm2v$H8+G`pL0~#dqU9hN+dX7411C+T-Qsp5p(_ z(Xsg%*j&U$pG$1=Kl?Vfc$o{6MV5S_o9GprU9rg8VzI?mZp%0M$|OsEn|&f5FPD7A z#LGU@vM*_gMJ8)3ye7QpkT@=5%cmU6ba|1D=Zh@!^>8_kKaL>`*J5kM_UAmFPSk&* z{U`eW?i&X<_FNL3OZ9OqO&ZWjxCG-EFLD{OwBO|h8;ao4x42DGCUaF=c|0Gqm9QCh z^Ma6pzSz~{4|QxsT{-89+a>#QzLb55B|jd6suDJ#K9i$8KA6s{KG(u#`iZxM#NWO+ zGDAgY!!9mpVIW6db_vf-u#dL|9|89yY>V;lv&fr_TX(l1%|1E092E(*M=5&hI-s~Q zU6E4DlK@v-OHq1dv@tDHQfxcB-P$l(Qt#(XTr0S?ZxNKIb1ymxml!z!S zMJ!gSrBfo*g#vK`(-nPHu9}PzX&9!3YA(_hqn2_f3QG}-kXlqF`c6aNxgR}ZEw*bj;hXV>k?N37 z)upN*7&)psLEMhfHI;RwwK;WE#Oc*h5vN;6MVx*e6>&OtR78395tz*JZ7xRLix9s7 z+i6Hk-&fY~n4(ZTR0&DNCxqf2s0<`kO&gc0awbpy_*etKFEVraz)HRsHu~)b?hT`T z*c|jYxB1u>VdDtEyGuVtd<^185l=__6K(%U>_3HlK3Msw79WBAXRy!q|2bmb;rS)v zDTsfK_+Z3*`)w-XClQOh#6{%ak9@I<@ypm}S7Xgg`M*aw&-5=Kel6l6wkMG$Cv9Y1 zeuS8HU|oucSzmhNXl&$6vq7w;aMFDbtssDQN1m64m|K{HgMr3!lTbvWVeXu|!8t3J z)eX#@JuqwD^1(TSE9;x;n+694mJc+|Q)7e3OU%k}iZj-!1BSyh81&`L2KjO<@IV{p)AAKnrD6x{!)QG>C|QC4Xzv-K6mDM z=dYYwcma21WkROJ4gdJ4lN*oxQR`?c15ZQzYK+>6{)sdjGwQXd#ZH!*#OGIfE|(@U zFrorFSMBh=y{+5W^7Zaicnb)2XW;P?dAt%mhg6#WX_Ki1FLk9C=|pbZ&0|x&JO%D2AAh}yDq?UHvsNd&K|@T zr&N)x9)%kbbEI#Y*EDC|>{*SCv+En@HO;J_J*RQ@yt?}OhS{?kn$+A!5PBT1(o<9u zg-;@O+Z0C&lJRZW0vvJ}3<-e08E_6+3!2-SJ6cMuw8#`(H8>6&+3PxWe zw844%B3pv1;#^6SUHMypW=Ab`G%si?iN1L3(%%gRo>Uh0wY9}@vd^l>;ZQhHaxt+* z0ndjm8&|9zS~FNIFDa(C;eoNk>J0E0TsWp^)I~JGWX9V7*G`o>Oiw4gpjogqy#*)Y zd`T)jd@3FMLA?KKf`2*;{u#jiU5Lsn-qVbKES>Ty=WFoi{ctv|z&9Jbx7}+$8Ma3D zZ!$3aAr-^55yN=~h8MgH^zaQ}FzJ5@X#5q_1qosm;v)dno4_vv$;*O{&hGZ+wqi?X zdsn%wxwmXTH_{zancEGOISjU@IG)acL3bG7N2G%z9uI#1QJ^)w+i z_)-+|Y|z}-+o@ypviUrdRJ#a&0 zg=>;Yj%T9LeX4fOD$XU21MC_h3NR z9iyjRUEzu_8?Fq4Ulj)58V0{Q41P@*{9R%2Ys2904ufA82ERTGenS}iJ?Y^e#xNTn zb8ZBjdG52%*?7!rI(>XDy>b)$jxhL7QrS+$TMadTDiwOOrRGnkLQ}V?;xC3(oaak0 zWIa*5COv$!!Evuo2j6P(+#3L=PufeZ<-T?#jDb^WljrJy#$V=}L5=B*w>4aoA8xaJ zHlRGxW>{|n+K_XA#GkXyHtgI0?EJ`L``BR9`W7$Jbs9ebXixXHDgFmQS!TW2WdoiF zApSD>_@n^r0$?-NwZ#v0dHJC*_~U^4PaL-6Xht-BZn8F%%k<+T^sG$ix*+rkKzka@ zW%`;h_&dVjmxRGT5eEMe;P@-lH_U803b8S`oVP8M;Kv}y-f15Jn$L7Qc&(f5$@Zh5 z2pi`Tx9fDn^t7w}f1bMfCIg<0 z&<$!)tgchiF5SNfLjMxzfOdaANO>Q;5ukiY1h32X_^LmH_LHSW@U<7fxss7IsQ_+_=DHPbIog}xm< z27(3t^c&x$5$z{npPi|>Bh&r%e+Yx07zSSpuX>IIR+sgr|Ml>(?9h+0MB0c=fjkLGi-+ZtuXk5VeoHRU$gh~t%~&|iUpy^p*mJw7x;x?4(mNjx1#o(mWk8FrLT%q`0E4lHvpg8 zLHnLO$!^S(?4R=_sVn zQUJ(0Lj4O0)tW-gJA+JnUv8Cre_keiAUBgfm>biF@~Yg2b2I59xiQ_G8`DQ~W4Z-Q z0jIrB0FJ+mGo)LC(4PdFr`&Q!xfgeVdpmntuxAW3QKpwn>)|$J1bEp93_v^Y0ybl6^i; zvM=OG_QgEO?gSa{+Mq|3JJ_LmyUR0hjnJFzb?dwGrTcQ8RlPe;vajS@(R=c=?Ou@O zd+~T581a|!Apa_$0VDSPVeqd7+5Yt~<=+g0e=ErL2ZPYx4m15bLCU`ygnj_M?I`o0 zRy?)bySR92sri&*7oJAX=<9-mCs z>AHEYn(qjM^E*@c%ev}y67E$5!1oPG{>OCjqc`-VqZs3SE;4Euv}^_a-Cqd zhmT(mbIv!S`eo}@-!&^wI}iIWGaL@91lPRf`(f~h0q5tC%N<-y(p${tf**h-`*Hch zAoP#I9I!16{^KCq9|=?bDBzh7>LIK_4H$~ohQZ$+1|JTCuLC@Le;o-^zCH}jFH;7z z+xcPe4S;9&+{Q5F7XZ$Wl2o2+RYu5I!Zl!C=(mB#6z^_vVAS8WNx781A^M&S(Gs|n zo>wBnLqj9|YnKg=tdgI$rL9!xUMnvqQCbh_*38?`TsP0JsH;`pT%zBP1%>^1{Onrk zT}7tZQ&~iBUDH^F)M`;#NN>NLWrMCo4LnCf6;yk3itF$>H^-N`XE)Y0sUIxSjH*hq znnf+gw-jI3`4o4eP+5*&vyUs`+^#j5Z+X6M zr|xzx(dUZ@vS2p-0)733Htm%~`n7j&??QcDCp{*ivRJ=%os|Xq)~2y3tt{HF z8F>*Jh>dNZe%f?a7Vp=rv$BA{W@Kd%f6d6sLjIbOmBsw_2Bib}@o4x`ZPFJQUyXd; zAzin6p#QA(%k?5XO$vcCt%qws!SRxBgSj}QrN~p`*;7}q;F^BEq0YR9x|#JetuLMhknwe*#yq2?>#($0N_24=UT9r)jXuni&JtW!D`Nqkjwod%!1{QYra!%J%#i8va=(MuqQ8*3z zJ*Skr`tdGIsiVYI-5rbiv0q-;zqqxnzrC|n-NeygRY@2BjOZ#j<9CT?M(~WEY21Wi zk=E7F^R=2?0JNvuuvo^_tuOA}No9Jt&`-hff~V#9nAm~>(l4?v7p)p=!?F7_qwhh@8A*W8QHgaswhWsCXb7>O& z!HsD>bcexv{BWD$ec7Q)vO`bL4m~3~^dGZB|7VR*?W*x@fa0%EUtDWFo!VNbA3)xj z!E@tsTj!!8&UJdX(bH8FTK`&Fqvaasvh2|1fO59p(b?ONc|fbiT9vG_S}R!%!pc0iOxG`STd1(^^Vhz5Jn`1?3L)3LGY3=s@L`VyR7i zGAVlN!#X3g)$u&Pkv8c0eyGbm8^Yil!{D0$=L~z@$ng3VBkP9;wa!|VwAKDHzkVC^ z^6bznvO}-*LtV{v72v#s-PJw%>5cNDDmQ66wrcY;y`IUf4SKa7>azWs2;SIby@uA| zJfrL33ha0c*Wpa-;Vrn+aUe3<%Xm|tpYd>hP)1y!jL=RyDV`S4MwyXx;I~v2g=Wn+ zQLgS=Hn*z}5w!QU;Zy9Vx7a^+NXEO->STH=7&S8F6bj$M#ytDjN{0I)hJ^-(2U9UT z5HTz;Fnl`|!`CB*6AcXCNyYGB#4z8$@Le!?dI*cZ7g5YMP&|>2;^By*!9ek3I*M%( z#Y_XmQ|TxkiztpYP&}QE;)#f2x`E<9tBB%Y1I0e+D4vffrWhy=00mu9Z(VIj8@ERc2O1b&m5Sk|h~WSO!>gle zG}Jkb*b!0eXP|g}I#29_`89{DeGC+DNJp_>M6u&{$r106bQA|f6fYSl4oydKU_`Oq zKyf%I{Jnch#PGa<;RqvxzRHN5;^2tkR|bY+x@sH}Q9Ns)I5L$Hher%QGcfQQb2PNx z-LIxb6weqa{tguQt6qy6cf|CF;0Xi4v@`_AMg)%;2#x|lvNhu-%#0Ye85sC0?4E&! z3K~Fh(;t$Z`U5y%)YV-HpCu~U@BWcv7=k>$xar}m$VgT~mtd(NnbyPoLFlgqp&tlB z|F0nQe*~fbGYI|lAoMqa(BBL~e=7+6AkZle7D%b?8tG(BA_(pyK}( zr2L^E^us~u9|WO)2y{xf9gDFU(p8}jV>7Nly)R8Xfx(&hcz@$3np3E^!B+;VKhv+C z)=@ocKHX4*vp~UGxvvtRi5R9D7*=^1$p14in9yhYtKfp412`M739o}8G6olZb)>$* z{c{*L>(33U`x-Brtw-H#y~7Q@AM!G=^3Q_7gkB4Dn%-~24|}!KexhmrAqM-`c^PQ` zufSkJj{u$G=(EAs2Pr==*Vi%hhY%;WwV0 ziptq-4>ok$cls%4!SkRn!7oZ>zYTqH5c-lJ^rc}H-x9&w`VwCVhr3j8`Ry{mxo8I8 zRc-BS!AFlu-PT_*bMagrX2um^@GHaMSB1g10?x}xtQ2bQXt6&mbouY<95}AYf#Y2{ za9o=M$GdajxDFh67E!=Ea@iW?`W%e70UT;z#&5x@qtWos)iK@^h`%uq|6br}pZmmM z8(7~Lgnoa7Zk%gyn#yu{?gJ5emh&N)&GHWhp+6L4`G+I)9OwMoX8A{g&^H5(zd|Ob zeKb;CKRZi<-4dy8%mV&cq&iza{df@i6G7-(gV3K$jW%5>elZo=cByt}5c*3&=(~VU zGvT$_{^daY-9gsh19XbZESvH71}VQUO!-&+a8}KAjs1gE_S>$Jf9N-W=LH-5(J=Vq zVeluy;7^9ZpNim~=SQyl3%>+~dqUv5)cdW!4y54ujaQ3P3|EW4^Hb2q?VvEhe{ZaV z{sc;B^YkqMq|;5vl=Ix@p`myl+- zy3zqDIb8P*UKLfvu_WHtnO>dJ4E82=$OENAo{XI+ye2Zk4W{G0-6bL^qat@*a!C;NU@KB;*b<(up0Y; z!-PK+g)Fzv0%-x!HVfVaILq8W=&rMvTyZ2=(zw&*i>b!C=!2AQ#g5-5AEit;GN5vm zK!yV%Z@gq+IL^xefx>}cFrjClkQzLF(gv^d!^uuKTg>Evll>H|09{~$FGeBD?cK?R zek%$+)%W@TQ|Tzg1=|fx_-Q`{D=`HwFr6x&O9$uJXu2=^Ce+a518TQj%N`yEp9VPT z-R);nepHz9>0$7r!{C1pI9`n_oLX+}UEH7PM#8aS7Q7j7-x%m>`{M%fGl0+D_%pp| z2sr1c#~T^q<9A|G0agihV4xPy_{m8{Wlkbk#PwnDhA{Z7FnD7ayeSMm8*qQ$q2p&7 zW-*thLO*6fZwW$QmKxn)u>47&(;TzgVEb$X{@1CrKWsri2Q>a%{hh^x^Mr4z!0-JO zY**TEg71UMdn|Cl5AwswPVKe|e9uoI7LbCQ9=?nWf5#OhCG~K35c(@Y=zD-p;d-0t z_lCjmtI2j6p@-S&?g-dyZv!0DFL_O}AFIYQeWIfSJbcM(3BM^&KX2YF-9|mlcDEVx zNLXEd69)ey;Iyo*w4~JLdfR89o)v^{iO>yAdVTb?%j@kyx;ui<0}bf%*M8|jyUsljw3@qUYc_ZlBhXw{$_pCa1M)T^C z!Qo}aW0njKuUb8H=HKh8^t6~mkEo6s6)prJ&8)$k2+3$s5PESCx*UXlYY_UBAaq+0 zx;+&djWda7)s~GbR^t;M#qyG3i7m#ZI%B~oGFHsggowCq&+`ipdVJeyC%@ClG~Wk4 zBueAuJ9XeQpXtBR^7+%blFv>gX};JjY2IF88iUi$$x#{~FWvcCElqtGCkxD%Z9CH2 z0FsV~s{u70apn8-D0?&Z`LgQmf7-bd$->3_$5Bg-T-;&ckR=(kV%JNk6Mmo_IC4Ff z`XyAY_3G2%q19KP9CKEV-6K@X?rA7?s8CB^@8wY4L2m}tE>0JwY+EPCTWOfCR{7MK z!Ox1g{lTA-bxZh7&!cUX3!Qa2(rYO%U)&DLqb{6+xjp9hhqBb!*l)@~i{Fm%BEb`d z+o%4PTkhpZSzBi>R2;QQsIKj7?5u|6LAuL1{MA>}fn9C~CnWAZrfxm>+tzQXpTjA^ z>6P2kJkE2R__?*D?3R9i>=6<)((RAk(@+1rygsgKs~?qloPgCG8E5BLCq7-R-yi-N zn}7V^MV`B_tI;8YVLHTr&i2<XP?)4z0M16ce`|XCH&)< zGTm8yza8ocg_Fa7)##t~{hhbAYJG>(4u5TTk3`C|hqL4QkElFaKM#Mf8t$IF!Ykpo zgU|fjT6T{=j`Z5$uZvlNza+_9Zww1?AI*Jzt2mrJ$S-jaRRwaWeyVf4&P%FJS~?_Q zsXBn15($W!Cp#gke>f%l<9b5vF3C`%<=fy#WpdO;RSlJ>i+Z2TS-D+eX&$7By5vFn z3UTaBb$Nwcm_cpgxcxJ1hPP?ofJ^wp?9*E?%qmY|gi)v0T|R!~(Jub;OX5l^agH+k z!&!YbPu3;;XECMaaIIZZs(nUl7X_K_<#ZIj|q-?0|c)BPZ zSCLLZ_pQp%CCs%wFb>fjl&~~mn7?xBApDhPshZm*v>fcRBQXz3)McsB$y2lrbF@(+ zVTV71_KTAf{!kvcWM$vN;uWB&@GW@s6V+n~pK0|ZzVMdQ} zM39!t>W{-Uj-pY^gIe4A#~|hP&6?@zCc;*Y;}mMys!5DOGzkT9_MpV6K=nc$GOAY7 zqB`AXP0N>5ZC@B0a$ZM6bPi?M8t4)y-$lrMSpFaxPcvfy);%b8q<_uTUI~s}l95O2 zCk#uFCNdI`agdQ1wp9Dv{l}d<4_0l6H;U0lg}d=juEZbWl{m$uDcO?AmJ5{NvKY4( zwa(e;!Ywq+X~zv-iK$)*Pq_&K)aV258zhyMO~fj5l7UXW9gpL`hH6%M%?@-dFo=s zdCcuiUjIE$RL6P#=fbjR^-6P>|IsZ83e-yvj>#^Na+X*fCj}8+)o$zTiV)7_g zA#uI$98bqf5Au(NVIu1V_pY(WErQwI~j!gfh@+hdPNnB@&kUNfVF^8HtrU ziKoN~(u83uga1@Qe5lvfFOkq<&Twi`kU$Cl(pj@%dTmqMk$@yJjCG+D!^_@t!xuQ9 zIyoBoz>b7MdO9Benjz$2NLe|f+TF{cR&Bhsm?$@_36= z*%R2dyXV5n@AdH_;h#Faog(^hF>SYWnDOGE2|KE%UwQZ=j{`Zx4mt7tQ~V_(Wf)6U z0&&W>N&*KZRTo`tZW(^VbhU}a!cF2UQg8aj5tJfDW|3WOF;8GBRc85RBQAYr5JueQ;ibL zWXP>uc9|Bb%LeZ&5eKtEPCHbsof2EU9RAPq`ER@U2R8qpbhOvfUE8+OE`JbzD(BKD z2ECu=VTa!z>Jf$5GHOK4cKCaczb^K9ka%nx? zmRn3zM;L$y1iS-^qNv5ZpjYhgxY6$}(+aT%o*u}=6fb^G2$m) zY;nnZ0bb$4g^R--o=*Qt7cN>HZUf1ySUEs%c0TNfiELg2b6V6bN7f^&Sqpr9bog-< zrmYMH?neP{<`?! zh`)>!&hI~u;U-xXNm-H=(m(r51rZe2QOamkd!&H)}DQnr^Dl9+oX>AQ*S8C-%SaU&pX=a0r)EGVxa>dkxlZ z)i##ZCb>$ODX1~*xHOR)C?l{+e<*S_jPyWnr1-Udb>teZVsVL{vckG)lVMJvw~Ery zcXnb-*d}_@ju{+)O(X}l(;q?z43G4Cy~86X;)4U53Haor$x$Jp3IMWtL2R*VjxxaXcj?Zl&}_&Rzcng`iC$y+I`cq2>nH0$h_7Zf(m7y4$;nnBtD+?F{>I z{LT|ET7;GD_HK%5$m-p005J;Lz3Y~v*DI@r1NCM{tsFhPgA~2f)P4>`ktgEJmm|7- z0Q0;vOKneHwF`SN@7d8v4nO+!?|O6=&VM(8saAIqcSRHHgXN!Ioos@MOxz4JC12NM zliNJAp_*A3)_)ssdoFmi||v?>{n9!&9=rI%O_5XYWf9>vt7>@6_Ryp?qhuVLU{KANdNj-Q-Sh+~zI5}Dz(wH)_(4VHtyK6w|8aj7 zq>wmql)FJo#9$A_pzi)-Y#?em1XU~KKv_xj?nr=pSsg5N6%ADHf*G}7NWgS%FOk3F0%`cNLB_*Rzg*{eTk8#NT3 z0mNmv2q^G`&=E*wEA3{J^r7m$h3&Q~+N}avRpJRbGoFc&#~%5z?eN6^ zO)FkOSaHU&zjN_9-#L?avMl=u^LpfYR$$$V?pG%}>%#THtAEcte+yfmVt#@7E+$03 z#$#rL?!EGKmlnMVE6M9cY+K=a9Pb_ZC)geo5*9rFH9v-X!(kqOVSF&G5DUK2rSw6W zhMtJnNI#tH`O5P@uzRp)?g9V5^&gD@mlJ5i#dt0oq3M|os+6z0ZJy8G&azY6^-eXb zKnJJyXgZ#biGQA&AT^y@hw>FcSu>)U)-=F8Iq9XllGUjA#YRWbOS1KCneRPSsNBX- zuvYs+(za10)zOr!(o-Q!MHc1l$lE%+5KaL^Brl(H0V1P;D#YX;c05ihI(8WNt z($Z7yY&*!oC+McyI@wq*q2fT9QpbwdmApb^sB^Y)qJM39%fb!SMm=0ODZ96|Q3&sC zsYH+!Rkn<+G$A1&rwpvL=_lVpzU&YruY2-w`I{^Q6~PYP1nF8oI9^&)sT7E{rzz_5 z~K03E!uz6%FFAea$eIQ2UxM)}~>G6w7Xh-j{*fb&k) zpwBu1JD1yBihTqx-9W`k2BDa)A{46-I)8F}Tz_|sxTfexadC`F+a61hWmM!@_8Cg- z(gsvqD_iS&TEelLWyi0?1nHF7o_)!v&o^gR3Ws?^c*ENk`HX9kyTC4DS%T4Z)O19bt4J5Z5j~{I zgMX9h^kh8d#&JLC{0fhojC?Mg2YJ)+As*B5Xfm464^bhX572QYlVf~_=Ck+_$+}dcv{oOWPf3d4&dcW`YX+oAB=e|5&t2um4wk zjNhkysjWf5Jg2jym6Md>n235a+UTL#WL%t(oF&3YXmDhy%+MB)(@o<_&|R@_)?$xj zg~H<&lMJDAfzgOw65;;7HpKs$l9AVAKHOlobYiR13BEAyU?v^6X}4o)%g$Tm-a&l_ WW&f0)J7QZOw-g{eTE95oc) z0mNmv2q^G`&=E)_l{TB~wgr*5B~7bVX|=FXQJ*UBjAvrxu}8k79iI5V{0R8Yc(S`` zwun~{qd4~1-?{jl@0{7UMx)UO7?)3$jdH9T(LQ&wwJuy9yni}p*&EpU7~?aHw=p34 zC8oI%y7S7@Or!4IGSoU-JActMyk+5rYNGBhoRr<#*vN(V zwooF-l1f{|Mw*b2kW&U$n)J%IkS|*V$?J}MT>UDGKzXpeH$l3__l_6Vlqv;c@H9nz zkzArv_-7E>d>L21aH?f2&1)wm+aCAolE_aHA&QG zfj&b5wl2566#EEXx}J)q3_>woMaa)XX#LT_L4VcO;*z2h#l z&TK%%HL|g;qZJ&xT6X+NOpsQY&DrOS`gDDEp^!+Zxq|rv--OZEz??KIS(doxp?n$xH%WC`2aI(2LJ$>b@2mH;~7Ws^W$W36Eu_(akDr(xNU*N(cVQ6-j zW`DPj?o5yF&bV>hPCCE9bd`}$rSl+fIv!)19Zsf`Dg77~^7%bF&UAW!zrOh_AAy`f z(n+e0B*b6BpHZ@`hMtIK=xOg_`*D1E4?#~jwtZ&XeJwB0z)nn1p}UTse)oKu@b6%{ zFB+wX-xVL?{kSW%H7J;;bdpe#&RKN%mHCC2(a6R0#!J z5d@02))p%hVouOwlM-PFA1}BU9QJEUEcGZ1h46vF;ojCp_*i8~0Bm1uatv(O(b#0G z_m1{UgvX-KNg&-fU-&;HEdHVy;19j3#QcNKiza@^UU6Vewj%4iqJXw5Y-7rj4Cgf& zyI*Wa9>-E9m46s)#{drO9qmbq1z57b%gHB8d$Jyy*fHQ26H<3ICSLlRr zC!St$ZyTUI!bJd5I4;bs*;&a~Dio7lFDORuKE*SwCQP1Yo|Ozu56g!2K`O62HBPAW z;9pAeHLPyGNOT48yug*pp_j8+`dkcPE}*@9*&vt6WhwFR740Hll_=N1?r12*S7>Rj zHZFdvdRr`)H$XPMpRO%0&mq@hDW#$Ot%qzjk-GWNzL}YPbp<&EC{77oM!0p#k<$ulNK1Es0U?DLwr{*pWwQbd~Zmz9N#0~WX zu*|1};o&}2d2)C2(9g~Kymr;xaaWDIE^o4|$yKT0M;UcB=9CKD3Z?Mfj6qPHV|dYT zp?65yXV|Hx=UCA=huM*4{#ZCJ95(>wi{&U=qNKA&-8UL%oam%!?i=+@+{QEp)3e#g zHMEkbrC1DcgQ`p2c84zI%wK9~WHvTRS#3aEjr2Ea^>P%E*CRMdz|>jUTc>{gDOiak zyAh8dDaf~j17c-bUh@F1@g&94)jf3DWWW~+AjUL^(O=1*6@3^;PoUximYejoNQJuL zWJ1T}pAbqCcVgu9yFoF1T zyx%eKP3Ho8`laa|;9<9IzANL%Y(b3#`y~1~K6taJ+0@tHizK}BL>`e9r}l%-&4HVq z5(pNGY@LUGZIa#X8+4}HXA7oBa_?#J8^hPGWU9qSPaUZm#i@L8lQx3ycp_Z!38n%Z*q5c+{gV zCmsNAor+t9p=3YigO|DCTkTvQJVO=KEnOc_hb9-htS2WJ=J7kv6}6(@v(CKEga_{Y ztDEXX%lCh^po;o7sN$G3mQCC$HjtKT;YB{H8Ltlel^oB%`}@L(A7h;TCGu$J%Gu7{ zbXtyWY&=skwYbR>6_)z|kY?Q{As~Th9hEc6znY&p8%0}l9ssZxG*_;&&bAFrEOgg$ z=l0*djDSQBF`*#IkP&5=Y^S&II?!Mdyuh(vSC%nl_j khBuXbX2Lbz6339sx=)|#lOg+0AkNW{dri&OUvIJe3o;lhYXATM literal 2114 zcmeHI{a2C)6bAdU+$k-~TAHktZOy4KrjjztY+1UK$C{v%#4;ttG*Al=bD3tP*4iw= z4=n9#16pXNMOaLMEVN7%bpa>BY(hc_8&D3`BouLB}BWt2xu}%eqP~jmmv>^&Y&0dEd-Z#rIn{^%Xt5PC|nh`L9K|+qK>< zJe}@qZfu8E?)RzeQ$s#Y$p2v%fSlPUEMGOTvzSjwtTM=ho=N)#YF4|S)6w&Cbu!|L z;>}7!AbW$dM3`u(bis}qp`&1|p=1gK;LnL#C7^a2ElPwocaFj|vRo}SjSHaQ-f`== z?jGDu8#ymHmjtNIwK7XEC~7zrCgf^V5g;?;m?-Vmv=VRZeJW;{vZgGMmX?u?jd4?& zi@2bft08eUCo44_#5}lFmHbN0Gy3bqyy0#DzoqaV=R94*2i&&=1_f`1Qt8t(Lz6@O z@5ZRp)O3zlq$QZT-G z>M?eTm7f+pSW(mJ7pJtQLgg~ZcDrGihGhQsjJzzwSw@@NT*8?vJ~`~oaKgTcedq01z?kHHM{^SAXT%3x z=zE@2)D#5L)y8VNaNgssixKF`u=-Ucxftn@zU2|a9d=Hcm)?gUN7n=gu1-y6RtmV- z7YPHAdwgpiT6_Bx{1NBfYcXOS&R91bQ4*R3~xnc-M}Gn3xqvni;X`{4D7 z1WE$q1(2)1Ho24p=6n^fFVDE&NWiJtLYMisJFSz&3j&+^8q)tHpgHSLWI}>ap~HLh zZaed1D~JyWk6~5#UUhB0{u#emzQTOv+>`E}8~7iQev_$gL8PfLS7qr0xp;br9?*2S?-^QesVO&wdO8#`mj!a6);uJESJ89qI84y=08EGtRjX^Jn zz<_DFW97AF1=B>z+A|{~*bC|_wTz;6&BR=H{qfnT)~#Wfv+vYSh0Bj3((B&rAdfey zE)BJL%ZA)3I&a>Daby+g8W6?Nn?`z3(^ktywecuhet)KF>bb zXQ%GH=hm)^^6lHVDi{!8`R$t}@Q)cNRg4`R&24Q6elgH7(lHVUI-6S=5wQJYAYf#q z2flwX0M*};1k&bC#snfp=1#!2Vsc>r4gt{oSA0`|{g3>ojMe{-?gnz9jgW~VmZZaA z0H5?*DYA59Oyqv1^5UD6Ki<~G#08&=DO-at)Pd0eggk@#UU2Mp)FcWjD$#p+1qFp! zp_n^%5s{(4?wR@d`3~XXW1IHjcvQgGhG$nzxsI!uOks%YnufCsV~;V9Hf)%+&D!+-oE+N zFjJE(t3?0GQHG~LXPq^O+PV`yEn&It;8pw!jd$mq%#A1SitrjgMN?EY?;+v5ctKl$ zy?S^cqI!v$6^@lC&=m8=0!FKMlrm6z4l$?|ehF_j?HXMHS1Ia*AaJ~l>3DixVltOB z;g8qj`^#M`?s?y(8^_7vb^l{syWL$@g{aQ62l##vIef*D9kT$rL6IH-&a%MwbsaX* zL{DZyGi$?I^Ls%Rd8n^G|8={zne$nVv$IwiTd<#({p~kA)dc`@2Am9nF)b=UU*QhQ zGe_u8E3ocs9#JN`xa=W*1AFu8i6w zBLg0*bEE-670Hn_xLWNOkEql5c%2_tnjtFS0oa$VNILnt;nzCCBsvt&5S>oTjS9Tu z=N1&?I>op7IMsDlJe|Uu29qqp?$M`XH~1stm7IhNkx?u#9maq z=Sym$&)~}&IyCgWFm&|TL)Q%}bj_>ParoXv=7mWz^`t-0AJ3a%V3+rJS|SYhcu

  • G z9NkPwt-3+S!hYrR7|@Qr|8VJ?{e{7#o9CauAvB%k8G1d7^v&5=UMkVArO%3?JfJ=w zwtM+hEA&@BZb~>0dc$Q?``(RJcpV~z-DAqJZmO|Wu1Zzr)`&t+ZjBw{KH|36xS1m; z4tJ=-6R4ObS-vuLz?RJ8!_fV7Dd_|n)VJ9jgN!A-CBR;)YRW)cvI@DSw;t@W;CiD6 z-l04)dJZE2ymgiri1Qt*iM8(EqsmXCj}X(C`UT)P*|O0w>An`95@WbcE1`p;OWfeh z*~ki{5%iXaq91`itxE49%{%eTqIMb0wyWLcgk`Whomm=L7D;VTbuh&c5ivE@--BSz zAZOTK--({7q_AhxnjB7o>~f-r3ckDzE)@vkhI-H&CqzP7cIokTdDMmvjrXa|LK&wy z62o4|E#K=uc1aPX;H`Ud-E&Q1psL>Z$aO8e^Dqtj)pC%I>)xhp@25+Cich+gq{7M6##dtA&u0!rM+5&5C?h3!m!>FhryuD#cX9=P7{vB zUi<;|M)9J--LeF5bl;hyZmH6kgjYE)+iQiHl(kUt7wsD%aa6b z>pw%DHkMN=eEmi5TrBtG*Im#IpQQ<`(<(I(bqi!Px-{A*d<5|KK(&9`UGv14zE?gl zo-d1$B!!uoJC`dT5e@!K#iHTuZbw3)E5+x}dD5+_zUQrY8R_FouVXFWOA)gescSlC zzz~yoQRY%%sVk+0Z0Dvo;6X3KxgZ~6e3x~rR#42!m(JHtcQH`cihmDUZ+xipeqxAi zGX69E@sLYZaO0f(NdC&TH$Gm5(+rNDgCWgL_ia-H7UBx-rrTvzNsai77rb!#Zd4eg zh5Zt6swKBT>TI|w$-I2G&l-=^>K*xqXFW5T&>nFt?U`?hCL(oEXy{Rxi$ih`f5^J3 z{jJUE`=qFdvx%sdBo1@aOY5NY?X{}?AyD0Nv-UK2tUwnpdiJr1=35d6zI;Ij4_R|D}i6P<6cC)16C=>HyemkmplA61lcSKZv#UM^&UFdEvH%Qk2=s&a}@OA zsQ|ATafoFqQbC^At~Q#v;%}Kn=F45X0U!$^SaKyVv}CnFjesR~POT77 zxmhpyJJzFFjcLqm@E8@ext8X(IRaq|gk`B-r|DT9x!d$kH2bzL&ICHlkQe?oD7Gj% zW8$Ex79Wm|@OdT5k?GN{);IUbps5QIeM3fo5%El=)!;?|>z3Xu-Gp|~)K&lc#?A$1 zS{I=@leOB(KrS6Nl8SQWK}T*u1gic{su-vT1Z!AgrZXIK8_wIMSupC`kBvXSxzyLA zn6k;K5=p#>_o&iVP9!JVkWAZ=Oo3$wQifKTqgL2*J5tTK>|m%;99T#4L>{uf#-MDV zIaIc8fCy1Eqw@D9*5jZoLxxIh0^?n^y>b0X_Pz;GuMCBL^I(^~L1{HY^B^yPo;n6i zGlV508As>~8AClo&jEkE`28;l)XWQNoo&(!7_vFs1sI}=8_~b~Q_V{OS_RSCL`s8;=Z|UFHZK zAY^x$g>tUD511pop-R**1lT7HzWU73JP~9YR$T4Uhq42r$hb)m5vKyAxDKIiX!b}3 z8=w+@P7^5#a!1JjxS=s11ro_XLIX(H{kXx|Cq2fX=NNGtu)(8k|F&W`#oVfR=v70 zSPx8PtI|mOMgu<&6I$3;iE2hhgAb-kZfV4O1HjUF@b{7q!U(PmI3)IR&n(9K%G#A)ePtQKev}G)8v}ym!{ugiuijM;>i;I89w-)n9u(FUH_j5H3Y;c@pCZYo9!Z6k0 z!`kd;Xc@t#X&GaXaFOmPW(gS~2UpCr6MktdmqeLlx&up z0GT#u3?JNJhbq`GK`RR8I3%e<_#vBREpd95w@^*S(o&6PRPtXy)C(EFw@>6(40$1W zxHGV6I-ze%S-NhzQPw&QMUe-BiDbyCkVH%=lSIfWlL(pK zmSqx6j`^I4$I(P5ppM$b!n&FT%5FA01=DZw;I;3HOS0Y4IlCj=I&grh@?3+tVh$6p z8X-evnjk_00Sg2?5I=!H$~1urFNCjUx9_)XV7KizPcD%WPF%@OPU4PW_X8m;K)P~~ zkV)7`Bg_^l40J=wL)udxlngaRqyZ9*Kw=kZk7GFE(p(*pzPZGhbowVfUzF*A|D-zW z2C9(u#Vz&~>`^}s9W9>_V%x>sf&K!Nl68G8k$NPp$S?MA1R9Zg4CQbm#zK@)18&Or zAw5Ns0r6LFZi9AfqF}0euAM@L3L0q{pI^m0a8po(ft9kDFtRa2lxo~v%WDJ0`Kn+H z2BBY#b@qhKei9CtC78A=c2l>80PVYj58!73{!6X zaX1lONe5~dWp-8&_IgZJ3M3KY@+1-RK&Szs2ZR|Ac0jlR@kgE{CA2oJ^>4bB32pcR z5+Z9#oU#lZqP`=D`r^OU=>Jq_)s~DLht%S<90sLRLQ_xUiA~yt2c?CgpLsJFcb)R8 zoB}%Ku`}DSAWi8KT;3dd;A~|xqjTzenPdE$JFfm1&SKW%MRdpFL{tNj9g8C*ChS_~ zhX6~QkW-&OWr>n7lY3Wee9;Lunzei~;~vGf=Bl$F^_aB`wJnHrd00mwH(`;v=2qZ+ z%(7*+{U5t5GucUJa%5Huaoe2(d{&C%NoS_e@gWW;{qc-DN66GThtt-C{4wqHiaT~Z zmu<1o@ubs9XBvDK+kD=g38&MRbjVc3>5O}WU{(vE;W4e;Ri`@8I1V%dd(r@nhd?6^ zr){#(@o0pjz}iM!-JJ$-q-NkqE+?IqN%nvej}pp0q#dHb^6D5YufN`*efH8ZxTN3e_XHobcf^+cRub4ez6kg)X_vUV~+2s zCDg%+Wz2E~2e>Hj@M<}an!xh9c600zU)59s+H{vK->E!Z8hx;jMa$6eq7`X3aEh?J zCSxuNlp?_1DHiwG`6O9zu1YYi>|J;?Duyr@ft)lKuUe2u!y@{pfmVcDxIHZ>)o@d2 zDaJBUA&^K7w}%sWfce`+g#5S5gJI9pNM$&(0r8dB(nNxa{H*Um%W(MAewv+!^{&lM z{%J+}JYOl|IaHChpIEXfG@4@ZC(bBl$+75hyj};p1or?#LxTqJ5|Z zBH^qCEhq_ak{F6bB5vTUC!vaPeR;TV2l^?ILQ4M!C6UCfXg4HcxjP!)l_D(>6&SB7 zFT!|$ODw}!{;4#INzOfz2HUUBB&EoaZSR7`6upi^eqyr1Vf5tUhSEN1U#bFJ&yocq zoxU=h#2xRbUOR6+QxVnnWHDViwUB z`C?TxmA+y%{~;a!A@>*2qWE@N@G^%CzXO=SdQ^nPBKwY&@EJ(pFM4R`DacY9VuNo2 zhNr@19P;=}S0-9XMu^J9qeTRP=QJZC4zw^~2b%cM0}Q0lW?vdIdEn_J?RPC?06}B= zFW~u=PBe~2P#})R00@5|@`30Vh=aZP7L$^4To|{oxs3Hm#KL>;M8q8pi43YMYYxGE zH5&M5G8f?nc5^akl?t}mhlmObGhJ@?F1T2cx}lI)8}lj)mvYNK&Gtut^<4h7g?!GC z8*o<=EF5aeNCn)0_#y78M@IdeX5e;fhrOXuGy%qt^=24i5r8I^p`WkMeS;PphDL}? zrZFg;YKF+HpHFD9vqPSI5S}#m;GhyHs}{}ihjx7~m?2i?hiqs8$rVc-_3o@3PI40| zk2)3ys*8|6$qqK|4_jX-aTU1{WOpY4kcadPW{H0G3rDCyA;azVg0V_BZU|5uW4QbrWzm?R?l0DmrU6>hL{iNd zn|isplN_z4jKosW>VUh|?{b0Wh&+hJBG1C9XgB{5@<2iWNI;3C24lQ(7)iv%8{sv2 zj7i0AF`CvLckT>Yf^Iw;C14jA2PwC1!9X^(9u;tkKNdW!8A-}EggVPMKsf=W15nxn zr7cj}0HqaBTFNpFGRGisE5Y0ny{87tr~v<8|L^V+wyqzc*(mzNs+qk$mZqWvL_($K zw{}^~Q{OnMn`yBc!`n{GTiWzuMEvXThuH>O@4i_vSsw+l1@ubi5zkavzwcroXNrRwrXanEGDst5$L1h~-zGs>+La^AF>1Ptoq8u(S(%aXdudo)M0-E zw==HiS!nVd@J2)w7z2SIB%xqh3Uw5j!$m_raUSvU-L&*&>w&U z3@KT4zgJi|h%=&Q)O?kv<0wiG-Lw4UMd{Y=`L0Es($3OP{q}}4t=w~f6=a`>xcPm zWUYNCL5T2uU+W9e?Fat*25b{Nb&tHESO+90Wuwjm zweo<-hGX#Il&OHNdS3vs89#;cTBF%%bZ4fVlvoNfg|5SU=q_Y;0CzwtC31tu?QK#j zxNhNx-X6%erBJKgwlaAxP8`eXn9iL7t!OacU*3Xnedv}8sqi7L%l+-yU4!tyFHY>D zR?tsKo0pO4cr%vNvK{kZGwGWN$@CRggzQC;6E zu$zg{@6Uas-_2Tk-MgsIAOK}VstH#0?w7i^nd8Jt+iOsZDE)bED#!!;LLZqOC2pKB z6nVn9l^a4w#7#TA!|DD|>-rQ!&5+BkZ@W4CFk_M0Mw{{7Yr`uR4x})wc(S0n4U}OQ zX;<(d?C{(5jg(s}32C~?Ogj8}&{R=3JeJVcqtILLyI$XF*Y$K!w@u!sC*2Co>!rcl zhefI8(`}PbNm%MkRmjtGzssF5{yphdzrPeuXZUqHO32MnJ~zKo(@&&lsH`PbBCCZ>wWQ{rNYTz@*8A*d zbM@7DhS zuDvZX1gqw#MPp-OIK~>UcjLF8H|6^%H_oIZkuUkKL5EsEqLz!0&%nB^eP07X^CM{z z{>+4c+m+0C&x0Ohcm5^fI|aPRVLi-R06B!6z&7csDy)@Ruh7q(2G5U+weiNaJLtPi-Pgln z2Zm|G>epnoNJ$J9S3y0 ze%(dG5@1QHzBmZ88HjJz_G78PoFnu{g~v{p9cXvTLy*=3#R?H8#5PQsl4+#_U%rS$Dwo2a9{p%+HhJ#*C}nqHvi*VOuS zf-;4ykzBkGFNDogXNKr^DZIDT;*KP>BH!!F>k&z}*5XXbSe&QhTiDBELpE{CkAwY> z1vf(PssAAOG<9YmGC`*ot&hdc?}(5!pTcBF3-{drso*~Vu~*ce-XEqPdU8Vm=M|0H z+hp;_A+g)sJWMs2koya3)+xEJPJd_Garpscn9G%NsUDk26-oM?L z*x}Ws8AoqzIp9_%xWe>ysnu2$xLV_2N}g2jHX5F@%t)T&Jd~t4rH%6PqQeuCUnNg$JUTA(g zQ?K5ZaZKZC_pAFeG zUd&6L`w(AaO#3I)PF5=!$U7NN_jNH+`!O088aFDuruT7q9D2VbUEH4Ah)wby23En8 z5ZhipGXCbTSA6V1w*C|JjqsMgxg0m(Ew>p)XJ<#?8l`NA-C%y}H!R5YXv6x~Ab??k z0y{7hCN0g)r~tte7!n^$4<~6oLa$O<7K8UIP(_rkF17So{Cwew6c;seY zX~OIyR$Kc#djtxa|5p<6zu816cYZDWzJx&XU3;Rwz(mPToFzAl`i`QY*p=}48y;O9 z5Pa^F^N*9K=ULrzv27@)dofqcPxBP!>D~QqZtfP$ldB}(nTQWUzWJQ*BO-XTz7R7v z3!aX6KByJ)RQ;Nl@kj5b{=pqj)i(xgZgn}}R#A6&FtuuPSkZh1Zg8Dt=S8^4ewM~MYb88g}u00DtJ=n`W z8+wC#+IQjcfb9@c@W!c@thz(kh|9*qX09^KG}61d9erG$5^Pf z?h=!>!BLp6bYZ;W_fuCp3L2ec>Trz1r_G^- zYG(^R*pR#G2%XM@OEH(to9G`3B244i7rW+a4hMYhYrt^vq0#^sm4MNwrU!=Bcy z_ugQ_JM_O?3NG|9JTzH^0Cvr2B(puIVqeKiE?3}I>WYWk!BOfEvPfT0H!v@fVauc2 zh945yU+`9g`uiA9+u)pIc+>c0tn{NT zeAi4zsrVlu{7+J4e2+}u@T)^(Hb%S!(I=#!V~PArctFuKbFK36*%q)p(3~Q7`wM)cz48fcf@)Kv3W+F1NcXv zWaJzrE|PqbdS+sLAZKo9VBiN{lOMZRW{AJ7r!4rheo4 zg72H$WA}Q#Mav~VYJPf2u}UH@`rP!n2N>6TGc|fe6(91A4a)xd7}2@lduG9TO3B@6 zFK{zreTATC*4b|zF)bi>zoN?BZ?0CX>*|RZA@Ys+ZBBz4wT#&t%y*n1(C{9aV_i(H zzV%(nYLX?a1SBEV@y`$6GHcmAo%6mdDKzrq;6jZYYomPfc%lQ2Ue-WY#UWo9rHy2_ zdg?HCgwX5bgZ*Oux4pY*a935ZM*7uh#B?MCvdW1pj;PmWri*N;Zk!;c-rm@&vu-hs>8#a!J>sXx)% zKZiX9AlAo&B+Dw4uEt&AO(1 zEYl}f31h6p-*OW~jb8XGxqtnScw2*FDu8^GsK}lIT$Qx%G)?9?}7V5M+&g}A`njF01H)}q0Usb(biW#Ozh-7tJ2eM8lV_mn zEwxelEmYz(ZEB7v6MgJ&Z)vZpUPFrw>GLW}EKKB3h4zqSH_Wg^ox^_QaZ!gMXQC`N z1?nm6LLWZ0(pnVRO`$@lHky;6PWk@N$Wk^eX*tD|D|jC>c&7lEKZrM(0`E|TrOZ9B z-}!h#_9$>3+iG?{6tVFSelD@DT02O_;Kas+n@=-84;Jzec;3x2UaDuW!4%4o4L6#; zS&Z}dh2#c)Y#x+W32KG&v6AtQC}@ecIz8_jtS!G)nw1(iYtgNCE<-Q%_-HsB3zXkHQx_PI#8qvhE%7sb3 z1rK|rWqeXmU1n5|E4K3jWfl5g_3hvM8t_Sb52C8%lbA;QY~xuI3$=@ED*9stlb`3M z&6a#r9mdbvxQi~A;$_;gyzUlC>rLcEE)Dmijit@!KB^WK#6=|{P2Nu(+P_+J@RD3M zFT;iBD2u+xs4hF^FXIIK_x~K0Vq<$hwHdF*OovKlxU_k~-7SL8otgSG<6jml{0_b~ z=enzxu3Q$5oNB|v57oJdpMz5{PgtagGjUGY$l{}>y5w94TFg?!s^Pr;Hrf{LM7T-s zdXg$5I9Cm(Ev{O)h(SqczHP4)SB9|9MRLhCMg6OiQAu#Fd%u$il9-d{AL^};dFowo zuGqeNh+zuyAhz%GV1EN;iCCW3dhlM#c%o|KBn{YJ7vit8g84lAw$=3rC?yZu9>R7V zI{_CW{>&)PB^L^$kvt!H{sk8jWFgxpD^U6QR>XEBlIT6MD_Hiv5n2ym(4ruI1rUuD zE3{&al|-Yu3#S~9p*{tO#1%spIxta;3)O&=ZWqkta~5cPGv?l*Px63RcMR&&Id)t& zKvP%T8!BzRYx5$^woUA)Mhe}3X;o}nU^A?30t5@#&fjrxm}u~0-ffk7c(zS1AA=tz zFU1~aT^_zfG2u)b!StXw+*XIM%8#gFHg#60^*SZBbbAmbW+ch22|x`6I(W9^IOQR_ zg7nRSd?j^IcWqrwv5lS1d(FTLaziCbqV5$I4ssdpZD#rCP*UAgr@9p_%XQ9BI6_Z7 z;KS9-Zhdd*-ErchB=0XOrRoJ34^uN-9x%R~&Q+E3oBYAE1}|=lDf9Qg{d!n~)*?sF z2M13YppplH@jM9t>G`B(9ShjqDs?H_E7`2PxB|0gDJ+lkfEl~~j9nJ!l=P!anEcZW zy4^cbVb#p9>1V6QS^;e{qq2jY>b?jGlLv~LIs-{Mjl4Z6>8T*S&cp!2!C~Er*f>;} zTgRE;Zy+m+`<1P}1@)BW1}F-Tn!7y?qNy1x%q@NQPf%l|G{d>iMnPG;R1CJ`h>QCkHeTFb;DBn@B2JiM$mq&%Qq`romFj<7G z$OSG}IThs~>Cu|edRjt22iLX-s&R-8dI}Nt$mC5XkPGXuk2PC-DB`&Y8)Cg93jMcC z2p;a((YjE7xBg1;5oQHY*aPbdwQZc?hgvf2As$>R=+d@a%4)h@roN zK5fso9>PXC{S@OMZpUVb+)S43;ra|@x+OKg*N7eJqb>ZX*=iBLL>Ft)-dBGmsqwfW zRj}VqokBlkyq79i>(B7gobzM zD8$Ao%I}>Q>{X+q7P={fZ6l+yX{(C2htq3sk;yrAAvM0#vcchVH6Sjm*ML}G*(W%~Z%S%p z3%gkhudx-;C^5D&5?!V%6t>)r5!XbRb(o+O(~5nZ6R&My7OAg(1cx@e3Yx2YZlTOR zPLWSqoOCkgTT7C=jcNjq=fa`rF6!V8|inn|}n?&+uP?=f3A zN>7AqG#03BG+_HJi`2h%K3q`q45u!5Wc6Q?W9XVmLwMvY_U}74eS&R5UXTQ#{m&IN zgIy^f%DUz@0^qk?0%7kvKIeWYbYyix_&8;EF?bdoTW=YhprEQ)V#SA{_?X?@NWtezQD~LkNrDR_k+CZB;``lr zP;4Z3cU@wbI1d<-tc?RI90& z>${`)s;6B`Io`Y3KT3*H`CAGwQad)kSpo2rs`_^0mZV=;imY%~h3lz@J0>lu-mr;> zJFG!>P$;sSjwuVu^D&MIS+)krWG&?|(Vl{1TLB#+tM6g4^`qFs`(>=oWhV)Qhg7gO z?$`q*|5}w-JJ|}Yur|)4jy(=`uS*2fhBI5}SDLP-?etYuL%|Y)EUKT?t1TS@8EICE zF1@u@_(mxs_(p=d9C12?Zh7f0vi4TIvvQ96i(%PdgPg;}@3kx1U(ESVo0Du(cfGq} zWpqBH&fCcd^=&0KPoKc6&(r+_ZA!2vzowkEA+zR^e*Xc}T6UdqF3mdiwbNc^B)>4` zI;D5eUM3`W>R4#plag$i<(fjH;=?&;@3wE|$EEj5JAWNs1vLh!wpQC_(#j{S)HrBw zv!AOrgb8UavrWA!b9(`&98Sypti@*Qt`2mZ@*563B)P-ZT49?*E6?VdaQ+~cS$mVI zKF2nPQ7(Q*TYiXY1n1zmd|~~M=Dv0hwd@?l95)N06#Oh##5K<`6KK;j_W=)NnJ{}N zEnYvh=`OI$6jQy~PASk`qL?Wsu53T?&|TnIC^z!!*PhyHZ7XS&j#+(sowW^RuqJG3 z`HBNgIUqAoOmyL%3DF;;n^jPU`S$v^W|xWseJ6st3aSb@0qp3HF|4HTx>_qNYevUO z3kM%PZMCkgb%3+?7^Lh=e4C37X7bj57<&76dh|yGwncZo-TtlJrSd@Ev7m~dHVz@K z@i3ktni^hbEMN10|_yMLQ7ypaA& zI4|k`r;jN2WKh>YRp}JryT*^{8w55Nhds7``f4N%^&I{)UvVJtGXk))ROs*72iAvW z)jqO;^I~^Uey+O$`3{rrvA!go!X++GPwtNv6`UOYJ@B_F z`t|@DT=`o=r`zamM1=u5{;0*jZ!Q;qI9)tLWw&NMRvSp2efFVe@aZ#kEBikMz$b+U z=C(XTJ46m8<&*8B<-9Oxus?Wk+sQ81M0?Igd%nGh zg=LetA7>N>zGR(gUAbj-Tb4k7)YpIQa(XXkqrUP;ej##tZ(miF5n0KX>AwJVkO z;4Sf88Owd1^s+3taXa7ZD=^hd4)u}hHvGyc76G8_@s3ZsQC5G1?EKnoo>y7T#v@Sz z$Uyf<{7e8cVR>RNrs1;NY)nc@OJCGk-L@7dzaN*+?`7Kh8dWLFXCF{z5KLJ^Bv8%vhk zZqFomQr|4mE!Ud40xv#QVnB~-oM~AOZo(6v%Bj5P{qY|!w;IJHLsaw6?X&xjCn|>= zUb%mQNOcA~;rMS9bx*&t{PxR7i4m6o>yX)qQ4m5#op4qakE zyQ)CR?$cF9kq3F{eoOyHb`HJMVnT9zYxPsX9eO$Sfia;L<-RaqeM93MIPTuL5w23X)yo4qMU5BDpQVju0C_>z zDh0{oT>e^E;Ubw2)A($9u{(dD1tDr~j*v~bNZXaZpYX0o2u&2I(h9aqiT=$mZ9KOT zksNp`?v}L}PUsKg;@8 z8NKk>&FEY-TYUK92Ns(j&DO}QI#n}PLZ`Yar~Z#+=Sh??omFGtRe(i?LK{<$%~5+9 zhtO&D<58-u+S31Ld)3)F#dvGArHg!f{dO|Yx{&K+{LGtko_^cUJb)9lN%;a=ZJBO} zC%3{U<+=EANS#>yCRYcu3D2Ug`J=rry5~FJ&6Rg}yUgbAkAC3YX6U(me@kGXcL+|0 z=i?HPNHvuEg$4h^6M=Kly+W{mt3dYUxf#gU8oEw*Q;=9;xxT?AJfpGjit?vEV*eb; zE~#H#4z)RM@9rDU)@w{Ze;rBZe(-@@et=_nJS=1ZUeM+^m3lTuoj<@XIT-~yBF@wI zCYWPRC!J+pHVenQk!zFB(`E6brBdbez1?p4dDfqX2qH#wH@&M?vrn^(>SO8t zhP_GU&s7)5iXRuZoKOFG7mEYl6MEACN)WWz)Hvo>~R6u7gsr{HRX$z?h zt5=JTv&$V@>N~3X+{4t`>|*XB*%6>TYef43u(qPJI3ZYOzwz zP1~>hTAR+V6a#hYJd(a*T3gQ0l!q{qp8@vn%jSjmG7a2dw|TAY=hBL0<9E_u7^+WM z(vK21S@!nxPQOkux95OQL3Q|IEDWUedNL;!K8qRbeFjK`fBlx;@T6fGZ(FNQZ9SKd z=cSjp@r@4afG45cqB&N@j|%fdAZ9uKOi68hqEKWS*krT^2#^FE>Kd*UGcJ7dWZ0CJ zZSNF`o{8~uV~88*GH3BQ61%$Kg&*rf`If}=J`-b4uO07s%0m!!tmEIsEdB;4kh&#! zKvuB+$|Tah9W8Bkm82Z)`YOr)=axoft&6SvGE08JeOykgN#=#*6eSDV<}_jY4=aKI zpjrv|fCF~iZzrTkf|0QZkKPCLli-Vn~0cNMIM&=pu}6 zTd97FQAJ62@i{sE0lvmO2d z)&KQq(>1Bm+}A46TBTkLsOfS~Gq|muo&o%*biB`C=%5ddTC)U)ZUUd;OS{&d1kjuK z*;*ZV2JXh3b!1C?ek!oS?G;Mo)~bIDzSf1-o8x38!pt4vizdnltrk{J$$e1dTVYL# z8gs0E+6%8T=gN$oH7?%(8%k#+E-JnC0KZPsw^pPZO*`}r_>~;&W^?|4O>(vz^Cgz1 zN%@fcs%6@5rpEAo;Pepq%e8k_i}8nz@^bShx)P)M{V}z7KoGCoF1$0(6}eXH9`#1K z&+3ocG*NjDs*{VmXdd{_Op9j+FtQa|8xEW^dQjW)w@8UEnm;3J6b zjJG%#un2yaFSq@({Wo9c_dO2<({}~i5XE^no3ym{4PuC;1ZG^U{`3IHlJ0Hkp|zQH z&KN#in&Hb$V;cnDoZ&4PE1`t>lWoW=RC(3zo|+8R(e08O-yPMDnm<=tkMllBzKB@x z(B`x8OZW6Ivk0%VJ-`GX^vpaiJp^bK(SrhE)O4h-(3t{Z9#a=(U}>|iS7tjPImo#?+bhfV?O14?zQ=QpuNEE zq@L*%<$QI$>*jd>H1sb?y85n~D?Uy8ka?q=Tpwd7e1R^MU;4VL{=U$ypnR;e2>0$x zH}K@YU@Smf)EWMBR?(;nHUi~MTB7%A&nPq#K1tz(la@&?`ZrMO^r}4sxonetTeS)mu#kCAo+_r#Xf1YXRg|Vu8$y&ay z|B37h@zVNE-Yz@u=84MOtRk?Fo9pK?Wp6RWRiW3{!9PHZ(yRcs5&zZkLFF5ho$WmG zpp!e0b9Z`mnf?9*g70fzY^rCnAF1W-TGYL;xWeuz${ag%-1x_b&usVb9nMyf-8}H&N67-bv zEK}QF--1Sm&ntA1Sa-+2cAWB+f7|Fkt9Tu2m>TFVE^)*!WcfJvxgX#kp_T zX1fbWSZM)$u$QkbVbY~=P8#zLuv6V3&=R@|h#1xS(}NJk=ou^k%SQ%)6qF`wgH8?h ziWlyhj2~-15aA#C;bJ(SS**@vGF~IkG~nNoSFY}9>uLkA(A!&FlBBeIC)ffktinH0 z89Q+{T*JqvL*=}A?u-LS@rzidCfnxI+}YIWuS9V#`~YvwC7TbZXRt>h=mGJDP+i|k zDKgwRIm)I|+LznlOKl7fji)A3xR`WzC$s<)og-;47N$p*erbfEtbJ8M>^Fxmo43L4 zr4)(dx{#h|+%WEMv{I0|b|XJ+7HKhli4UQV3k%FH2YfGXAa$+ONh&7H=U^bzi~gkU zg|PCny!{aJ$Es=%1?I zyb4K?e@X0BOZM8ep?xaJt0iNwU%<4viVw!>qIG-4LE9caV^sOZai}~ne$CU5}&Ahw(dDe6Co@C%{vkdHNA?RvBWj%P2;Aa4RP=D5K)@Id%^^nz%K)A3B8d_5_I{OlM5L8#% z!>ewAXPw`1Wx!>bgkb)BjRUPyTN6RIm+l4`FB1-O$kOB$T%>54jzF zVqrrMxgGWgAkP1-3H?ket4|+$6Ji7V4DOMz%y20+N;-ebytugSn2zrinDTGpA87z- zsljX8KU#E+q%rJoK|Mu+>?FM;Bf$En5x~UDLWzom9uIXElR^ykXJ`|gAqr)=2{`Ioer=|JD) zNHW$jGpB1tI*R28@JDD|yLR{ztzqtMLA|NN&%BmPg+n>Aq6zq`2>ub8`itsym8eQQ zEza-%{3rtMe>HOE@lgGJ|F@fA?AutzzC>hflby<*B})>*8AUQ=sj+4qqp~GghiE~C z9A6oPFk{KSR62}EA{F9FvinWfeP55?eO>o;|9bN)N$HP6@k^*ZPA`FzxwiIxIh z@M|yTSda5Of9d-xD582ojKOD+4f8Y#g^OotwGd*k@}xJMm%G4-CZZXDcrGj`%H5oQ`Mrb$%b{e<^@6O+CC zN4Xj)FY^l&%wFAGG#jZ$)v{?JdMcw$7w3E;nzi24=$$Yq;4x-T zh>@E9(tXe>64NfSJKG9*uVD{cM0OKIc1`FP^gQZIyTJ=do?0C6%8l<%+o$J}Ogk}t zsOoQ5BkOo#>e|0{9|(i=O?sO=9&vF8d$474BA@#!Sn1lh)74i;Bkx(Ci}&}A0e}Zu z>t;1)rV+OTP5GRUdcR_k8h=xB24dj|)Zt*)I*MaSxh|HX?(YgQaD3O{@b0SyB?U2; z1z&M+h#L5#tZ;9wBnFPv3Cm*wz#?oLHHqEWJJ8c?Bfh>zTzPIVq>0O1*=!XdY7#;qsk=0w=cc9AbCY2U5POIhj zR<^^(#t{7M+WZcK$2554xv$7qB$$zx?sIaaYIDSwpZ)k-`S!tOrWC4J%Fgo8>%=a; zj4o$Q@i7JtOC1j9zMB@|o_6fFe8q0Hv%$AGXNPLTyR>OSC$RDpHH zIQvrs&*(mNEa2uKnk5TK7+gQt+px@X?GflO@TuVS_CpXSJ{B=o6U$C%Zp zm9Le>e?I2b@-J-sRNB6%kAH~dJq|HRo&EZ_jT?nHlkNJSUn&hT#^oeN6pz1J`s`QU zy(jCXuDBGDm^P7J$#!D<-KCQK8y_X< z3v?RKF{0E5I()s;_E{qpou4M<^V5Ai%x0qHwPG2cA+=98dWLClvx;Y0e?Dhlq0QJNcmc&$ZfSMg@z_5xg;epK3S)mLsC;W*07PW3VIEC8kQ- zdmp@(e>2c)RB`d9*Rz+72dnq(QiCd=`I}pR3U`lh%X)@Sd-FS{&g5hF%v|?@k0O5j z!KdCfD5e!EUBkxnL+2G+tYz*i@s6d$vGfv`1e5K-a64D~r`dHGpv=mA-_jM6yeY(2w5CS=y?1sOVCf&cA1}w%g&R zcnT?>@vvQ5YkZiWL+kFzfYuZXC$v#<(cLhLO+mIGR~Cq#=VkfqX=iGDckuPcv1Dlt z2f20RorLy#i76gAP?iF-)7~A6cnRE~*je^6#-SoM!%ke%((Qfev4`0O#hnP81^?kK zkgt)H<9wHrlbHGb>`R;O&4VsynTIIr=aC#wE?)P)tKi%aG-qw&R>#vi`CxrfyM{^I z{@6)C{m4BXjuCAY)5MqZn>rw0AiC=!{|#c{v1Nz9b<7qjfQ;4~80aP~hpL?Mfl-`m zNMuu~*~cG$%V;!3$W9~YzocifV{5XbYO;efi53_gstf0ETD@r3-`1P9Z==3h zrCH~YypW@vcgPE!?^zc8=-YID#6dW^BIo>A6Jh80y`+p$3Llj?tW!zOeoL9nj4G>pX_-AInTa4)&sAg%w|w!fN|AB&A{!nWaKwd1fEy{gCRL@#VdxxwUdJ zHp|d(wD-6Fi9^R_qv?G0&}tq<&J&`l5uXqeOdY|$Umup3WF_9*3pleR3QF#%#Tqb$ zM0)(@*h?)`dF{HzC{lfv;dSep0%$So?(;%Sdr$#9j9qaHdfNe8t6BK8F+i>I`8uB= zcH+m+vYcIezLX<;9H+z273t_de3+dQj@!WzONh#*O?oEq#MaZox?}CM0GUD7#$8~t``0i zQk8ge74VL??W5S@BD?blXE6J)-ng%-u8;Qbnn|a6i!1Qr6XhQ68T%5$E8~HE$UMJz zLus3`?5_t+x}iHC|F)y>&fQq0s*ai4{^et~cyNCHUC};pzpn5nyJT;9Z}c6M1Y%zB zr!j4Ms*FWMhq==fA?9TXGBJ7Va>C;sqAS>hZx<$0R7X_~vq>nbnTCbpQrN&)Hk zQ~`U>=Tor_oJp~Ppf}e0tWDbVj&Ws@ICD;4$%Bxa4VUu9zKe8?U%A;c<-|3%Yds%! zWnlmF$4rXwNGOW}G+Uu)*+xW_i|u1)+Pk>hu)9Z6 z$t(i?GwwgVRG(bZ_@1BsF4%vc|4g2^4q4;&0YetaDot z=s{VWTkjsJ@7XauxC|S%tp*gnWe^KXsvGYeKeni$wZQ25Fhy_M+PxHO!E5y8 zTb8ozBQK8ui;2cX2|?W`DJ>)2s?kpEMPD`c^S2T-vvOfaTI+p{L})@Rj<1yy*=iMF z`d$M{qnW~y8?7NgNVw5^*s}}p@rPYX*_uMZ@w^>bd=OK_b=Gfd2O^QF=WOSbn4hOP~Fz6>B}r`%G2 z7Pz<%bgZN2q+J@4oYSx72XRw~NOB3dfS_4GHpCzWTmj(05XeTeM3M{pYXC@*GNFl+ z1HL24iQq4UhXce$tdYaXQ78Dxw}3zxZ4l(^$V@D4MB|X)e0!>rq83iU2YHW%Bo~7# z2ys~)J5^YKoCBo6Xx$(q1+P_o5|Sk5!f68_H)T_+S`^*t8kGS2d@_B4IHa)5OD%#K z41yAXC3344|My$K9^NNsv;l02oPW-ajzH+fa@-+ z64V|(jPMTfHv51ZrAK2~!nV0?q*rTM6%at;iJ&#Yz#O_rERn}?Q0;j!xj-+RGz>mU zm^0GlLKvKM%LGyxskfX3sNC5zoW&q3nC(Z8!EUnT9W@ek2%Aq?yw zd18qyPLpcSk4XWPV5ELpG;1L1KRQY6;M;Iya~Fd78}C}d5X0zg-a1-Ux=cdc~e zC;LG2t`Gl)HjU~Oa32wXH-fI>Wd# z(;1(OkAU?AJ0D1tqNus74dfv4x4}Myfi)yZERn{kP%{NE89*=W4}1h2^fC<4Bcc?m zZvm+=QZLAy0Hhz+!kGYS2pT)`{=t$D2g@-~u7YAL0cJkmLfe1mR%@9V2q_l1YFN9myD^Yem@qz@3k-k|Eu>x&MVa zBqjr#N04kFIMGgKS)QsW@W-+Lg}Px4oCM%|_~cWRDt!|Pp4L%YrC)h?fizJgkM&gPrj*p7xCl>$)uz#VAj~Jwgg&U2N1pWX9 zomuic)O_LMyHEW{E7 z9K{_;&H}lKD+<+vpizPy`ym$^C*rg8#vDPjp6*7_PC&d=vH-alpus%)z#0OV7LF5; zL(ps?F=CJ`PK}CTA{t+y%jFVmM4b>ICj#GLwAWw*AqWeJQ7~FK0;q-%XG7e0nE?zi zo+=Hd6BQakA7y}nDETIM0U<8^Kj_vmTFH*YWc8yiLY@?Ejp|z<6*0hvCjeXo)FtQ| zB~t?@M!!+|EMr3M&_D4h3jF>z)9gRES|4_F@8QQ}0$+N@C2j%!^c%6K+fwCtHc$yC zb%D+VJ3q*pQh{D(0h~qg#b5x!fDO5Gjn0=-GaC^lquL8n6YQ9R>6zfz9EC72bgF%Fvi;ydYC`wKN z|9`LvgX^O+^dw-UKcNQ_V>?}g@UVt3L^UOx0hI`&b%Nc5m5Y!p1+Rfi06!qAc*&{2 zrH)N%!6ZFQRT{GZHNuL&n-=g#Krk6WIt|GXb@=cFfF30iUCoG=u(Z9ZjrZM~uwjHHM zL4FVn_#+|YO01E`iBt0g$R)rj!io=s?btk7yh>M5r7;a)Can0mrGQ3=qXOi707Y2w zb>jqfMJH?_M&gj%vNUy(AAb|DO8};&{M<78{{Yy5&X=I4gG$6d8VRA~B6N{ruYuzS z{$vBFg&>(i=ZP6g%k)0NNNm2S+S;+$HtwN;J zqOMAc4%@r1kGVH1++R+*VV~6znj1PJPC2ch10|j&p%&d^ZOXocMoIN>$04O+W7zRs zx^wnH2jSWI?v!4%V9!F>IBd%0ryH+!zQ?SWedta{0B3P|Y{KU<_RMmg;+f+f?gLHl zN76FknZ zou7|R>#>V)H-1#&MTNoAlj%{1J!7LpsoZ%?Juto8M zDmyj8o|S7*nHUaPub$x*c@7-Q9@}{9&crpszsP>Xb;xN|y6p*B&5F8UEYUc{5BucA z6~81^PPIF364)$;@331MF0|jG#_lK~xtyg6I%{cPR&NTSjG8!@57u!6R@r}xkf zie3cstzm&5x1-A{IkR^xhQ|j@yQ0CK8m8Au#iNGezhq zT4+$Q6}^&jl8-Lh>McIL2EW%~KLE};ta$uKz` zy$wHMRqlSp7zP{849kGtyfjIBcg2XP?HSw?|Mx#5BTj>->|TF|o|3tx}H_HvwY>+wp5@DZb-%Kpr5L}qn1GBGR~;F{-+5cn>``6MAzEX7-eg>{z(>~6S_myT z8`D_d_PwF)qJE1(d(@91((f5ts%n~=fBKCr$1Ev*JSMe{Yc!QPh%DJ4c%fcs=##~n zyHObNlkh!h#HLrwoFGDuRLy{ZoqH^gkV5*laP*z?; z9E{Pg)?L}Ju{`?i+!{Rfm*jcsm5<*`s1c{%n`U{W);k>`a3H_M%#C*Yj%Gh$W9JAR zT6DK`=J)b52C;r{s^-)7S7OG{^f(t5>~FbNFwzr>rWT@6J^1Lj;uB)ytdD zTgaIE;5(PAk~~WL3q3VIgEta-(;!Er6{NnZ&*RE{%Hw-d1^>9q^Yh>7pRj{w2g~_A zVXLJuf9EVwP4F7Fdm{VZkhGo;H0>}A?Nr$fj>I%4M?+-bF0`6Ure8KaMwwLhGZ zF|s{9Zi*hBGF@(;Ykzr03sd)5uW-xdWU>A)%gtQ|nRDM>yEISrWL$eH9^lMSCNdj$nAcN}JhwL5>kKe>kj>i5trWelOpbKE zPa2pM>G(Ch7iso{KQNzabIriIWa<94u=HJ(+c3giZ1>8|aX%}I=}ep3b;)~%Ytion zDaf0$@0cW*-(?2eZpZ;rwim1Fv?!#9Jqik|*pZIN&l?M+WpWt`qx0j*HY}Jl%Vyz^ zMb#noved7^j8@Tb|40>4#b2YpVqSSJ{Vao5NsP$-LKl+U8Qdjm3Rycc0!kfRA7MTx z3TcTPVLAJFsr=i{8|mAwvfSKy4+q#ozC6=*2(M9uI> z;X^N|kNFGV1UGfoM8};ARoKKDeGPL@dGe#MgM+ntIYs@{bsiRV{$n+ghBgEGp}UOH zq^{dj*+fUX8|hb0np-dQiKPnNN>m86Z&OZfRxz?SHkxk^6IPhtVX3ik^xzp@Z#u10 zEp+qwBqy`%1es!R?5fOEUhaq0?PTi&xD4gS3}XxXaH%_8h~_H0dak6mpRNeNcUOxK zg%DAm1gqmJ61Rol?u%9&XC#APmAe7Q-O{q;i>>eH88seC-_Y?0%dncs*yx8$w7qWt3_-me8x^O6b~qxj#_ zG^gj7BY}v)+)VQ}nKuf*--bIgK5*(bm= zmwD2veTa4DTu?8<&+XOP4ZFL^4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Go80RR91008SG00000005Z_0000000000 z001K@00000004La>|F_bT}8dVMN~vozzq@cQi~|ikfb{zm?pRF#pEV08_@S2Y0{)v zC`nDzLJP7KC{VCKK~%&lixn%PRz$3T$a`*x3y6rQDEfQ8=kjw06_vj4n|uE0+3@j%$fOr-+!4obLO7qX0Kc>ckrZK?f~RFV><}E+-%&{?XoXPv2~Of)|{gOPlb>{#bJ1tH#*u5nY1w<-+?W_{&Z9tMjBh zKDnLQE;dt#lqEOml{V(p?ToLqkEKmyrGKh(Y4_!M=+`%qx7lQCx9OL9!5s;G++^RU zN8}_2H1|SmEi2D#8aRDu9~7sLaf5j7HP|qV%gzW_r`9vHh#=*pK@Gm?MEP(Hv zPbbyl4^tbqPE{(Gxu0f>D6Cl;P9H{Bwg+~&{RcLlM{>CXbFa-kPnF7l_C^++RqAH; z)UlG$;8_7Dn))3zI*622pc`W#QZSvoef#!3%SC02NRn_N+ITV=!B#~|$QeV!r=5p< zhc$Yw1wi3AfHefSV<>)_e-EviYzg z$DFcxY@%CookQgHI+L8ax{!+?RG~8*5H{_2%Mm$=YnO)rB%ZfVzTFqNr}$NL5Q?NQ5|dU?#>g-sIcc@{hOh0pv>Y-F9DxG&R|$ms1XPso%b z@?4A0i{RE?f8Bny&#!;r1m%-qgeGLl<3#^EaqN_%F&@T-wus}DH(l!|uKKvU1=~K@ zG*MPloFXq(G66dRfjmlIv}H z877GPypG3RCW_16QL)o6#K~}M3r&38g;%}B6EhmO;6^Ru;jmRE|S{T`-gDXbr zrt9K*IVM@JlbR%-A93nqUY*wkD3tV$%B7yUi%@+d7h#v+3=#4%CiZ2Tk8w1R$t7!j zoD#^HNd;&51V^n*9Lm`~N-=Uywcs&Ph4ePZ?MX8CvCY(j91 zlJkazHW$4er=*f`c`Z|1UO$zTH)=}CdvGWz@0e3k-T|kiykkg7#Rd?^2$KvTe!VEx zd4pdT^G3fc<_&*Y%p3o*n70GUVii5Ws?MS1baXSeBUnJ2-8Z$B#@pB`zq`Dd#aoY*P3O}@H*tnz{vy|SNmUTs%SOI+!{GTQ$j^4)bF zpRjxPJ`Ydy-$eiI%5~&EnCp0POVXYw^sXEky}ZME`69?QFE6X`+aWj3&rAnni(O9X z8(KNo-#fgzXT{>)K}zvE+nQ>$qSnPex4f8J%y8k2{PkJ$>omDUix-)(!!}#I-)`kZwvkR z#oPk?`4qm??TcHvw?u8_XKK)>Bq_xaaV5Os#xfa{R6Po#kG(EJ>m|{~vOHa(?kw|* zBdPAygjn7ab@CjI$Sgvgq(vwQk-9G~LLWxMnbF3w2&o&?B2=wSgevU@TGApEL`dB@ z7pC8%LElD0P$Bgx%{!JyrD~}_xNKIb=N3e05fM>Zgj}prk1dE$8yX}CERX7|2-Uu5 zk&aa9T#n+oJB3XJSN# zCWs8n90Kw@jL0kk_Pl6gIg+X9w|4;de=ve_-`OD(a~)zTQ5X5k&%lT#)=C%osUh14i5-pYo+*92hw&20^tQ`%VXmxPrE&efk~`H z=I_|21w6b=^-rRmCxE{qe=Txk6$w?|blg1;E~XH5ycnD2;;h^x%n>g~B{xZV6zXTs zsvVfMq_?(z_RRiibNU8m4J@gfQ8#0tzrU}4#vIkXA}=|e;#sQvh9N^ZE4LSdsB=>` zk8Q@B#eMbt(`VI9o3(gOfA7rxzJclWi)Zyh>-5=ub!u!-mg!3kHP?&R?4uKUR}Cx~ z8a};d)fv$6S6twcbH5Td{NariZrbz7uuDCQ%Bh4eBZjbbhmv z(Rz|Fb}O%!+Pc_QuXlaU81G2i&d7M-Q$jBJ?@^#LX*aQxkz!!D@l8<`zNqm$J(PEkJV; zDU=%KHWx%+b?(y78{(YO=XEtVSL0-#u22hkc(0!s(}`%{*{E^#;$=gF1NmZiKDiBw zOwr_cOVkv?a;y3qb3rqMcmK50nue+<#wauk7A3P_9?n$v;Z+U|sZ?wUgMT~>ek;3H#mQ+SG_RU!grjPf$rJd zpNqx>eP@IBMDXU;6LhcjvP=6SH1cfF(AC+hWAw5M--||?RvybbN-w(@`p_8Q|KbQ< zS7ZIY;L_e71|I;Nqc4^2QzP9e7yg4`@b3W5>)Q>@&H1*5&IKKMhbgC`3dmUp?}KP$ zd)3rcH4zTt(7VoX=sg5zg08p0zZ(XB*lfEN26|>w{yj7%IdE+FMCOPi;%U(@mE<9{Tf7xPVxt0 zc>UHey#5J-1jFl8z&9D-?Z5`WJCea|!?80Nybs22HW=R(2Ja4opAZH=F&W$zx*ote zLfzAx4Si~u^3%fLD*@+K79NKAdBx7rL$0bo|7;$t0te4hwfVsnRj|=r7yb<3$?aa= zK}bo}U<5v97=dR-R=5_K!f`k_9yf5TPQc;vWDW4tk#ezhRv7&3F!(uP@O6N5sn=d; zXfAeOLPyz{Tmif|%!W(C;FpHM*N4F`3xi)C27h-Ld_x%giZJ+S0{sS z#uVF!+nPLl^mGm2tV?(H`E)YnwyA$>82pEcY~KjaR~bD2X(F`E^e2MQe+zS*=Swi8 zJyESStd95t~{;n`M?-d1T|4118dw}DUtE;b>aVT3$& z>oymR=A+W(!4E(Y&;d^*vi}}vI37Q9N<;MYvt-I`@Si7x+h+Cu1)S0AJgJSB>vY5P zvMYMOOys`JfL}%Edi7MHu2ae`-Mgzw-9&Uz5PF>#EsG>RHL5>bGn8z} zumyt+I!2$nBn#6q;N>`MD5bho|JE@0v0?D#=q}GeBw=I6>glHR(3|~GYGbsyRcn3| zEM{H0VYUrukIOFQKLQp5#<`?+;eQ;a{ttks_x+Dy%D zci`nfq5FDDFuxEw8BZr~(s3xC1}?rrHas*m(zCpGcx0*kwhR&6_>6r+M@Mmfi6;>3 zR3tHphU;k=cxh%wYgc+j3Z9sS|8!j62Xt6@IX8MZM z{*D02w;S3ED)3k;l;$-T8xse8)U;e~C8+oqL04N-LuVm`X;o@>&Pa`19a-PeiE-D^ z-qh39(B4^eJ;qnj8Co390#nK%bT*)*9rJasccs^P4xs6dm2*=g&r6LwKQ(e~YUBl} zkr$^%UJ9gV5V6zv$^<0UhHNx7pfLelpxRWTD9#ImpAR@G)2*e}hAF=Q@cp2?tD}%_ z=*YJh=65wWwC9Vd9*DdUWUtym*4ExSzrCTQ1*fEZTQSvZDi?u~Q@zYHC>j&QMthcJ zJ*~@t<6>~|;r|Z!(p<tD&)F_pEa>>-aV<)w>J%jzW7e z-NnN9f{C+SvD8^;FR7OpO7n{IyV{+vF#u$pq27l^_0S8KIP3teO+z7Wx>Jpp-P_HP7cA+I%ZG!|-wO-CBVq9G2ig8;nDQS0p8B93dVbJUygUs4 z&M^3J7<>ib>Bs9xnDUij@Ks^(Gs56!0-io{tHYF^1vr1TWc0q4Opw*NYr;I+Zv&4h zUfoLhLJpy_sbEFsT?Wnkez;;;f6qHs_C>X^%w_!Lpx}Cv|9#iRAs)~SJvE|%>#zCt z`^y5Q8G34ppxup)dbM7*K?`WWRE0M=O9fqCFWa#5BejlS>9IlE(nCKDC@oI>lgOGY zTY+WV-M^4zGx{?@=-Yv2$E7=sH^F6EeoP5J<$SE>Qbo~bc?oFG+TI3j1=MY}4cQhU zCr_@hQQNU0|IKeMO_BqdWj(cr!8`nLo8evQq21}BC#8ojOb`9{^w9qtBUF2p=43$e z$<^hTTYn?X);m1_^2sP%Xeu_h&d)Dsvc7v=L7|PWMPsyF8 zQqRod8CndWVq?D0tX^h|9uw=(VqQ_S`MAn&qz!t8AL?8B_we?m%D*&f(3mrC113uRZ+vXG&(AURy*vKJ$Z8-xcE{k6VrFZ?;O79&S!CeMFWnb%=DMlw;$d5wf6yzOmFShmIMY}?&z*j~}^LwygoY#Z( zQ%_54le$8z!77R_{;AQ#kn&g2Ya+Pg>!(Zm8hqbp`xr*P=Gj?5dxj00IdR>A|Bcrk zDbpBoXZtB+zNnrPxvKJL*Iid|Rz&GyfJ5dRLCPNp zLVq&|{jD&^za6Cf!65WQLFn%Wp&tg?GhWyXsod5-Z{OaH>k=&M>1){G4{mfcw5V6z zTe_O@Yv3m}+JA3P7;gjPLNHcO++PQqd%6{dEnqm=z;J(JhRtB;GcbH3F~f~uSYlv! zATh%xFq~>&_$C+jj{0$VzM&?>DtTQk?o!p3XK(XFHF$v49gu`MDC^i@<_DD{#3KSa+ z6nle$p{TPy&n5@yFc_{iFuXW1!yp*0GcfEExlv#1HR4oIY%);1I(a0PfZ|32#R17F z`arSSKyhGlijzUH#X#}e%`K28uU;0-vgpd1^j$zF2p*)A{%3w8 ze6{iQ$p+2~Ebt|P>QC{jm!oeFnD7EbpxT3XfP!`Qt1iOu%%8Sz-`l{j)XPAA8~Rkg z3oiI+fU^T<;B5wE#^k~;i`3VrO`==56ml|R}l5uD2 zxU+%hxUkPzu2J(Yzwt6`|A=AxD#Nfn*H1wUZ1D3E*>6LiAB0{TguWom@e3n(b64zF zZV@h(TyeVyaP$Uly0$iTHR3lr3hmba(&OS;7iPxAVem`B;FpHM*8|SAD(*!!lp5`i zuDIfNSq2=JXTb693^+Dqz;Q(e99Mz^w{dcK#Uov(T$OsdGpIoY--4Lm+o0+D=Zj4kKU^03wr{!LO=Q0f{jlEv z&g?e$55nL-4ud})2LDMI{HGB-!NqCYH^tw8!o47n-nX5g{^a*TD1M7?iw7FME&kb0 zK^txGC(S;fR+4J~{Vkbt+vUOMgFC^oZ-c)8aNooy;j_&jdqpNB7zVbZ=tYqkuB(J% zhHWVAJq8ZjV0v-nisOQnm|+_Y`;5ViUtvHVY#5L)iOfh4(O-aKvVr2|puoqo(zc;r z5gFi!1IcahSE4cLsQMWkc%#9A*Z574u6P_2hZ`skOkf7xu;E{eMz-5mfj$df2RLtO zxc_0Xu-HOz2w0Ma)8@-$voG2tC00C!Xx12_`9?DXyptphkAR`xz;L9O0RlN2dMX;J z!80ap@LE4y?6*bWZGH+mV1q9}Birr6$%Q^1jUM;Kep?8(B&T=?T{zRwg&+4*&az@WaC3Zw4F>U*}FJHgzuONp&IN z@GuLG0NghRy88aeK>SqT(|3N27YzY*rm!73N0}K6^S5nLQ40oY@ywq#cwHF0J`6rB z3_d*!J|hf16LA07*&7c4JY#tF<$@seg+b_x5}{uT%bzw_{u7{+9JBia|C9m$yF}V; z=-&g4k88ZMnaeYq15f%X*snJD9&p}cfeXH$AMSJDTYd^!z!=De;%KVF4G;go6(l9~ zR3C(%7KCn$(CPkRcT14&QV_a7LffBa)qAWu<^vHr-A|7$1DaogOvL10jPv26G}Lv-v^j1wrUy5c>Ea^xK2b%|YmvL}+x*Bu+^CX^wv2F701{l{6}_L!<-{1A?Z%% z@Vl>;o;%$Rt~uOeOnqVW_pRSjKZjF-D=4?6S)Av%wsUJ4FC%Ez*fCzl>8|m1LW^gq zki`jDU376y7wW_pn+%)~|BB5&fAD*p``A~rLkh!mi2t0Oa1`pK3gWC#2eMOQr>OO> zJ~w!y&hOOjerfYc_~$Xd`+hsrb!F!`^?z&hulnBL^;SJh;IzZlZ3 zMek=354zzV$&0-bemi)l#;s-7#N!aJ9e!Wz5^64nhF|^@zXU4(Zj>5N`UfhU14fYs zO6*5ffgEb!>Kw1|lB%LM;na&1i-$R;^DxZ{ zM4fMU`uveazxdBDv9DgSbCfwAPWRQqS(osi#gvvcTE8SzyH4vD1zGFmSgS$IU+{F( zv*-rDFVt9Z((*$Rc2s+HJnqokS6Xxlv$tETA$ovfmc|V8JEso9<26fpD>WXEZ7w^| zRtbsuvZz`@nLengJdjY1uwICL#)(CJz}M?3oP)AJI-S5S@4q14LLos_y7{PjV%7WH(hGwK)8IHw&Oy%LkX5~`z|TGV>XDdFdMBm+DAT0$h)6-+l_tmKxU zaHHDIjonQPL?9Vi<{;o=Y6cr-_KELGxVe+vn0a-F6Qq-U1iOM;{9O9MmKLFt$$-73v-*f(X%zrDM|CY}k(_Q23gqG}Q zhl!X@#56j(d6cUZ`@Zj-Pshs$^3R3i#nK5o#^*8TKUZeabLtX~^N7k~Bxk{qg}38{ z<66#a&Zv(UOP@=2gp5LYDD6*ji$bn_9>fTx>`LMI+~3E1-$VJLpBiJ&D)e%U=@P0d z$5wHrIHuH*kV)rAcuz}*nC%cvWffIg6vQc^40LKy^QluJW|*He21!v7duAebe=bHE zGfY+Rk19wEb#MI=F)ii_rxpbXl<+^aXf{muHlZCcNUXx>3#FLu<#B72U?o#RJDeQm z+t<3K{`VWQutVJ^bXw}y;=lZ(js~X|6@8}!f5hM2FVA=-{Ju1EMseEV=der282ghv z^ehzzu)?YKcJ`D}LOA%JYs?aaG1(29kSIrpe;Lnz&3GuU`A6JmICfz?WF-IjZ@!~p z_Zi9R&4Xt#rqY%Ei9U? z@ff$h?8b)0E+Uu*UDmxnZX;%Xd_QaVvj}p+C5vu)>^h8E80iuz`o({PJBx);%&?g0 zu~&Cukc3l?e^MF;66~}D=Y412`j^Ejgnn;zE&d>9q1MllB0#aZkUxLu4zgOW$K2N| z7I``RK`xL(C!Jbd5gY5ueH{{$@K>m=4CL@@Q4;$G&e z*BAfq7y7^6`=?KT&mHEqG>Z{r=a7Eci|=aaga3J)$MuTXQMn5%{vQAU|NnRae3o5o z6jc<*Z%bQ2pcD#)0xbnvZ0o9}&=0ojwzIQ$cL#PpoSDULco+%QvY1p#OCVK~8egg* zL4z@Z!H|&D){CFdf@PH4*k5qvK@SL-Ar@NhQH<{eoxxahPx#yn$ zy;o*MY$;>x)6WJ&(6Byu*1?mAMjYL+6xZMOp+#f`JZQ|~GFmHPo$z7u`mOWO5U|DtTyM5y#<^X}8sYP{y~nR1 zwxyJ@<#|{c^`H3Q%bAKLSu-2l{wOz<{XFUhAKDpc2|wY%wGa^oRRC@Wc&P`E0k{a< z3h*)yPA?Ac2KY%2E?yk&2y}**dvM9(a2r@&!72cHwev2n9>t8=&&j&w$a-=mYk{x# z4&JX`2`w%ij>@4BDre~LwF8%qLPMrHTqw>phifU-i6y0YC}&tOh+n8LaC~4W(?9TX zUtMNkIJ}A+&h9;f=_Xs1t}V%mYv4_@B}LFovxMa!+XCF_mW{068 zF~b* z=7r~#v!kd+axHo7y)jkO;BxDs#RO=&se(K#ThhQVj#@|Te~G`2VCCQ=raWg8->N9h z&`m$&a7}Wvx1N&H`o*Ek*oze-gkmLh(Y0f3B{--tJ4FsKfp)Iv=B8ctzxI0 zq!KYDGwm3XVs4=KC|D6+8|4}usG>P|%EmMT*9K0$W7 zUj2gfYAhI(Rl|Y0v{Tjs!fYT%Z#1=^22(T>v60J3UEYW5yu;_Vr=Z*U!Ya5SJVg_} z_v_zV#e_u$B}?en$sPcWtQY+K^x{MlG!)_{*FS63%IUf0s~@9foS&do$#C@8 z9FME?4C?{n$fJuRqSiLUf2^g2Xh&9__09tn0SZEt`@92h7n}#rv6BE?3}k*6%Gu~z zkDGeR7kUew>!la29T2!E{3zeA$b2Ui{?C8hz6w^zx7eS(q-Ar^N;#;zeIF;qFlz{^ zR@{NIlISv#1$VJJ=;$ixuc?Pt%m%?Y9NqKa-M&(6he4PtzXGxW7c9Uw(q+-$a0&Xv zDDeP6zX~YVR$>Y_+bOp9I;N(f%bRUpY*2ePS3AhqkLN>g;cBBnp(5H36fLHA`aA0Y7ZNQw@dH5`2eHE42T1n?jUg4OyRA;l6;XbeD9Ium?H)`bj0GFDF5CQ@g)@x hcg%u&32v5YZSHno8G??y(lI0xk_i{?{{a91|NnpsHL(By diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.pre_map.hdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.pre_map.hdb index 50b5cb4ccca59bacf89fe9567699877323b9c978..032d99c9ad6013e055a3bbf2da247163faaa1f79 100644 GIT binary patch literal 25948 zcmZ^}1ymee6E=th_h4ag2=4A4++lEccPF^JySuwvaM$1#+}$0*PTp_N***K8Ju^?& zbE~WCR@L}-jc8R?nmnTUj4EUb-*IG7oU zn3xzqUuH%SRhA->v2Zpa5;eAP2HDbnhy2e)joyC(j0y37^50h5*8ckR0ygtEOAhq z!=5V~vGWF~(a!8>w>$PV=DYRaozt9iq%os; zwe?X~Qc|LG-A=u8H`x34FzqUAPdo|x?EA|yDuX(*LrlK)jS$|!UTEsTM^@l^*bdCZ zF8AfYgk!p}ngac240A3)+|<(^dkUOk$5t?+cBBn4X&785^u*8(CCpQJY}~|h|6v%^ zfn(G}ug#w)T21jAdjWc1nA}a5R_v6GuGjcFuElN0q>_<=BjW46Yx-U@pwUL12q`k) zBQG8%qoWu48Rupq{+ckw=NWMh(3;49mlt&jV*)RiBG4bLj%)o7eC2gyxcb z3p@ziN__5k^YB<0>gn9XvXM-n8HZYy?3(x`Fs6$V@*zYx##*Q>exmX zc!B*E;C|gnIkMcxthiP0g<%`upiw|T?#L-Vig7zv#YNZ}n6>S*ekI&Z!zD~mV`Bo} z9Y@tYQZ3r>=L`kh+))o@p7|o{2S`Y_CEqKwHX8#OBTCUey%lUlt@R&J zeZF|bO=BZwqsbE9{iVU9DS6H7x6-17A zp$xU6rID-Nb7e8m4xyZ>FLU(jS&^d-eX|h|PAxP0)l8XbN_U!EQbkE>P2DYCU5_|E z?A>O(}rZ+nHVVJvu^#(=Iisd;7_~GGkP$M3FzobrBb>wFL z;jO+G-~lOsJ+tWq_jCg_apzc&9qo8>PGTMY_+Sl#TW$>#7)TkqCjt;)9bc%Tvq91e zMsk=rXp*lcZ}u@cbz?e^I`y?!OK$mi663;d=^fL|aP6SpE12fQcTvd=$;uGFMDXt1 zi40-5WN-+}kwUMHc4Xtp$A8Y+`KymzMM}?1Za}JnyMosU^=z@b@0ilZUOZc>j6AVx zXjB;rE+G4h-VDcD8Q}fD!;~J-A|1;hu`|^>zdl>MdvZ_tsU-)z2*GW$7c zpd2#SyCSX}+i4)X>=~jX(9eYOr|lKbJ_^$IFN@KauNI-%msy`F!bP{FC~PjRvRGm_|4TS()}r*O~~HZZZ_Mo`x6 z&e&Rs7RrV&%7oZ`%XHp{hnw1X5zT2mxbsnrQcyZ~kCg4mcD0-<9Xej;ac?vvr#`Z( zh{3dx+cHJWmlt;g{6k0;*$k7b5k(8hnfjnlk8U;M7kwG+NQsV(BMr?z>~_z$@^REi z?6p%L{bpZZ&%}TEuHWKNc%chh{tZ;UVeQq@k|BCk!V5-KQEz*jdEXj)bqci>+tG3l zwO7pUO0+v2~H^9Oq7-O*1r~RWZ@s-tx|qdu$v&@87**tahGygni*s!T|_` zo;L+}N2U-06^P?s9YQ`BD-chyOzhtrlhH1sJ&%sI3iv_4GLp`$ljNma6B<2ev{Lj{?1SJgvvnRIs5Lv@*S zS{f&kl1XD#u@#s%Zad!rel{!C^MS&GmW{C741B-`4IXavyKsX(YvA(PLd_1w3u zf%@6B&jstbG{O*&&bMZ@$iWLOCVKcrZHgSFXm7q3g%mnFMp>pSn^ggEMmU_Xsq%vx zPoTs^z&sSH6gs%y?dHzDiZZ2t*S;{&&ADp-FJzv)G2!1%<*>V}scU=zyujK5@ZDB? zw0;uzl3nU&H9t1~*F{j>euo8$37GHuixK?)ZrW-O{R#WpBN6O(_s_RD*mS_xy*yyO zaJ0PRAM?p{_Y|AZbT4+dme4PvWr$*zy~ zd3QtkAHBw{h1dR3$j_kJM`#ynvQ@}-)1>OP^yCElaaO*6M8wb(bT0zuC5+>7@se}@ zotG=LNLX%xDVbhTJkMegO@1%G!vk;;AYn89bboMUTsdD-)DmLcd|LNAB!?dVYXvpX z@z?gTuqe>}OE>Q^;tHHNWHt&$>O}fhxN_E4%l^hHrS*QQnImEv>F{UNBV7j=RS7f} zI2n5O-pPe!jnMS!nRm#0l-V!7ENsBna5#X6&d1==t4cJ592=P&3t3D0*V4?dW@%q* zE9HX}#i7AU;2E^nof_l~)aAbj82Ii^jD`6GGo%jS->e$&`<4y2uw zL2wcKN;or_jlg1hshuWiZzl6hJ85q0toO$HwIjAPe#Vg6JMwDL#1hy|9!_&^iyL=ues+Pzwx9$Bv36?wYyv}`a(!6;=s z5MToY{FFN2N~iK1Ibn} z+XFfcWO?{Fx`GNUz~wq<{&BW0Q=YFqen`2%V#0Sc8W2)hXIM zE{)HythJ_UUaNKKq*^IM(oV%VaI<0*`j<*PlBZR4Rc;zzj+h-L$U(4f4NIibMwVm3 zH<2!7Gp*A$PZb@tL{^sndWKpZT@0|^wsruVlenKk8 zAdM_LKlWLOsPI(5c+)}eN3aV6Z4}&&m^X%MAS+UE_#zXiW=565D2Y$u()OxfJB;1&2 ziBsD&^}zt2droc^0@1niLN?h9?+r^B)o zTmTCtN7h{}N7jU*{qH|Ga*g(!^D8;!b;};6wROr^bWjKAYGyvjy~ZJE{2>xUF7p)m zV#Kzk`2Y?KTp9ici?S?ryfLQw9$7)XyQNj59ym;J4_O=py1r-}zI)R=SXW(9{&&m> z580_2WmjF3!rn(FSf@M;jS5>H>A|nl6R%kuEX8+^Lp%&t+g(-ucMZ_f69CTtr(yNL zWhW$cWE1J8Tj_tNiIlZ$x!t7-dDINxtX=H&sD_@-JayU(Nt)I|zv?OnwLrW750Qv{ z)Wu{cE_I}`o6RxR>oJd*^?wSR?i@Fw;=6{MX)6|c-AwoC)9o&HP-i%twf_$D zp5}?4^Dx@W=GXwWkh1Z9tOPHlXqurxaCf?~71ar9%PH zpe&~W*z)jxW3kYaWf~VK7{|B@gMA{EfnlMFknq5TF9>&Kuu5#x%h2V3Qky_vbYrU{ z@TtS5XL3Bvft?$4I}wgky2ZP9Z^g%dekIzwcnnWmBc=!Iz{V{SRym6+tQ~!cx=Vf^ z`Wyx6TH|Y{?xl9RobLJdCekyF$P4Pv0o30v5nEsX!=!PR!z(txEbDJDIS$O#A@ZaH zGS4(8_KqR{vbzZ&LzpG&2%2_u4bjiM?F?hQKDIH=fPcNXEFB{g+Y0-JO>!1Y zL*s;&0aR1))5-v1$VzP1ctw_JoD#Bi_P>yg6C6fm$U*yuaYh72a3ImMo5bZE4j2c* zOneQ8nKJj>tJ$;mU~3$P!G8g~`?h}iSI**qa@a)OwR8?e<;WKpG{bA;LT;BB82^iG z%|1e04PZyMTx4{J%h2C>j+*cJ&AFF01?mKV17kNh=OZ2X{iG|uk5mPo%iUTfM+v=s zR7?EV5_s(GA;v-W$mT$hG(>nD!YV=h0wYd9RM82#TVbC0KC#g3@wb44IG1M&4pu{X z0$umj`P9F&HbS?2{*l`ueq{B+?~r;24b=S&?h-N0LVeta4>zpV=X)04)<&f3*ij%s z7ucs~cR={a$owcbXihM`6N{QxJL?OO&xDT8(Oox!4e!@V= z4q$|svAU9lwO<%WZ3!b9!97u^lk<~~5wizD9|Q#u1VLZ~fk;|T81+t$nhQ?(UZ7!q z0)rM4ht{ZXY()7B0<_FrD9l3oCpLdoDZE+&M1)@BwUT?i!YsE-nFPQ91P2iOL5K$- zAB6fc3AjF3wYLKogcB{KNa>i6J~Huv&4fY&+p*cYmVNVYT8>|>@n>&nxXmDo38ttV zxAaRtEj+H8yW-daNJq!QkYfiLQR5a)JRrThg8X(F4u?iXz1M1gi6+=3z*2B!HY|)6 zHDR~rmJVFFR3(~z9aARR93rBwO2+ya{L<;@`y3AVwJ5)E+~89n*7Jal&dt!3C_>JN z=9I(1YQ48-kv2RsUl$cyYVeJap^yI06Mv4F;oS{uLS}3#V`F%AsZat9Fyho^n$n$5 zE_$V*Sr;4HQ7Ir$J+Uuj^;;)n*Ov6;U|PwsN4JD6rmHA{MHy|FB>ay}t8dbzM@%VV!$ws>UL*4Fd9C?6PA&woPpl7zmaRf1F=%*3FqX7! zT#Rf}%2=kt@LiwEXfE{W&}jfB z41LQ%MZa`Z=|6(tCBw%!`j%0-AOZ^jk$e!L1n84T723~9AY1(1o`?M+ph$}R{v(?4 z8lA16SAGE&0;GTjDNsQQl3sZkSg^lw^^<{`wYN8OJcqQ8%!Itp8DLjd5}DYAyZupc1|<$$!x!~q3nM(4TIJacD7(BCm9pkS5_O0-Z5 z^!G`{z=*!2q=eIn&lRSSw_Hj41|TO72?-9*H_$gUUrGH2I3yDbaPiP23lWPU4H5Gk zn8yj+gbS?l^7~5E{6nW_2nRK8yI1GK3lg3?DNZnHp`=6-EgW2#bxd{~`Hx&y30edQ zu^=RYkPbo)2!&ZGsAcb)Ul4h&wbWj7!HGqacLf!XLggf8?L9@mrHHhT>$3@%3s!uK zXT3~MSj1f*+L?4|V}aqoIv96iB_}iIi=~jmh!%V(-0ngt`P+tao-k3a7c9S%hbUhm zmkOHxAXiENHdNwSxhqL5oK-~Uj>{s7W@RxY3lk0J!~{;>mHfiKxs)PT*v^KfLAsEL zow4K39?E5xdSi?c8FIViTq|ZEl9VVPQT;6br%1eXJg~rLfe?!l)5Qiayj;4es6=C2 zKm8)JYs2ZKN_76^X{X3nT_he6qQvkkBW89hbJ;0QQJU1;Pn?44(s)CA;D{ein%=Zk zlr+ioDdO2XTU1^V+@AGGN2XmjWKS4WX@y??U1v|wMdwbSTWqzu%b6yB^uc-o-V*qP zNr@xP7wJnMYn!ZlDN}!vR8g}950j*Dvibyd#|l~~Ttz5Rvcz#X|MVuP(qg)_4!UZ| zuf@dksaXl%m76;0Z2C?lp-kwMGabbeBoxQa0-$oG!9t+uzvwaPSv2RXYtqS!{l2N%pZd!B!6fQ&Z_E*z4+K# zl4|dUQf?o*%9PyyD35;!^r+I#zBU_%AJ$?@H~Chp7eHDL2@{x;W5c58Gr7lzMVV|~ z;NUZUH`v@GEMU7pK-3E}`mr~4uLNt`l!!{}H<1rZlHsFYQ=|i@7fR}U=UKEW=F(OL zp3F(u+jwBu7l?Z`{E0Jzjanm$`W|ee1q_b;)-0A16ma`mN0~0G!<^W$pER2A+?%Ja zz>{;AgQdZ&E>FwF<}&7NBc6V;7DG1Qj4v(Ln%xQ;`i0U%$1t!=ziFBDyPP~tJ*c+E zPYU>nzeJ!CvqA6%K}Q7YBL^)$>=*0|*G_<<#&7K_aAw^?Yy|R@p|hnLtStw+%kW`G z_iz4iw3dBU0W)5^%=s$Wpe`)ulS zC(zXGvrA;+0=ELWhur>zGT)FgcE=3V%kZ^phpb>V_)({-FuIFydLj%LhRqJI(YCUm zFV$s^rTq!ZoWSMa{Cnv)$N{mGM(EHE;LlgY4}BcsP*JPW>^z6EQmuNBv#$v%qB5nU z6y&r+K|1H$juZl8KWG4R-#fugLF26-mbyR!!ux8nYnSub>NdQRC$ZuwCwl{v#YJ!w zliO}~GZmn1{H)$t=Z`e%u#7_0A-|`>9}laKKs|(+{#jm%_3OBhH(F|@vDK|Vg<8pS zjM6}1L@AWasAtsb@TWiq?D2Y`8aIJajMGi|MaJ5PGkRye4f@n$IS#oIqbx%VG(XcX zg^8YQzd7JyXWbeBH#>F@T{!4;I}KfI`X{PF=(=j5`XPVuhf;E9_B}rDY%Z;KL$~cF z4x%5}(~-cs+!f>RLJ%VK7US?kJ@cl)NXkfr_NiT)ofmrgfY&>wL$we}Zz*hj3_Xfd zrnCxYXYK@EU|MauqWR)QQja`EUKBaDZ9S4YhkGt*MK(BCDW;r_K7{5+U| zO#2zrpBBP?xK;PaZ)ZHIQtu6EJ95pPz5Xqf!LVCaB#=kytWk_f}PKNOCO=L@9 z=~p&~!iYl|kFG4nLmyj=^e&HWB#QNTG1O^<8i#{WcV$P8-)kPywQv|`hm@v>V!Mo= z=MJW#wn9jyCmdI4o{xLqAqUaVFJ2wnchW1z0V2O^`(ELnV>tNxDkPKo0`vl}p8G0W zzZo^-*60sZl1T|$b;CXG!nB%DT`}_z>=>5g>R(wM2d=w6NNt*v!Fz{e_S@)EDIP)- zMI1n{payhVeHQ$F(;vCG@3T=f2Ut&7(FxfU#Pw{B<{dz*M+$FFfeYgUqI`?syo&kz zG(*=L7BG?+jE5G-J2^x!I>2$7{(xC2(p)VeDwYwt!jf*cEF7^cCfA8`LbnE&;ndO5 zxIp^k|IgJ0ZVxz^ju*urqTtf5!#*>3Yf^SX{v5;iTU>Ki_F6)I?c|wlH6bC|Ai_Ub z6<*0X;5jSHQXGE8`bS}+Em>;& zi}G}c;Nqm%UiOOKL)HQDg(WB6M~>Q!y1n4up>9_SurQAhA&=)`1o-Z7{zijn7c`C^ z;ml=1Nqsiddy#dxem^O^?K8)p&)wOv^G_I{Mw~t)HBF4!5n$~ZjBi-zT@HAGn5joO8lZG zkLn?4A!4D~vRXo?aS24MKxAMk!aZfEZg|u(g#RzU`W{RxQ_q6#7eriH-3fb0tUp*k zty-nDPj;Gp+vpybE@Pj+n4?13Yn@kbU=Y(rkktfS&PVCMrt~6-sw0YW48TDCwSC@Z zcU5dtEOy=cQAg0!SYi&Jgn?i?Kdp&xlr}p>YI@<5@Xhq1CMhku`KOkl>(XUh_^L+d z47sVCk>9BXUG35BiQl$gWM!szec#kC~QquCQzv>MT;!GA7q=&HNkou-gUr_L|S zXt4$A-7I0RWLA4;esQ9SLW_cZ=Oy5;u5+NPVlpS{--mEGFZTGNKfb?Cfl&NMP-nTf zl#pGa=j2E{Pkw=L+1(27Q9P=G@*Sm)a6K3$gcp3N?v_rS0k2HDj(9E7O8fVCTXgGw znBylT?#hWM&dUA05k4yRflo@q9~9-3H`j4TJz0&*NU8~MZj<)dQrf4Tq=U`OhCl1d zDL;+I9c$$@E)U=ii15B*XU!pr=m$_ToNN&;uY_!1Jgw+m#@hHyv^8=)R&mo>o&dIo*HY|}v+I8IwQ%$7OYjilDE(}Sh z%49lhL`~jWS8@!X9t;7{QK(RH{(5ZkV-Nu2vYEWc8%`#$dD%+ouOkp7SnFJN)+SP+N^1p5pyk;H`=~;I-lOloZaKrYIU^P zoFteiH*(17A!?RRt0&x)SgB*B&ZP@C$l(wLnn6BylX^N@kvCq-YticHAbrR(=vN*gmR z#OE+HJJ^;dp>4Ff9e_0C7olsBkhinDZhX)tuFI2lc z5tu;#omb3jrXpMB(oGXN?`kd=1cg~kZ+*GA@K z4en+}PnIn_1M_zHw+2%p#p)%pFlV^ z%`T>OBz2ck!(8eEQ|8{zxjaArCl~h|uzk2wPB|^K1qFVMee9bR{*wueT+F%9sE&m= zE8Yj-DU9*))Ajxe5`SpqD8_T#7mO#7Ta@pw!|st&a>qQbHShS&L@bzKC(htwtbfGr zDF*kI9>F2p1g6xdelKDaovlvuiE5gbJ!{wD;5a>hRA3w@m|l=VXhZh_0hp3;%q-(i zYVkp)ZVYOu53nD)82DRTse&UZ#C+-w?-oH{sFfJmJ4mVdlVW^OS;c2VFOHuRHZhW8 z=lL1ZV@yRne_N~MkznPv>>9e5a2G3nyonwzxCjohV604K@JI#L;j|Afdh%U6IH%8b zoi0g`_&NMp_sh)E`jKQpT5#?RJ8gJ`CS4@V09+$K6}9!-dog zWe(6660=k<*|4UR6>RKV3u!8+TF;vHlhtH-EnBHAQ)%~f)~lz}Deh#s)>OG2Yiajb z)~l)0DZA6DRzf+6T6SnbpVy6Q|7k3u5$UwXhgHLFn@{UZ)@$P6Ys8XRc5Mewe6-4N zqmB^I2QMaz<+t}n4vm?2jmH+8lVcp`SY=PG7t7f53Xp1|v%^Q4UAP%{X3DBroZHVQPh=__KKKbu zN{)`1(vx!#WCj%eisu!;HOs^AjEOw6G+f;|w@-Fo^s-r7ZDzgdIGxJ-M-W#LqAvHF zxEagMyG}QTuU1TD8BTi9Ga}(Pj%U3xJDrj{orX@93xH9-vzau|&F8?Mjgrxq87A&!C@0(e+zLjF`4fr7Dj_#5Z6Y{lH&eUh{D#CU|Ht&VnfD7fL>sSQtlLYCzF&fw7CLzLoD zf1CAQWG8PT?j-xYxi*Y4t z5SWns2Vv}5ih9K(#)}(S5gxE4hJ>4qFi&JVR5dx>U0s*&8qdhW8L!d@mO{GAViP39 z9Kf0b#ZD~v`{|((+eEcj!6T~T2>1?hrTc;Fh*SOHTR{zM|e%JsS+^UV64>Q ztfxyh<Q-Cnor7ej6qTa|v%Icw*kXx2|fHXl>BM3YUw;)8>^XOA3vq7hXh^<)EfnT1;htksTeGy57-3c8HrC(KdGzsZ z(l}Mn`9bX=BQfSIP1X=95z5P6WE4V!&ukHmaMCs%A46zJ*$8eN)$)_`8r2w+mf1% z@|T}D7$@u!K;MRB=a85yKmaaiZ)Kl~QhV!+HsNrbefwvNH??-~99}x)jSxgGyxM@M zMujh-{GOSYzKm@C(@?0qYQFMF?I?&z2)FIm~Z(pCjNvvn=p zX`M^kLVRkAr=>z@^+<7yt3{=x5Pr)YWVr~wB0pW(z~XzJnrlocjLM1}%8!E6coR)sKIsD3mRh*$JZP+6;MrZrCy2@l0(`RTXZme!jgQ1ipMh=Tgn>#>)7GF09K;%|KK#Do^=&)F5>bLYblwb8 zReLd#fL?F`MRhx(U2bUFD_8USSm*rc^zTQwFj%F^!Tr5mVTK}2^I;?ySQ*3jy*g+{ z>(yTku5E|2PJ5WA(x9P1RNSsrckzJog; z?q=O2e=jGadDDe~9pu%TSE5GgxRumRuSDOkOu0`QcVbqitu+oy*>AjcO``uDP_JSo zX-9lDta>r7N){I*92}yQi;MY!h%CA}hxD1uLk&6oCw^k|WE=1Ijtd$?9X0Y^MOo5) zP}2QFTfM3f)d><+Ibxe)!pvxv3N%@4fc(^%KK=3eBZaak7 zVfm;lG6lv#vTsc+(3l5KDZ)6CIxoxx_ZswInv>Fae=OKPFUD{vI>t5m4n`>SG=pB* zN0-fb^axX7mov|V88-&FPo3vPZ8aVJkE|ybc*I9&LpjU6nDbLAfg|x#b9Y?N);QC` zp4emjeyPgBV)%k@cs$dVJ&4iZX!$N*MTXOcHojV>F*=&UyS4naG%+opVVUGN2{}`G zMWdvQ&_<^k6jEBNJ*Nm#4LMVA6|Z3z_+aGr6cAs|aBcB-!#C$J88~h{P(wu|B`AqA zbFj?gESi`MWPCwglM?Wisr7pDfc5BT5zj-Th{TLbui4zAh(wL6Cr|S}Ktgodu{)v# zJAh=#=8vr5EwyqD?5RCl_*kWD)ffB14D`ijv8zeUd`pn0aeO>Nx?L|A$OX_k?T^?%9xUxYh5BxwTW zx~EEe7d`F+csDyXD7GGHxi`PbJdsYMZ{YhGoV(rkW?uwHGzv~(nvk;lxyt~AgF8Fr zxr<^_zqqVC!dO&h-9AbON@+iYYYXKhx@#zeR@%l-NaN!Ma%(?Cy^O0epq$oS;1xU_ zI5yzBLA!9C5$WKp3hAN&4SyC(imB>Stzv*^y(BdiC7Byk@`|oq0{uxs>4h#Fw>!7P z5|YN8w@M!V5=Q}}(b&#UCx!6F6pV$+Q9XR%E_ME-TH&)51c5`Ta88wnjbLzP5}V%8 zWr`pCdK8&Xj&h2pj$o+GupzfGyN9=6Xk*l3&Du?fAF>%-rOu0y6-eW?SQ8S*0qx8w zt(MKK^9v4`m#J@=;O2V4y-X%v#t~@E3k&vwe0iP>zL+1?G*#NCk#GtGZ0^qMXYw24 zb|i(gzOt^EqLk;0kgc`8uyRThWJ?XW=0cm57fM^WcghDg4$kItKk#?~tmi|r@SVpm zujLd3H>zhkIwb|LlcQbBusAs-=`LNk^tNbqHj;2I3~)V zT&8Y5_>rcWEkip}8YW8nbi`Cjvjw*2w`mKp7ByZ`UjJF)pUUiodI7RbfUdh?e^s2ZUheLFh^bJvQX zbR`Rwq0UA?j>wQ)@n7`*k!bqGkYD^r$cZ6c=$Ct-ND{W&h43b0r1F?JTj-vy#IA6w-^G+kJKV5g7-A3#sThwj4`V2VoK^7JABj*p@m)! zJ$m^LMZdKq*g#XK#fDah_Qt1_mryYHYgVy3;~@7DgU z&d`xIo5v?s>J>GA=z{ez<$nbD?v**w8ZFNiQjeS3U5H^^4Vgq0S9tB#4xxs|t>^Ll zE%l0C*yN*U1mx-W3$=)E?t~j=zEk6^SG0sdjU{)Ox$|e zoqwrP?Qjblz|Z}&5~ccpXG9>&LQ#P|_$*P2Quu<-b_g@YwPK9-EE;>PV$p+rWo`dX zN)>pYKFk%da%V?H{KOuQWBi8fgt`$nUvIzYpnU))zgJKS%x zhuhF|f|~A6;#+yd{m*#h(|6*ZMHhFoW6VDjsN!umsXk{ngK;_JkDPy+>iBp3-=(5Wtc<^4 zEWQ-e2+o-AWCaX3NI|WNd{Ada14S39#9vf6aVxo#B6{vB>+q|87}smH5g8HH!^A_9HG=8Sj}(L8S}0(Id$12#rf+UkQ|%0QtO zL~ryku?t66>KnCo@N$EQdS>D4v=PZd8+d~nZ+W}rPi33Khwu^kZaF+YWVwQLWonw(dX8r}W zfmr#djSC96ySz*pl@3%@vMl1_qg4N|tn0$9_ z^_YC1gY934XTa)o04TD$DMiZ?P;oG=eo4t{fr^;VE*`vg4=OoHwKRUnWSGL9rSqAp zWliLNM6Ej==f9Y1#ds--hBrXxLWTz~_QJC`N9l-d1J~!b;t^0rM+A)p_if`Gm@bEB z9{+cEX0D*9aLm+C#zapXk{v^U;})@?T#o80(LH|e5pHOM@~fi<6#&yFdz@zo6hVMZ zjM6;Xzw$j$vt|FQ-Wr}uMjj0)eu*=s(6C}ywo5UNmuEw_Ab?w8CgL#{nx(Zkhu1t@ zH$#JtR&j9IuCCBT7R1JwC5t$w$q%~VYI$^QbGnCu#<0Dd@sVyze;Crk1&fs%V01<{F@(vx7l6R zIu&u3`0y1Hw@eXb*uqMpSn!?`_6nj2x$_liq>Y1r@*=+Lm8udWu`!hOySAZ+WRN1U z34mxBI<=?C4?5V2I{d>Tc&=LAZ$JZ{K{!l4WzioW=w-c0p&$K^;<81~tA0l;CpE9m zwa&LBVKzmt`wy*L^q~Qv`+n~ z_&e7u-R8B z!BcaS+}4Pn=LZEkjb4Jaede-W?fboim-P7b8idE(o(%78*>H5=Zhyf^qv>{Cp3nVA z^>p18<%g>Av~N|iPn7qsq@TR>>{%`CRUtIB8`nBe-mXHYp{RYNvR{f!MzOu2vR{l| z)wyDLq>?xtu^355UYuF-g8+==5ps4U^%0nLC4)w%qH`nXtCQWNc(v~f7dEoD z)ZHh?(R1T3J9sDT;X!mamj}PDOAFjDib(Z`$D^&sd;wo~TZVtxxIOO^Tl!Ke{*>*= zfA6T^FCV9m&WpKZ)NL+Snl-2F`pMKqom;4&yJ72# z6bnllHAO02J8ytkQvp%uCaw_Fbd1A-A7OtpPvrN(R%wAQxWPz=W{B3^_W0b?MPHWD zkHhB87pFPOxwOnV{JDXpvT z$w_*^+by`;dPu>KKEWk8;fiAg^0gf`1D?G^>NORb%>xj9m&Wuc-rexQY#HbQ_W7XR z^5sD|Tn}lx_4xMr%sG~ti`dmX27q<`C0|zns-K+&c;F*R(DjV~$#1lz%u!uB^|dmS*!PJ{&M$YneB(Xms^}J|zVivzQi( z=D@rk)nTb69txEk%d3Z9G-dG0YPbPM@IC=byHy+&=~vxxU(K==p_hAtojK1U z@f${bzb3h(1Mx0&{vsCO1qna_x~LE=?XN|`m}?>&+3N{`+gyxx?BLXYrpO|0^*`r@ z@Gl)xSQpI#xU-6@3bLxb*vkeqhEL-zI8hgNXbsBme&-^b+NacV7gh74aJwIKJGFC* zz6blkn-N?kRC9h)*qPpOyni+n4Ce8b#?*r^vp=+T*}aPMNXebfdX>kX23Hm ze*6>+zUcjaXCrjDUi$^4OoeAQ|AYjNI+T}gJWl3V24zLz9RkjMY+mI5uUCJX`;-k*K$%+JTvR*?DD5Ufurxn+dG z;q2HWp(qU(Kr)w*LY7P|`GE`Xcxca@M@%J9v>2Ca^Q<8#q7)%fDU>bV#RJkx7cCK? zaQhJaj!UAPvs5Uhl80jbeh|?FSRfu@fB+=SiE1K=awYy{`0Yn~jG_Z+%dJ(4Soo>B zSc?G@5!fOQp|039UNs$-rMgJiQq`T7?_7b9`3X74goAWI9SB-sBZb;HeZ6964~U?F z%EsXee$&ehC>k-H50NkJ@^K8AAZ$uz(EgoI5BUL)v3=6FGmXcKn?W;EA5ENJ$j1UT z=sEGJNXm;JE-oc0_7&bys_*lTstzAD2*S1gyb82x;7){57wOaU2vQ;k1!Udc*?GZR zi$mrU)q3{ZdBa=7K<4M=Y1&Vh_PWw4z#HrR%?2H$K!mZM6z+opf6a;a=HH21vfp%o zQuX(e;O9Azfb&XOe|>6Dzp$qDeU@TNh2cyI`583S*f5|YjY^}uuTpHaB*ML1DE)=X z^-}Y$5+R%GdB#|IWSaHs!khkkns`+a=~4myNuc1zhtipL;U#^)T>zgK3G$!gMO#7d zI#$}-5*dz#kraBviYV9qTQE7e=2bnEc@{PoVx6B{^LM!>;~dB)5e{mj0t)4Zs_w$1 z0Nr^^*DF}Q+lB%i=~xNNvkWx$>87Z`i}^$Frt}d3K<{&PX0RNpi2vnL@Rt?AloTgG z$iu^UN*U?@6n1F)l6uA?#TPgv)hv*jn|MaMyLlvhQ60Vx?K{%`E-S3<%B&fj{pSQXjH+I^J=wBG6RQ0W)#{)U*bo7=W~L>ZnLtsic&X8D*FG1DCzQd$abEe6_mI+?_RLRW5A@Kl zOSsckrBW5r*-{|W!xP+*hauG`wW_5P{@(UujDR}zwewI7CSn-{bHXF6w*LXLtfX)O zj^Ra+B|iz0h^KE@Xy+R?8habV*?8z^SMJcQEECf-rMu`$xX)-ICxWno4pk+2VsRc^8JS3RNl2&^vpB!DnAM9CO;3J zJ7wyA59wZ^@LPHJDl=*iBW89%9@HZe?uJt;BsHdub3j@1S|Vi7=_hu6JTEB`ALt;I z!%Xg~*-)WYbxih3J?g_2!s#;eoH|{!s%)jzZFC)4!>m*T|9#IG7Zgx1^D7^a55KtW zI-g3f1Aw6^uF%Fir$Rzhg*kfq`Rk3GGNRaO>G^_ad`Coz_^5 z4~xV|d{qxcD#IhzMBt(}&Mm$1qf&d~S^tHEH`wdL7;s(X^t@szBunTUSr@Pk{ysm= zo;nHxnXJ}7)>5Xt@ej;NvuW(wcA!RABe^%5MC^&K!)~Vct`nb=(;Z9&<1?@}7bccs z;SacIhV3i{61MR*Sw_X-OLtB;yp$)CM1SC&%=&#xxpK*vQ&k&E>SzYUF_IB8rSvad zlqn=cKgr(?IUaV4>rUA$@y&;FxED~cJuUYlOXdzKi#L_!eD123+4YFHt>jK>?;jq8WFeP2 zWwp4;0S9>T7?(sp5fO#;f|+2*bCN3Z+U&v+$teAuWC4O9p3u@CjC-dHs?e|FTr=AY z;7}PGuw>(wf&;{%?#NXs@al!B0Y4_AZ~sz$aR{#@hW^<-$HAyzGoqna!`!pBETDc$ zM|b@-O>HXmL=D{xV>|n=HihWdHG6w7@l&OC-?F7}_5kfFwO2g0K8h9~0ko0f{p&%u zwiZ44l2m;w%3-zcjG%{zNm^%e18eflwHvxlZnU4#>- zmkSx5Q_>rJv*N+xTEmBie~g9xEo6Cv)IcHjutIRja=?AsbV#QInsUFgJ^#V?t{(_y z-(Iw#UcW?nli7`PBbo>*DBIN_HgbFq2TgHHpg7zbq+g!j#rZknLidEw72iuBG02eh zoPHG(*fj(-_gnG*zg{vhwbO)*YyEQ8LZEQ&(WXC4G0{hLZi(W&;;1VXU8k<_trj1? z@Ett#%sY9l>C2)%<}B?kH+8_n4?c`X6vXLTd~f16crb29$W2A=1P3^Hb!15X>3cc< zn_m$BS8HD$4b}huZ#To(!^ke7tb@jzvG2wn$x^uXYHY6H0mm>hKpnsINPy4o69$hd3CH>u_lR7X2%wj7Zps}~r0dd0tz!Jk?0%`FWfa|D0( zoT_K14qZ}7Tj(XX+ZfYUDnk|j8HcWhT%Q@ZhC*y$hgk%(DTP*@Il-s>LU{2*;(Czn z1$Mt%sa{ysPoxr?&L@=?%j!h5H_VNk+m-zWXX+xLQ#8I#s`wWIh0( zy~13&(SFJ8}6YqY~{Hl|e+uz$p2d zpjA}jEUa?j&Z5dU!^n{fo9y-6Tk1Dc_M6HyB54^KFtZx4PqY2`lqfDgqGwlT&nq`{ z%)l__)u+AA-_1+d8GcJkl_yQ2$FPPt<1-m| z>EE}xeO?z0=(XrX?_S7CYJO@_cKfvnL-BEs^((U;`*fdr5h-!LHB{)(0KYYb-{P1U z)bTL+y0k#{3gkpA}4v%wsMcn_OjG z!g6HUwfI>brmJsv>z?aUPl!oe8rFdhINY__ZkVhX;wmRK?THcS&7#!f&l1UM{Hty~ z8n}Sus`J@f#pW~j9I|}+HrM^mB25*7>DzDiyy}R#r#O1HHI6=r$GJA@VGA>N&)(>6 z%2OSs!0*8~Ryk7E_D|g?(qSsK?YP9Y3ln(R(a?dMtS+gQX?crYA=f5-lyO=qth) zZmwCHmnmMVGhF{3`1>py$N9wODrSwoQNAjdMh&(Z(vrSn`Hy$zCo*$BrC%A;<{4(S zXd1cTskU40*jV0BZ?El27onfj&xBK)?jv%vd)27a+WA^_tEy$r1(nn_J6`GQ33IrC z$D+Hj`{+msl-Cs}_%+J29f{-i$a#3##9ZNEpd)gU)`GGZpi~^da2DU-T{lw`-aEef zDP)k9p}I*3jVL?B=0E?&KkazAIbdCWZG`1%>zn?z+M(&uR;g(_N6(9yRrOcKDy~*V zcS|qaUyTeTdLTc9WqSKsyJ03f8LC*x%!W({bVF)+GK^|kf*kYnyV4}EQayYp59~cjfLL8Fk;48UEfVTVkBDHgE3|m zdO_RSc0ari9T(W^L(Y^Tp~N!OyExHx_O!+ARnar^6WhIGc?@U`t3MxK(exTM_sdf} z;c|?7g2JOqHMdw+=u4d*@84Aqe%_M4>T)TxO06oO?U{zVm9TO#d$!$jwjKWyJN_)Y zyec#A&znZN@hvYcHs!w(!+5O4@9nVyt_u&$JY&iDY{Wb z34-Gh6XxC<)oM>pgr}*X`6HTq`@RO)tP9MCZ?a7LST#>Q3r|oFrMC&jN3V^aTMM|< z7|^TC_vBq~{YvEHhHt$~Ll?8{+&rx!-{qFr z?R%#6qgZEqynm^^8NC3KR3q8m%|1;1r66+Kv65f(TYIMGhdu+_aqTc`TCC^qF`m89 zUv4_fL!P459?_J0hnBKCbul?X(D|x4>Gc(z+QJ!slRpi@hb`+X(M)e|eYgzTY15sL zjh`?XA2gvC&aQNgWOBQ0-uxt@o_C+iew+FTdMLjANT;uI@#5HE$HZWsyGb5R$Yx^X zb;)eE>T=&*pT<%*|DxLxXZoMC_1ML*M<4CuKA{gz3jVZ<720uh9)1{`qimMEe>u!F zl0SKJn#+_+1W+8&kqu?HYMa;X&s3!2tkhJDH8$eQnD5R^e(Hwy5mELzYD8_y9`}`$ zd_>SbQNvGupYvQAO?hf^yrt_Pcy5=?vuH-u=Wii0)KoBocG$b@xrFju+C9z}W*>by zca}TnE%~E`wa-7Li>2$(2n}>KJX(>xcHpkj{j!@9ytSCI>gJvwg;q_-;HMLIIT1bm z)^+0IO6^$a;A5r>{M*Fsq?pvyY^J)4Do~wM)lantd(_ukTVvS0UI%}-qJD?cYzLR> zKw6UJhpOsNQDwXl@}OPFPW?2g5BobDvsgDa7+6<01DMRXn?%D0{5`%Ey;tkEQ>-mJ z=w4sB={$VM>p-=1pf*xZ9$}wTuBABr{OLbpK2SxcA#`ijg`3sjcdJjBFx&K#6AeQT zYsV^2R*?!@+Eb65F?)RZ3xLSvVS1d}O@4_KW_PXq=DyhEQOx}xOw7t8jr%{0nU$v* zltozZyVGX4`A2G)k`L(nbEg-vDBtr5b&fx0u69OazV|Z6-*m3N$r(|4a5pKRaV_-K zO|Hf_-`XD6JM}bHKpWMizpXs1t#z*W5N}oS?nnNu^LeVUInUmA+%fWY_O=iy$=-S! z1!abR;kbXbHm;n*xmw4oZQ8%>DCp|WN@7w}9=7crEE7=(?N>l~B#&q&=!#ThaOj_M zcr=Nnoqq3)Z^B|8Gya}Id3{&3o?Aodtns0BT+1!O zwZDQ<2|A>wnp}yVfBGuFwbT_ZC?bh#M@ZeaI}z3279`TY5I;@QnzKkD>8#8ijTN5Rz=NL*(!GZ z@;$d#`?2$9{~*s-e%=|&Ps!+@yNtOGiEW3`Z|kd-cVw6N9!S%O9-W5*JPfNMxNUx1 zHw>({IawNkT~G~a>g3|Cv~v3M5Or|(!8V7KuxJG7>d^~R(zsh~N?PxwUAag!PvkLQ zyyWt(8|S6x=B9xA)R3(O_Z^w=i={6+svFlIZB!RVt7i!-I;o%irG_%VNb)I>y_taYAg-q*jpIa8LMRKX9&+K zkgz(emHRzPH#7NL-ht|CQWJMeqjJ$MhAn#Al{JAasnD0Y;)Dq=6OJquj?5BnW?T8{ z;bUo7(1fVirQ}G(PAv!rkFx!I9vaOo?UnGgj#6P2dCR2gbq3I zTMq_;Sl83A)-y<;p|CSV^Tj0HOUf&0H*HqkLw6&o8t{Z0J5oi*x931piKj>C;*{bO zJC2u?iYIVavntAmGHw&Tl__SQ*?rI6M1xJMyD(Sjw|-ImksgzFxk_?>iEm|N<%Qv% zmc_CUxHlUnph3rU`BBU83+0Mv#5Y;61EZmU$?LXW z45`J6uFN|1&EH0NWv~8JEZOZ-HCnHFTzdG&Wh1TnPRq4MCHrKFfFx!+E(>Rq*^6pF zO!|TaMOj7qNrSX>30K}uMoCVzTZwhex?K5y&VAnMe^4|idqvRW=cmH49Y=_$ITOMj zG?dapbMM$RP4th<-hWSFsn_~(P-Nau*wzb6u@=w<$F^7w5_oyd_*t*gx#kZ)rd4lM zRAmTTZ9w4s4#UF~3+7L}4vxI1w=fX49Y3EYypJVNj~5KDZ#Ks1KP*dCH2OYFAI;It zOc;`4WxJqd*q~9uSUjQqMjU%xD~Q|H&DfOn=m;N)EfG9WN2pH7GEVw~x*Cbru5 z^t3A3yI@y-#B9&nJd7}C&l0V8!#7)2U*#Odepnd=+d+WsJYdMc7vsZZj z%_I}2Tp3U4LP_g)m+QqF9sN~bMvDHa_Oj|g*^=^MYZoR&?h4i96# zyXJJ)N6z-5{I_y93H2BHeC97F`>`QPr*u%CJf3h7mMzv%v7LUYLpAZg(dx{%jI}?O zeiJUos|eh%>E?1E7xs9Z*6*kj?~fc5?D?AtbBjqIGi8N5cD<0q>{vTbpQBats_f< zGjvV;V5XJO;u$V1t{`jr`j^h{k9g`oPtdQyD{g9aE_Y2;%^bl*zZXySKbacZFu*Ub`AmOqXBtten(i`)Z1PYOOuIt+En|{R0VmJh)j|ZIrEW-plz*<6zFC;*g zQlhE?JOcQ8pcw*V26+*4#LDA=4tTdR6$$twFj&ZfC@hZSqp*6>r3Z92V02*N~eP9lu!V6+2JD_kF;2M&Y0&XKFun-f` zUmSOeg61LJ1+rliJzxsKAAp$1BxRfyFo7fy!F7a-5yVccki(CYyKWdP(67%RsNpaVwnlpLHc zB=mYgTx1^dI#WTEVEp?kn)g1kEXg`bQCKd^aJ2OX=LdG!O6}@{4-FF zlFBvG4jv=)&os&gm|?OiI30kOxPA)v1c-pUd;q5i@&Kej&QQTg1F{07IPd~u!U{S` z^go5uqL8>r4}fg=#0Sul;O_$&lSxWAdcZ}1lneSJTnr#Qu|fjJOmW~QJp{Vp6K&vW z!nzM6LEcfq(EOqf;9WwF55z$3Q^IKiz5?h}@CHKA9J)nJ5vS5ojCt@6fI^tyZ{Lgrm|z>2bf3_q z`2l1lqyW$@a=S8B2v|VkGr%7Ri~(dw%#pxxQ>?hrPXTGzH2?}G*B~fv@-Zzh(Zo3p zMKSb4;8z(>Vy_4CXr9Sq{rH-oUU?kwiw5N}g`jj4bNvfi??EksyayCO&QQYf|CeaR z0xqzLk6U1?g4R=@hUhj zAOcB>`5%Z*xjY5*M09iGp8@iO9CyfzZ1wN?|jAkN#)2?H=R|UZJOuY#RP0+TsC0*hCk&P4M@GPLfF~ zI9>pQB&CBn2p20zfhaGIQ={;4krDt_*hDu7katk!`cM?{F&y(A3?Q>Bm&bt=M79yc zMeLQN%238(|2Nm91<-dvJ4CiAbcJXsMnzECdGHy)0u0juRugi3AtYHr38xD13!v|T z52ArhA+j-wDsky;dlTx|k6?lnaFdYY4XKmcRj3Gn2Z_%D?;AX|X+0F*~e=t2&}3Td1mWrUNI1C+rgTEWNvLtsQ7f)g#JsFD;ZF8n=!2af3l;e;Fj3M2O^c(GB%?I}!o!&Tbz2jco&(D9ngce)Km-u@6wE;JHcZ!2f8<`S(=# zZ>Ah!FGpq|0Xbp?0mNPjDvaX8jZX%g$f+vj@gNU^lBw%qk?RFXlC4lU37}X29Sf=; z1g#+_V#+D15T%{_ACnscKc3{2(?MHABW(BOt;nQ*rUHs82P_ESi69(-VS{{rMP&gz zG!yi@=W+q$yD_92UGP6q?7cC0nU3;ZcuZzPg7W>%OkxTl`jf-o&AghkJH-cLB)2P3 zHQm?6{NyNowhh4uj5!oW%n`@^gDRD7FKgXyR{aO_!Z1N*vm_K%CL<0XbEaug;UKM5 zf(FW?@v4Tk2v0W7Jnn}09-&Y$!fQ#L(O^wmV^cp>kc;7RO!uq$+cd|=zlxTnb)%BS zr!$ttyJGzcGFu4CV0YEdyH5xE)>>i)jcNH2Mk|ZeUk{~&=Kh-4Xy~@v)?pZS6=u-i zunwAQuvySY@rARn+kK5xR(}hc7_`rj>k4FW^{pF3n<@)5XCL?NDb=x$ou|`>-^x^L zIfnAxK>6)8%T&9AY%v+ZE~R zu{6(D^FECH;O1b>xUbK6njs8hLzI~lz7yn*qFOkGil2J1Xjz}Y+Lm-tTg)(+mT;1v z`|5pCdOYzplBPfg(RRhuuf(HM*ObUglS_Gvc$ZRjrd>dxe|UrKhrX7}odKJ>?fdr* z`p_%3;@NL$^bZ%?65Vl$zv`Jt8;3$)p36s{5-2z*u;l>0M3c+fe;I}3-ydjqdH#*Z z7Wp%YSQ{TGc;fO!V$EXQB-`Ld;oNVEQF4RQ^`Awt1F%Q8mC`5c2RbVU3{g(TB_iSl z;sOeCeB3ALn)D3%#|lUU0x`zMCEc5Vl^j(as+|)?9Z_lei+{!1v<1L2U~h_$a>4$b zFx&Jpk>`XM8%u-JBBr{5&n*oqE_1#hG>JYZd@@=IF^6c~6I{Em$_!q=2#}&SDd!+<5w5%_6+u6qN_HZ+cUa*t?>&3KslYWnaaLK$L zn1JbsfxX4cU|ZA%TF$jP!QFUH*R#g@jfM9tj9MBHp9W%l$=^#n%E>}SJkg&f`^F@FLARV=7} z+McrRsSNa~T(uVrZrV@r`6S9pWTw2gYMbVezV6;``$ZzO5-!VhU!5u^*Zg}hI}&v7uEjb|g9`(^gk~D7d{0bo(IF-9_ID%O zfb^F`QyeUIQ)li}{_J%rD|K1AtR6#Uub z4~@6k_4h6-1jL8le>rh!IKF|PtW>vhB+Ff=DeMamILlRhSu&&M5FA_-no>nL=O)BD znSH6H zZO^;Su6Aq>z8Tg{NR|ci?WdH^$#8TpX>cyQ;w2nnewwJw2bn&!d-ki05Hy}LbG_qo zpK_)hkF)NRr{%I|WN7j^YWT>eyP;57 z?k`@E_MoFFb5xf*n$$WFwvY_f?(vhQbEt(KG#>VSu$%o$=(!=D>6Xo{^;5vIZ~zS_|fe%Gf)G8q~aQreWCt6TUKNvh3 zl@xhr^U)ajqBU0QGIRFlkXP9Ksq=l>OqVtkH`vVEUaVscQ!1oKs&hN9(MULq8Hzo& z$IKZH$8`1*cos?^6fV^a-J#;=HM}tixsfscx4OANQ7(2gc$KU7-f8JX1c${o%`M2$8pGDbmMnHUQL723x~>O zuI(TPdcV*jA2k}q;=?GTBy}5R{AAWkF8lO+{<?NxQy{UgMF)TpXxba;A=%uR48DHzH& zS}-NQ-B=jXI*Ub%!rwYN=|hv}=jBgJsJdEC>#Pe#vp%gBHGAFenaYKoL*=?S35vbl z$P?5(heBR+&%gD#K-j3FAbZteZBctjh~wjIdp(@+J*;F~LB&Fo@NxXKvXv&Y%E^adadOqaR782arUys&_G_=jnw!da*Vjrxt(8q$wpVrU7gZWW_+sUP zj|AF^!pX^>PJ}OuMC>p*lq{bc(hfzkD0(rNDTM3O4W6=ghFgMqze0ZuXMO{s0G;$e wc(`^eMm?27MD|h9?=5R|GC}jvJ;SAk^3Osa%$m~=<7YPB{W<=j%AY^~3oq8_X#fBK literal 11769 zcmV4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*D(50RR91006Ei00000004Ol0000000000 z008+d00000004La?0pNETt%672a$F0vH`qcKx|^b3na`;?!dzI^mJyL%yhTiJ(Glg z*Un`o5J+a?WF{nl7{dJ`mw>2<7*UZ`*Hye>1VnzI86#<#nxaap@|3dteAQ#}e20&>TF*Rb{AF>EB@d=D zFM0UOq+R`VrgHLOos5#Nno66q%+FUXQ+Y7Yx})7R2*-Mczd#(E+R{6^Vf?ko&a;Ss zq~4793PiehFXDdfv~7pBAGF6odmc#j!v>7gcH$3139;&?%(r9P2G5rdz}@O$ALJPS z2GQ9M%t##~mT^h4KQitPp>sj4lg0F8uI|`0bU7tF;@U66pZDz9;{#m?F<#L2XZP$O zfW-T{Na{jL){)^esGEj#5|MQc4n&+WK5_asq>3oDI2b?{0RR>gkeVi0Om|BtU(~P? zk>UAD9cihQs>|glq(0Pvd8Ul)DVdk`Jlt#NSj`c~SlqL0SmP*&(OjUhZCXXeSG?La|<}a7^aZ>X4$7c9uw5$uln#xJbx}bVl7FI8LFlFF)nkI6o z)EC{9$!&;-A>QuByO5^cNUV&q5B+sKCXK9@^rlqGktv`;xAP9zN2Ismn0kJP6>gyJ zjPHB$**&W6vYx4I-#$3KmNYCQKN%zqk0lNBZ5mpkVMFF$iuT!-N^O(vqA#eyqPNtHE$88#El}6gOk7J9y$v4h^RVwvMb_~LFC#nBE z3@2A|&HOKyre5i0W+*hjHPF0Hq4~3cWn zvzWoT*TnPMzrqpk`N*hVzh;?woW?PuT82X@h!2SIkbxvT!#)797m9{I4ZN3J9pA{J1t2-ftz$D@Xtk5dXE&UKs~T>T>ItuOzS=#q=^oxr<{eELXj+;T ziAR>al0-V{GK(2E-0!BuXcuHyKo?ZQD{(L~wPC|nwUC70aiu&7-scFt4z zs(DhbM!(l7yHHRMH{pV>Jdl(WPM)4Y7eq)ikWeyU8bl`O00W>T#GLLSZQ)(D?-_GV=t70M z{6hP|r3*DMb;*a?L{LTJ6{?xw71~Zg6>2p><(XAjB7^jBLFE~&Mk>!pHBxy7pOMNl z@`9+iYUevcjqi|!THhfHHNQg^YJZ0;v;!P6PY=-ZR!vRT_fqT)IlXYfy#l5njKk+( zLaSSok=%6Z7`CDD{(69E$;ShB3$iOk0kX$>`|acqim!yA;E zFj33>I;h9YlaDNm%2U!wT$EIm6NR2M#7LW{7+K~|RT}1Hy~;Jj+yxrifGK0zjYlJuYllox zR1eofHpd17<;Z62Z7Iz<7R9WS>o%+#JB7`;&S2IFrH5&fG>Mh412}FV8B9IA89e5o zKB!yLD3?)oi8Q^b`((XLC0}_g`7xDcOy#jmSr#Nit!JKrPyf>^H?7++wSLv)x^b~t zze2GZTe!D5!b@GBGhOdY!}g47Z^y~c0#?Q_oTOtaJ&3Z^a4GzP?_o2f5ql9|&WkE1 zq;t?$+<1rC*QOq9>)}G!{y_JL@?I>q-|qVI70@}2NIS?>wTbjUwJeBEt(W;QI@TwR zQkK-SSt@Bwsno?(A5>0xLFFtXKUE)=$zzEjjii;SER!_N(q^=*Q=`hqg!7j-!>5$6 z9pixGj)6Yai}-S$gWBzH_kY{}pTBv4bI*lX(`a*bo>TLvs)uthU-6`h!KR-sb=ObI zrHr&2r92+1yL}$ZI%MnNOz81C_lUfn>v@ARu7K`;iB#&tltQo=o$ekH z-zr^qKp)zGw*}jsisl@#xp1qQ4C2B8rBlGfBsklufiSAN}nBNyb`80u~S&1?k zRw5%AiJM%KXeTPRxRH5DB}LnsIhJW57ify)-hkF z>YxD0%cPaK2`PzI65)oGNTpWd9;75Hp+Xvg`MNxhsivbwb`0~9)gt8UO0DF98&)Ef zMB+Z>V<=!3Z*%XlEl0isd6um}tb58+Xf&HwLR3Y041O>GBw}PbF3mPE&O%HhvdlI<1UHpDnf?*S)Q5vDsQfpm z=Q{a&q`ZBO#1p6&ue-k)k+<-9%#?wXB=cy7C@0;=5jmD64YEQ$bzr&V_o0Y%S4O0} zC?ehaBhsA_k&e$_L}@QCaYv!6MWkC6k**k#t}i0pi4o~ICp2p>ssjU;v0*qP{e=GY zVoceFn_XXjv9KIwHU}Wy%)uRhX*LKeDoIg4;vU+6x;tqwpo~07p*f*xr<5K&<<5b=xP9x4= zyk>RR$h?J}a~G~zJhE!R$m-E~U27Jug4B77R(Fb3gR~6a&dj-ilNJMK?`5ktkFFh` z*f3}F8EcPAJ@f3dd*o?|AP@M%X^??k?`TI|A423FgI^m%QV)`vld!u|aNL;4$&`UK zPEP)14@hs@N9m$%DCg{3T(Sgz1A_`x3C_iVC3L$z^gMg$CHBxu0j1T71^fvNCM)sM zrlpm302*EBVd!+J*|o^9O&Ib~muc0ZERzLZ)XR*C_YqJaQG4%c$V2 zw`6Ewz>8=cd9Wum%9o>pGjMk6n)Tyjqv`x`I-2~u8zws$Jc3NtkRo=)b(1OL-vg*J zDJuw1)}y^5d1bg{dLAX;1Pz&2!)K$xd6_E+{<&oE&jTJlE-2iu_ru|WK@sSqPlrRL z#h#QF>u%WWx1l-{Jp($|(G76wcZtxykBoj=YOV~DXMn~pB~DH2I>h? z=s`h9KbhKFbD;x8oq)VAKNeWi|Y3Y(-2S{75t zkJ>|j4ygUK__)2`{{)l|2y!kT#C{=*p)YQx;d6`hvaSFx+u}-Zw&5fGLG)A*erp6gwI|-+(|ocTKb!tK z%N}~RJ(Q0ZTPn<_l(_vW=Q0EN3MOOZ1B0onFS3_?u|4z>dnlik!q296FSCbUZV&x{ zJ(SN@;b+sYp#Jb<3Ks3@uTJ5%mB@f%C`}mqq7W}0;%8;w6XRkP`}P29+19K*^Z|S5 zBY@I{<2%G`JRwEo{MemyjEkHb8`)uvJRV5Pjv2FuzRw=I-5&aBd+1L9#V^&_HD~@2 zNCSguQhP87_+J3FbHs;$_JK=@nX@5TEA} z;MtR@Hrlat%w$UD#6)P@Q}6=+&s zUds*?vrB8sishx5GHlUHz$fGJ<)|o?bCq0qI43zT&kmPs+5SwSkQ1}#r@~pnSYjoR z>dwURU>?TglLzRiLZw>Hh%PJVY7kc(Dra-zuHrjj1fn83G$_e?sE{x9uc%dW?;gtG zNw0FP_uUnWS(5LoH6Bgc1>PYx_A5@maQFICCAIMk5*!o}ajb~h{s<0gxs%Cm> z6+9f!TU;g{h2%*!esNCS-wN{nOu08(EUkd5rQ$Ml7~CSa9QtEO3}l3|_r%}lzfIM) zmMe8t7Ifq)HQc)@E^|yWRB>mWd*gFI#>3rV+B<|*qf{(cYa-{2KwwqCdS7nYa3ThOsB0=i6tCvL%r)ybIwo!P2B)Hi@TSF+MTCMz}! zwM+r?E@t+8p-+{?e4soOdgaxp+WvfBf6ZBPs#qn&R5%8CWTQ`ofy_ZU3$f7{Sha}h z)v<@O_;42QbGVO#yJ6s(*rz@0GXu*qD=IZCKDBo*FV)=c07+_NOxD;T{FR4wwm48M zlhb>_iTB6w;PQfb9rO9xh$jSUibAoB)txdwC`M?*(S&(7#WpV&bj7?5*W7a6k}34n zeC#K|{vZI#IpHs2svpQ_Bl`-VM>UlM&ui^)QY8QelUNsn81XZ?~AV{cNFNIQl`)KB-*fA;Yyt^l!mH;?>XR0 zy`s-id@Z5DHeVQqG3mWtzVDCCmlG?_BZREp&uxHz8Bt1ZF6l8vrai;A}A z#b!Q}eI^Hvvro%bYuv-tdc-+Lq1fxJDi_7(?VT0ea~f=ZFjdyvJ{`UNhwm1JBN3OknNHi!JLgXh<@cDPZ@8Od}BO24-)>K8N1Tzz3PKnMxMt zgEp+N#(7xDlg-Rv-g&T!S~Y#2!Kd41=p%LGjPF`5JFv8d2fT3>B(`AW8e}maGgUh> zbLS77t{2PBwgiPKG9%D?J*x?;SAw`wUEy4&Bzj*IEXh>rJ3SmaEJeo2o`n2&5uZ@r zVH*c9s2MJd3Dsb)avi7}2H6aZ6cH-TNe&F#?4joZN_P6ZC^+Mf)YmU`yS>o!06hS# zu_a4qDru}LLj##|Iv@LK?DN5hn{Ag7rfZzK4-R6Nlg3U!w!oj7T66)paT6!kU_VYC zoA4JV#9stFM^**;59HGMLH86;%2iX74i|%mr59ZaC}*M4V9!t`T^Yy>i_;ZVs?3|D zUj`N&I?W!mGGQ-Ifc-!MEU*39>U?Da>{SV{S0}*k0JbHA@0wOIy0%q}KG-To{2vj{ zJ{{-$!?`prGv<9OupA0VO&=7HF5hexQU09acX9* z(nng-ulvTQfoZ7T0v47n{yTf<=k1|?Zx8(fp!`w@_HoXcYr40bALb6M zuYPYwFjJ^g&2RR-iuFs@# z4m}%tZe0ARdxtM$a+cd#$LbrcYTm9^G5Tif8h3lEbh-nKT6e;AC%EBfF<`$1nB@$6 zmp$~`*7|EPGP| z>?eVp4!Nb_xt$$d>0&vZ8|>_i|AN7%z=uypcR0aqt(KUY|LJ7#o0GvmlMH@KGWg#m zgMT&|{Bz0Rp9h?mVZ~eLz4=NOH_gl9cA?hyC@^*Z--8S5V!zk&1zX@h*aE)@AbwT@ z_0|N~FDJl$B?0!U39w&Ffc-kKNmk9<65_v+5Wg!S{+qyCu6?%yYPYw#!(Ql}_Rw$H zL+`SOej8A$Ip=NwY2NrJoBs&p+c|e=7h*Go^iToMY-aOI^10qLpJI)FGwZwd#`q_< zP4Tx&KQIWTY29F&_5axbl{lm(=>7K49|FqHIp^`>03Je1$8YkFz`<^O{n#4$6Z-}~ zXb=4tYkeQG7y45`tp?^eo)NN~GB?^o-)j$@u!n8})XoPd?S)R+LpR$)&#;GX0o2Y) zTkVCO1t>qUQ@>}T%x9jgX>vO|x@=y#;ahQ%Z;XfA1hhv2Q>n`!m1l69){oRqom$5h)zQ_ZPGQ1xdOSR7jl(J7< zQyZU}+&DEUdHPdN7b~Ctz6^wXrqDsx)~;JWy2+1~#~vQaH^8q30iBpnS@sPS@s)Z! z5LM+1A{7bsryf>HSrhNs z?ykV%E248;Xvmj4IS(kqM`(2>rnMN}thD4TAVb`Oj471=O3^R)>~J_O#jkcHoemYz z7lCDn(x(174wE>q<}}`~Ef&JR)hTobN`@@hQ-MC`wP9JTrX~e?T`1m+Rms)737SI% zd<0*!DCAUnHE+W9xneDxt7H%TlB;p#e_5b3oBQD?r*iQjPK+5;Em6pajtkin49*(hF!J@iaKxx3#qIWe_n za%y5!aZFFvWz%f8SadXYW!VteQ%fb!BR-nDc;N8&M&a$vI7rS`%vvxi>pLg$r? z=jWUSxO17ne~k3+cke+t-*n`+c=)p1AYbn0$1#liP;$EtCkN!F%+DxIrS3&+KIb$; z?@omNULy4O6QO?qbjWE-vxYyK4F2*v ze1E{%^iJcG2hDu$)rruraaHf?uoC{JWGz298GJ@E_#w&QhXHQyv2P7Vqf~}wot{pn z`r(O$&vb=%+WE}e0LRbwoDECd(5&IJl7No@IH1QOihdX9B2E%r0sr9Pqgb)U8AuMV zu;-dzmEzq}{{sIl6a*+QjfRx%Ac|CKxXT*(ZENJ+*2w>Bjr@)^@*k~{-?c{mlQr@l zYvjGw$lXAOIKRpLzGp4;`_{-GSR?PVZ|FZ;3w^*E`9o{ukF1eD1~SAKI0b$ zP+jVc^mM@4ar5zbwNmWKHdB7RE56J8(RmHt-Qd0KN#jkc*o!Z#-qenv9*mSpg)$>3)ugP#R>5}!L8c>8(keaV!6e=_*CM7p1w z2)#WK`n+TfKi`Gpv&PEg<;iL@tWtXc;Cu)K-^c15%2w0(91R~#3JlHoT$oIai;}@F zP6odu8T?Yfd76i(Uo!Y|cWZ0d1y}4rA#oUkx z{jo%v-{_(jTJ5<%o(R1YX#7%EM*D;-x^sa|hu!3go@WF8q$}F)BoR$Znzm^F7^+f2~fR3`+HR=8h8+>=eGk3+;UO6ql9q0(BSSID~ zh%daMdFsw&!oQUa{-Z>?|2UcOpC*Gpk_`T6GWgGv!5?$sA@^`hKKI{%hi?Q-=wBz( z{r@F{|0Y;>l*+)CQTMKZN%9ZTgg*%Qu>tT`f_H?mexCupAK+0YIurg?uK18{H^KkX z6(4p5(1hPVA^z2_`b{2@21Uvw{xu23zt$CRX}yC0r+UqIB_`!x?+TC8_HIaX!y5pP z?K#RK^=1q4QZ~{VHsTfhA;9Ao!FDGEjJme~%G(jm|Ftps@5aDe62RebR7Nr1-3I(j z;K|?D_r6KTgYE{o8;%S{%W9}{BzOarv+HBD5xV_)1N^XL@L7OEfB$e%{ED?E z;YTDBeq=KE+mpfH2{??JT9)sv_Sc%8^qboMu4Eb<1$dOX&LsZmg!tLO+jst)MCfCJ z(Y|@w)bb9X@oV;Z!)AWlnGD{Q3_dp*d|op6{ABP2fJgJ0D*`6F^CF?o#IOuPpPvYQ zL1grf0L_06bd<5W8MD$-z094NKtXe7C6XvosbQBj@|)Jk+kp%*vq{}M?4fr?))$1SRCmKl3!qILeU+W^ z0HC}9>b~Aq!&A|*KjlyW55BB3%y-l~7cZXM(9E0j7_GZe_mI8*{~HskNc|I_w50g& zpvLR{9-S4#(NXEEbND}H1A_#+bG(G5$$Fs3>ZinK2gx<3&*p9p(jSxuEsAjGeB-6N_zIO`$aC>Ugx^)i!XByv|N(I$B zRL;PHLf7mVa{P$@x&p{yb z{3?yiV_Prs{7<9P-8_79&p}H5K;$vcr=CVRWj~CRWz2IRk=KzZ;};c}C$FQBK8(-! zOyGaLBAYswf2gRObV(SqKsZ`c9+(rnOtk_bUgg1tHrGRZgi3|b#?2uXjid3JGvz-! z_E2c{&>9!s{FD4l!&T=;5j=YE|6zFwG_m1KHPto9;eILHZhORPkZ?V?iD=G4lfwVM z-5jFn(TV~NK#y3hajX3ltq>c|BfRIrd9;E;(?hKB>bMP;!dcB@WN}&*k4Lzaa0<@K z9zDWm2TsNwNVt^egrXJQ@SG_20x=DrF2u=->SNka*HqVLT+Ly)_IunR+&?s?+6<%V zVNsy@hXs#th&F3$kSqKK;tt7Uv683yI4@TFR@*yho&7eySaI)6<)O93qQGKu z)Z8K59^s0yT^_5i@fT=3coyY>Sm<%MpNA$z6!4>H+$}To@KmkU-Jpp*fT9vg(K_n& zp-i>;LsQJcVZs-!@SY1F$eO0lNr4!q?|~hK%5EQdI!bGcc;q`_S3W0g31_OcB^U! zSu{@DZH2F5Lduy+zeE&!q0%n`vOSc?b_Ei?`EErwgtvvh;#su9ArU*O?6=3)l;#U5 zDn)Q>Z}mf(y0)fRc;mz%JW0`1?CmruyPD~NIdY$ zq?$d1*fW*3@cMD;3yL1%B=Ka0B29{>Vp-XoBHX1ki-kj8WE86vBOe-QG0q6G=WOk9 zn8G6+C}m9P+weI^82MpEb#byfN8z!QQhupW2*^x@LOZ|JdQMvkv`ZC&hbl!lohs$) z)MK^sG}G%TMswT)Axj$hHbU;itqEE1I16Ut_JrV${Lc=#X`8rrAt~Nax#n+EH{xc7 zEZB49?!$E{n%Gts2=UK3)8|Br*83I(+Qg=5x^eegQ>izi3uQ+gHut=@c)IfD%ddNl zr{MQDzq314M&F*}VlKM(h7ZQz6=EZ6iq+0dH6B`lcDkZbXsfAq-BL7C)q`J8@-*(x{sK+P0LO>QL!+n{ z2yvkPH6GX#5Ls}rERZJr3R}loN%1N_MV+aHXa$-(XcxLyK|n;+jZ&^+4YiaM5wCx! z5KZ;)0|Hl8Ij>fe8WX4|M zg<8MF=xpQU*ya(^x7uv^GVg}qd%y{|ftnY#!pPyb2DB9@{A5JaR4dRfc4-?$4Wboj zdT2~FNGmL+G1Ushq7kpNwYF$XwF0dz;XE{oa80$5%@?{n+YQ{P^%iJ~t@Kl9ZOQp5 zX8Q{?NVw`6L@UrV)hJ#{1%shB)mnS9AENcX2GOPq?Q(~9JO2(TMf52SenqbF29TzQ zxj;(U;@{KpZm&nI<{y{(DYSRJw6jKS%xNY}^5l+e%kQXaJd0_1gkQ|i45S&kK8;Jo z9`s*9dj)5dc$#WbT2}D?0RRC1|9Am>mR)RAMHGOir9}{0ehQ@pish%+))h*jKWx`+ zXYbDLUb^?*_1?Rs8y>ENYFSJQr6rK6NsTYnkbu#Uhyk0B)M6tMtY|{~3mRXHKKb)T zAmITYh(D>3^dup+{sXG!$kD1*Mg+ zb{M$$!>x1B5rANpHk+GaVVAOc7~Zt!*fqosFJNp*4z`fykH7u#H|51CF;(gLpfJ@Q z5lkEJ+u>>QKjy+ZKxk9}xEbI@E_{7{xE0{VF3jhLcLDsk3!@41n9=5G_b+i_*x!6` z3rJqdmIL%+``te12wnkwme(yuF`{Lx2}bYjzh8~VwhS7s%Ayb|XC*Lrc;BTX(2=ON zG!z!PBlNiDgrYp`%Ub63;t0)ojtvYY`v;!yt4$6J`S{Ap$I%v~v zuIj>EP7zpK{_r))t;*&s!A&LNbc@6ab`U0#&P!X~1Fwuj!(E^$+_KcLt_j^<$$p2i z-7}XfA!tac3}?%Tn~DInTFlUatY8NLIX~_H8^9d%+;DbOEf!rxT6=Fy)tparYoJH1 zx?yUN9+u5@5R9u<6Z=o(k3;f|<(4xt@mD3e8TI0aY#Fp{@2w%HG=Fs9GR|TU$V9Os zhG~;FCm5(AANQV|7?lcT!r6(K0HB)Wz;gN!LSSgP&+8o;KAIfpUrWH5Hz!A=glYij zd}lcf<6|BB3TDTqCMu+RH_Ssj0V9}LPjLnmeW+wJG_v5x{^U_#mMxyF-~Jl*8E}Jl zKAez-Er$PSm=aNA8%XN(z|3_RBoE4@hND&OgcDT*CN~pKjH~7oTe>9mdnr& z3Je@4uB&z}8-t0jzqoi-rkt!fDTy1|b1)x0b>%1MNMj}RXirFuf~!SL=s}??s<1ZY zIo(ajluvO*<7S3QHg@BI7cIiPlUAie6{#(G3E7#}nxBwvjCs9^7IPpj?YK2ZV%CwO zmm6A7fhgLEEaXbmP`dG)x3Vhm`kC*)w9)zK5Sd1M)o4)RX3){N&cnidGS9#+pwTV) z2F^~wf~BLLTyyW|KR1?wu!Yd1uQQqMq^^nv)(g|$?VW6ZjyAIndI}RmS4?4x+!SqO z5t#pFtmTp9Wn$%I@>8^}<9|IuSeGW#|&_h{YSGo1XwlphK2#ZZdy`@Bi#-Aqd zpKZMQE=oq_lGb?4F+v$0&vP5=0^-oa3nLgpco^%U;r8aD9Yx(vH^<%1D??~GE|7;C z<0c4%%e=|GT+q9S$lRHAd+vnGWd}O}zy(k8R|K)Gg+}LV8u7f{HPa)Zbo|;riOVbs ziXK%FJ1L8P{O$HtkV4{Qv3LENa?>t$8i4N4|1Fd0C?n9V;7*EcA&1u9zKZFBN^zTf-fz4g{x$-cGgRMk2A zp1R##_f|Is2na|OJSgx80%8gLV+WQ$jU61#ZEZ+cnHgCaSx7{j&8>csaIrFzu&^)z z|5=%VR7ILZ*4)XMMC_Nj6R7+ zV6T7(lKb$W{q3Ja&JotycbPLKN}B!5mF*2rV0sGYU}CBr?~Exo#rvi)O+sz%TkCb> ziKgc&$Q0Z5;<~(^&miR>*1E$R?%MiHy?X9nD|k}SaxJ_~u}Y;OaP|JFiPUUd`)R0$ zg6xBR_`<6}b;g$x+b74&D6`Q21L+thO++`H<9OdC4t>M+U1!9VQeve4PnB#hHtXPX z%O4tbTf$+?mYs-ed&^CS{10z;LQ{DBk1nfN@y)!%2FQ~0msU`L*hhKW9`Sj(7i6q1 zACdW>VtR|(XJ7V@5;pp@CJQJQez2YfGP`<8ZP6QizE8024iUiApiC+w zWY>(xe+UjNu$3UFGq4CXe}^2Vcg^I48?M>Db>lpJshCQ)q!~2qv9E21vpR6y2q#7k z#rTS0U9pHN3M&f53f#vIzW^7z+myvc;}-;fxSsR4rwfYsE&E>g^LSMlVkJ=@av<{D zYd{`b{wevEZ?MszDzU1YHN3Gt^=)b&uYsc_(vR?!M%(@pTmf}lpK8$T7m87oL%V3> z!7}F!8>*;rltUgYwU}${b*V$U?n{Xiw8zS3EaUk~vCg?9OyeNA!RJzr6yent9giDhTyUyb8@M$f27wGGT-97tDBC#5QG)y%ck74m!c;|tSA6Ql0@Rk5( z+nwXR)pn*`fi8V_UHQfaCB`hBAconl>`Q&}yYs$>as~ZCra7zlR&*tM)?ngTFY`MHnVn@~4`=df*HUj#T0hz(I#6>lFYd<;_A>y$A9M&Uyx^mZ~9q@Va%USXYYK-<-w_Gyzstk3y%Z95S0< zR>@Swb4lhaB_^P&sXO%3z&ENDn)%v1xsNb`FiTo!PB((Rt`Tmdd*u!;!Fq%pz!G?? zY$YK$=bLAW=w{2xK*0EY9`b>kdEQf4-&IK0g`LdsgRR+`Dmj&U&w4A{x20 z7%-Rwb3h2J@j~z<86G;c@+3#Xw|CP!4Lm7h_ZBSyOuj!J&*iaN5q+A~p)c9HV2H^+ zmsmSWBGVl3U_MKX8D939Vv&!7Oc{TV8y{F>(J%1KCl~|fKEXfsme3p7>Fd=BM$gS^ zUjUfJs8~M1IO`3wc5D&4kRAG17WJnx^Sa1I3En6*C1Sw(Lk>N`(r9uOzJtY9vQKc$ z^AFjQI>#z17nYEkEy;=s;QZQO+5P~m7Zndq)jSU!H(pmYwFz#!##3?P8(Vz8+KQXk zc@;T80gSu!ikEK;#sm+8ZmVVCIauh%|MjccAbwVV*RPmwyv(KvWwnpzj6DJWgI0+U z`895DLtjV?LWJG)KEEO$hr8~vvHi-+`9pgla#T|D8zV8~#|W$6{fawDsxW?u`4@N{ zK@%=^29B)f#qS~L=Vew7`sKgtD{c0S9IstDAytXi2jw|=Rr4beccU`9h`Aq|K}yEr zef=r<2v7K4dbt|E1i^%odew)hl7)Q=WG5q(I6DMakLAsx!VsfWx(5Dy`fEY86+B<* z&HfGXwn#y_CBGnBLVVxgy1c*ZE;TB!-6ABscbkkR7KF{&*LwN1ozV+0&L8T7*6W}d zZcXcnr3qjB6e?ZQooxa zSjs9)z421bf@fK*B5u?AdgkaDK`bp>a54|Bib(>U<8j_^*2{9)`PtvB)|C@=zgabZ z9{rF_8!ey6>JwO5c7Mlzx~-h8MMj2Ovl&pBM2Y^3i4-ILw`{dAKBvEK7N;9{sYhM! zu9!{u@@KFgh3?LnSxn?uiklPY1qBw^f2cK#exAOt-}HwGm+WJp+fpN9^fxH(L0?*Q zBMrxzuOhD*^p*+d-ROMq6VOK#)pBo~><|*tw|+sK?5~D?U198fc(Qp7BMMGX9OK;vSH%au zd%=TEKau`H*K5ra;ZPsJb_g`v%;mjqRQ6kZPy`8EQW>2V)-{7Y4MlqiV!d0t|9&dv z=71y>oLOo_r;{HeG+$1hJtXRU&%Op3zm{};IzB!6v*b@DBXSFjS*^Go}<#ehJ zojWHYVybo!UHm5qE3gvaS;!cv<7wNGDw${&eGQe$>wPK5cX7!@KfJ;mYg)kR3&YYN zsIc+%P0r3M`zMx6|DwKyngw*H;n95#Kty*``xsh&mJgwqq@$Ijp{>h?&&!3g$%Zd4 zQumbtms={q*wvRjt0l~p)B!oQg13jiehBu?Q2Qt|?M@vu29=^?lhjfrq)a2Kj_3Dv z#KgA}-AAjBeq`o@N|DcwQzUbh>+QbjDs`iB+f9)%g-r};dyw?ubL+byLJIR<-Q1#m z^lqN)n^S-iGm%2?Sg+=9vTDIE%kXjZPf7R4_vxluGMt5;H^Fp|v@ig24zRw_VVM*M z^OA?hnjTFB)vOTw3sLKxn8m_-%~X|1AT>I$51pxHy;6Q4y?T zjY}`(2UQEsRf1OFwkAewe_cq#f4gYC84aN?%97HWqlk|!HgFJ$sZ@}cJb4_2HBV7E z)Qt0k3{}zI8m1pZ7v30%ud4)9t!gG$_~SS{9`IHRXe}>NOinBYSRGghb2|JX!EePI z8GH!699tZbxwhD?i?TPIQk_I|EVfAh&8C((5cMr^AhRr;(qt!%@(6F1gk?DlDtwhZ z#N&L7x6|1&Ym2TZ?ct{w=SfwB)r>agYHst=$Xod8zWKw?c%XxmL*53O#YtM~aFzi+ z=cb~V3iU;24k~!iG z8~&YazrcMez$NO`5PDzs4?=4Cgb+tess6Hvq#aoSd=-l5f}dh@+$L&;LF7x_wFUN0 zni8>Jdz(fee9FVo8%0#>B)W_xKG@l=M*PZI31Fa+5s=4}0mYtLKkwKLM@kgPqrCW| zCGT$814f7E!>EB*YoXh~yBnUVFwj0vBB0yn9=BtzdHVd8dK6G%3@P{ASb2aOqB&>2 zs@IS`=@~EXS_Y z-xXqe1CRb;{86m@Wm1~IpMAvU!t8-i1wsV~WgwJ*P!y96n-*-ymPeg0L1!tHy!K1% zt7H{?B#19Bb{^BPd*hJr-8=1^^5MiB^juD%9`LOd+?e0alXk$xpWUwAT?s3^3JDkc zb|Mj5z_zbMF)5%mU$`n^`HzsBKh5GvHN-ASrh7MvOP>_y%mdUb@QbagaGgNS<{fM7ZGcNkCN=+{Zt2DqwHBKXaa`7Qu zuUhDcs~InwU&@i^5wEmhfhJZ()mq9y8sUS7GuVlcr^I(k89gQ?FS6NkN+CfmCmkd1 zB@-i_kdzs8a^z^0$>@Z6JPQA3E3E?FxftHCW$>|eJ48WKpHfkOZibM(e0Ubdt4xCY zic*5Dwxi7)DrI;U;ahAXipED0CJz%iRbKY}Q2#m8-jVHw`|w^?DvO=2NnY1IEBs?t zs%GhR$ApOYu`$*eA5(+kw!3D|y-BgtR+rncF=A#ahn?NA{)5L|v)JRV;ytwHhz3Q8T%Gk5uTS%em!l4K-!SW~ZCsf4_#1xooo2!3hio9M(4NPOZ#qYXx+I z53B*5{NKenH!>5V3*y7kEmVrKCehU`c)&47^Gw#rC||Li?=hVGCWQ=rFqN2e(7mR$TaX96eqHc-SJp%(1h{Z?H~oz zozHs?7T)hUb?hDOJ&Q~d7<&$RjGz`+YJ{D&-(F4Z zC%TAi&}Dc(k(4>22nsD$xFr{v?c>t*4Tvy>%ZFaqNYwTlt>en=pMJ)i={8@cYT~j@ zi4WtJkhAPRWSD09R1JrIcEYsa>5vXAp1_kt ztM!ivmxn|Lsv@F8m%(CHFZ;`~BBhN|?4vAl@4p;#8|mjKKAq536PV!CT_{X&n>Z5G zEQUA|^m0sb=c*Sly?8R&aq#{-2YeAg^cBh;)kEk@lo97JDYm)B>bC#n5qF_l|B!GM z9u<-@`Zt0c`!{SnQ-TH_q%(K_w&+a-W{c_ z%>0h~70=&xQY5Gjr0e7fcvPZs@$BAvHW(Pu{1h~JgrbUQ^+tRK{qRhlZ*zie z43b^ccBmUDwG=Qk&f-&5ZqNFy6_)G2Lkrq%y7HIs9 z`_(N%zt>Od?Z%Jy{;MzaQFT{ZS?JahOBap_i%zQq2~&z30f>Jk3J_>OU;u%INlS{e zYth|dL+V{&U_Uy`+;U*HqV2#w!N7yJltO@LBqJYCMb7IZc5IcqP{1R0g@5rN$Z*}6 zf?Y3e2f>3oyKC=;1(v}3-8MHi6-Du5umh*HRN?(kv*eu|+Af8uN{Uum($n74y;DnP zjPsl` z5$A!qOu4;fN7&z|TnT>P8lIQ50xpmo?r+gdIO`tSB^`pLl{zPJA!~-qP_>(u&ijPq zrR`8GSy!0xjj%(WJ;T#*<}tocV~G%raDDOa%2iVlpI) zl>dk)qLKLl|IplsTU2t~^-DPM181XU_0;}tcJUVAl5=E%CBW!HC>oLry13YVOr#s; zjt0erd#A4@TttiD$Jil3$2&x~o$1~R7l}mz2Bep`|FbV${uJdrhzfZKm~1pR95cFM zI6h6!0?v1E+2~s~AQ1)<7Iq*J1D^=8$B@SxC7mSp!t>vuwixiwDMf|y$rs0X3C9!u zXYd0Wz<>sbmvC6n9%9xMcg1rx0bP&b%t76wKxjSm9dAVQdqY(o6w=i1sI7A{PaK`R zX=tV;y2U{`Gc%)!z+4~S+_Jxg#For*09OmyyZe+*2tEsgo4E#9^7FNDCFVH5Wu#S~ zIV{y~AV!J#FF5)65%kRBcuMX`3WQOw_xuQoTYJOTy5~kIt9`a$1 z6j)gbjv)G|~Q!0m)iMg^Gch5&MA|Nn1BwqZvMfxMXBS zu)>tm^JZ>vFf@XeiX2-Z;ZQWRu!OY*c3LtP{Rpa7sa5~=aNwFaex7{~h=2s(+Bk8Z zy$=Y(q|tw^p%J45*Z_n4tVh^ph;f$)6PQXh=W=iUY4XMY_Bp2vzCT7slqsm0U!h{9O| zOsRr)x&(1kHHzr!a!EWwwRrZnGBR@~W!be8>MT7t6x4#N1>r>%cCsU(tgzWOIf=$r zm1A>aG1jo(@>$egNmPMhrwi_5y5>N`RAD>7U28bX549kES*)kD-MQn;0%D?x7c|ig zA1MbDhOgI~`;>S|ks{Eq>^Fq0*l`s?xd#+&al(Uch}pS$Ar8SJi$2s*>OJ0mLRbTD zKd&z$IgNQAw|3jrQO0!-lVNY!S?bBh6%))r`|!`oHfM&Mp*w3Oq;tPRR+U!Fvy_Ph zI9p6LH2QGX5-LoLWLY|D2$MOi%#`RYwh8n`?!p9 zn@}m6N#)bRtv`xZe$u3#K+~m~?Kp8t9e=A)HJt2F4!?Ymrqr6GPyVe2tYj_HC$Fe< z0?9Nz=9xR$&mYtM``OA=EF_kLXx}yIQ_TKq)%|#1j-cet{rT;{-^7B>mX=?Fe2De> zDJn)H6!|VF_$f;%RV)}G%B&+z7p^zj1&u5$lq~Ed%D*)sWO*or+{p-O=@ll~QiyU2 z`o^kN<7Q{5{nmHL5i-cK5|+`|coj=+k6gSeo-!}DL*SdViAw53A>%5VCK@pg2E4_v z}eMut|0y~{%lV5WmlKmRT?Wcgs&J5cYoxwg2+S3UTBRs#u{ zoO#*+sufP4Mb>Y+z9_On<|mVGLt~rMY88EUGhA1t_8+I)biVTDtrj=Tl=6?=IZA%< zK0I>m1t-2j;-nA8m)swJ%|ov3iM?EXHmG9~v5=SieTS`+$ZH!}#dvyU8jd{Xl7?AF zP+fKj{2`Y+5Nskp0Wm!R=j_G-1Rq2Tv|alGN#Vr)x6TAH#%H64tOHGPkgBbf0lV;h zv)ar=Vo{t+CaXmT%^{nz;zi;cfUTC^TUTg`F4WqgkFfE@8`$VG?nb7rvNprTHREaR z2E!`X2wO@DIf4~?VbDHzK6CwHP(1`N4e@W|{#@Bz`nbL5mX!M=`$v=& zwjxq8hUN7c<#&=ae_rUGypu8fJq6WNUnnh?Mh2sj7b-H1nigJse*vx74V^ilRb*k7T) z4{D8IZU^%N;1QPh+BL|riUO~PtpPV;)Hun_)h+Iua*@l`8af#_tQ7|E*Vg`azBSW6 z5mP3$-+fw|ottVvt6wN?fA9bzVMI2)Xhi`#(YGz^{Usnd(03%^u%{p|aDSt`Ri~Lq zell+Azt=q=K5nX8`NkQ#(=W8*3aseX9a&@F4%d}Bcy+JH(}liU3yP$cns)x7!EeTL z4qqn@F6g2mU`ZN25B&YY$gLrsglXv?&ic6YIJPJ7ch_OhO}K-oJDh=V2Dx^7H-JUW zZyKBjnqzJI#+UprlO9peh9k@ndn3=ZqZhu*Xc6HZCXwo{;a*8UyHCFE!mKQD9&-2n zs(=snyS@rzLoSig3I14H$vxB=H<&VjJ)gIoHby$s`kj`HVg}7Y4Hv6fE9g>w zoU1#a^qt{ihu9oUuPnB1X}9UyV!&N+Ws8=(D|MI%7fE0WzCMG+;btZY++#nI0mMei zn^$og+7rz1P7?|Tc$0CFO%GG!28gB7NV~sq(0G5yrL8}kqa)ynV0BZ8EeEl&%LLI$*lqP5Xxh~uU?CNSZVL=SD z4HSudP6GmLbEmB|jC2MVg!2(BP>k&|#$L!Spmw&Th&PH^1>OaSTe^eN4O(r>SOxC$ zz3O&2m+}?@U}_M3hQ(5DMo(%kAO7w)QTMB(AB2mI-Ta|e4sjip+Vptwa4$sAGmWT} zD5VZh&*!gUU_&X>My_!UL+kZexQa9{qb~eeSgmF$c9#AJNOXV%uj%JN!?zF)@tu>-g3bq&#cVhPI7q z16%1?c1SD#dqYz}v)+lvd$LiD?FG~JuV3ftTJyJwDrRwJvYUI2m&U%KKea=-{xFH~ z19hoKsD8AGwmILD9KfA*z;k?7gg%RNi2VeVd;$BD1}nrY<@q;)eLhy$0(iIF8vSF< z`ki*UjJ+XdOx5% zSBbRa-t5M$$i?5DuaXY8((5EWUaAUTG7xA>Egm`d-ZhyHm_nG z*ZqWxxw3h-s(ITEo726fBAFDq$t`Yg7PBl~BdMW`2;laYwCUd$q|)|OO#7F#cDK*^ z!;0k%e&bK;aZ?VRmiH@}y_aoz-DBdVq>LVPzr#cbeY9c7z}h8#gh9O?XngPWGY%%d zWWWz|0z9*m4vYa27s1Evm5y z?J3gzQuqv_-`;q6VPLBiD|7F%m;#wX7UYf=+9%3tI7@6m>9ne95WdJ#x#@S+&_wC2 z!7V(B&~y7E(mQcEvte>3oY{(v*R-@s^jtR|%vH2YeytTxn`OmkrI=4P{xWe3H4W%n z?qDdnfoC8Na(;sY-eC(u5R20D6Vc_0W<|eQjL-W==oEd2R#-fag!G4A?&$Q|X44PV z^sM19k)Jj45$5?!>xp7sNCt_7T0_;)K4cL*^l2n-etbG_9}k}Q5DLC#DuSVx$}d-enQex@7{PyLwtsx zo=_W}OpZD=qR-V~d9^};4D4k@Pn0ga{L0-G*d9oU6t9!a#GKvH47Z5)hM*%D^pPjS zrw_48>PvM2LHod1-l9|Td^>Uo_u`B309RzmI1Yh&cXRI|9M(YqG#WC<^90%s*QNe$ zeiqL+DSknUo-@<2kk^4ywTfz*NMb>jXDt@);AU5CjVrA2%>p&y*Sy1(ay(P;v&hEsHK~62@{8cYH)6aFeib2V>v9!N(N?z_UcHEdC+!9!EhVuB z>4M2aGWH(d1SuRLC@^?ZjR`aII#hyt0}bn-;qA&|^p9Z>^{Cx@+53W^Q)cFDBc~Hc zit#~VmzWK`Jb8gz!$^*u7hp<@F%k8cu~N$=!^&yeGjulQEmHb?hdf$v78+#3SeZ=c zlMbxKZ5>$j_tT2^}j6Iq$POF)2W=BEL8CoXQ7sdrUv%ccnN>s zm@X{gwgFtWoT(ODd~#x0=Nle=Pe@Ng6&uieu_?|5tx*Tx9FTtCu0Hd$0Zg`CcJP`w zY~??jcpis^22qxf!z_2}`B+QL`Hs^DtQJk0aM*GXWkrW8SxdSGGTTNo-#{JDp8eo_ z<W8ztA!WhxtV(tPXT^O8H`5 z>5YzR{LN3c9fzbtdrm{7L&MkdeP4^p%bc6;o(yyMvW(oeK|kKCyOb{&QlK5bFJdf9 z?0Yr+XyCOX=u}E9$D4a_$TjRKA(%CxKcj#6UD=kQ!h$UPVe{gX=HFY^l z5OG^rQpL9nxZQHTW~NDT5CV5&bnX5^i+8==BUIK9^%r>84eODY9n!Jjm(u4cE-9)& zDL5P*fm8MDIBqv z+qE58AF-}}T>98lH@J&tkIM;)HN|*w5%-sgnmJJ0h(9U;)sGuliCVQX(2c*o{Ru0c zc+PeJf6Xofldg|RLKx>`QS#rWuEt}faNf!I^T7-FQ=sQ^Lodp!rL!vS@rg=Gs6u%9 zo8Fp(v(PA=o{+WnhS9TIaP6CODI-}jKV9Lkwj#7u(Lzxo!a0`yWTdplput&u;Z@_kXrF$8~F%EopN>LQSx1aWyLzd z6|DY&+JzY;yo0>6jr@v&*8pClY-mI`ta6Qunw0w2Grz|_@GRPlbd~Yk^iVCR<3u}g>|G-I0*-ALCYvFnVo57+kdhVNY*&Xw{M~HFEs9u8#S&b}Z`c7k5V?oM<>}7Vdgzc8n{w!iXgUrgTe9AeGrXfysfGc*2*O7zTq+?5%Po1c21?nVz;e_9 z3Wg4vJfGdP%JCI`HtG>JG5=2UUV64%Qmh)RP3P5&gGL$j(heyIWjL^uydh*T}AP`*FmZ7d?y=pUsTR%hXo1^iW2`*@&xa26gsxD|+~*`ZxU0gtSgMAeMN;BFb!k^IfV57Ej+Tbf z6*756*EWIiG@;~D7m3%6*M136bIw~VmvD(IpV?@1*V9okydec+p<+Z2S9OmrZ$iD` zr2>q|zCE61NQP#4V$q#j4W@s+yajZ;{~Ya>=_)DN)K5V8ssu@``kIkqo++ z7u7gf(yN(pri!<9Ft48>@C&~!DTM2teZ>U1EKihjt@(wWTZSl0y5A)S%CxLN#@ww! zA+TX!Hjnpl-3!lZJ|vUSY3%C8MNw$8YPzjmN)S6a+NBhWn_G(E$|Wrav~KGT=_Qut z;dsoeT?MNC&3zGd3pRgL;Hh~|5>o7N*OdkGv*|fl67u5XOk9R@r{TZlZycE)T^0rd|af;OU$a4vxBT7UU!q@G8B${zC1SkM0F{A_issN}Y zLA>PdVfeqMeCevME1(i{r!vlqdvm+r><1i8UpMAIU+5jM zOUU#ijSFRrZr9KMI`FFE3!KjHOi1gT&FzfD2zZe28fY>A@O7J|P z&IRfNg)ySxY(nl5J7n4lrj1?(HFEXA!??X9R8L>4&5Gmzd6iI}zUZ4xZhkr7-3qoIMd!6qW<$jPQ`dz*TJ22VpgfIl5;(i{cBU1{K&PE z=3aM;*SdV=!FbuY0pqqB@zu}3zp1TW>jK}-rl~OXcJHj)YvZ;nipBKQ?%1aG9J}hL zQGxxurqqU&^!D#`29E)1Up0=e)q9TB=^eg-*b)VM2lUj5(g-8&tz6R+gF?(xI+h?!PR_dh3|fG%L4 zjO3ExSjX2UR65p^+A*PR^*ik4@`MW-J@NX);9d_~9X!ikJX0UmQ@{KRRzn?i7{0{eD2bVJDPfYclZ?dcO&^}cd zjjizWF3!4#U-pnwee5L|nTNnZw4Nf*Tmb(DW@F^0&y@cH-F|KV*P}+hDs%szUt!vp zPG#mzo!|9|qQ1Z>2S&2!B?byN01D4M{$~hPzM=k46MB^I(SL5skD30ty;N>i1Assx z2P!NJ*%EDUD%0_KoJuwG!hFz=5bw_`F_>Q1aG-@++$X1Wi*mgbGQRJLfL2)4;NI-+2<5)hE0pRWXCR3S@=o68_twmP04vo$p>?4s2r(i zkNAVmN`f9$^J1wg)DLP^;RaD)vHp)>P&(=1tuF+JI$Ufb-gyR6a5j%J6*qgtd&LJpLO zFmFh$@UeU;DpJwN^F0{mBtrTAHw;G;mjMh%p!B2~ss&&(Vm8m~ekCs5C$u1=V_%=Y z^fuP!^U4P=SHk#oSnSk3*=FqQ?Qp-~p=FHgQ+gUb|Di>i`*Y0Z9~tAu`%l{1O7ylu#D8KtSC@a3+GAQes&2bG z1mUUYEfr8%C6X<)L^SFyrk4QQxY|a4d@jInT#0j)9hn1VM%!Hc82eQXwS9kZvr?t) zJY5oq$I}*%7dmWnM>fm$PZU>w`S)dUpkQ0NGmL>Gn(x(UQ*m?m6F|Z`RXaD222?kO z`?J7|3aB?zMfGwtfQY9pyv2pHZM4?rUmDkV)hvs}fy%5LlhXf19n`8(p2q?vv2;=? zkMlb)<&x*hp`>g;sd$@h$?50+k+)=96W}PI<_gqlxH5ZJ;I&{vG!4b^cXsl$F2ia{ zP~!AoYwK_&P|Ka1Sk|kDJaE!sO(jp$2!X1GaDRF@D0u*iGD(vi*8XLh=i=-K(Zh=b zSL(10Yb88TikNoEojeOrfqq88g42KL>y(x={g*vXi7cvsho=p=l3E#XyA-Vu-@1L;{%gf6ZUd;pzQC{LNlR0TGa+6t1rh}g6$s?rx29rK|< zckQPVI;{@pPOEHNg}^3#R!5jr^JhGi(z7mZks717&1W6d>Z3O;O{` zlLFFR57!RBevdS-tRFeUht!8zE--)DZ>O&`d<0T+*h>U5nRDVE)u&S{74J4XaXPi- z#MJ}5Z2Odhuxt3**=LKgv)ud@{40nysxUSVRCdbY8``&QpJ?qCLsv8Ie!gOGpAU?U zR(Qi@J{ledYJ0%}oQ+(T-{HOC65j0B?2APo8#r?PhFDIZV;GHVhjDEW?{pw(Jw>;) z7`Jtz#$;H|TfEds$B0q@)BirUY!0{ATfAIBJ+glLZA}3!(>9O4CI|sObKHrXe^(ns z@qyi`trz%S^&s|cTmIPA&QIEU>7(CvDC!c8ba0pUHDSYJ@|5jS=7K@XSc&=cJZ=6F z@oDDwtc~aBJ)QL~$wcnNP~AbNSbiT2E@m5;7#M-tCT7?XT@N8SJ&}*OYMJ`gzD}UV;X`Ux~c(RxisdSVhtkj zlF=xFJH1*4-VWnww;QLgjj5?dENkb4pjOe0R6lzt`ZpL6aO{NIT~3j~-d@fj+k)I0 z0;+nd+-wexjUTsR?r!sVD!ck!?~V&oo9mz^s#+|BN-p4S$=;ulf8D0(aXpclz2M6mCbe4PATR7vAp$R!<;`oo+MLD>+O?UCicXTA>h4iaj77{N*Fd-=UPTqq z*u3>q6lwv-GuAIpAxNT91mM@vZIEz_5|G>r?{pOdn0OVXE0IGBQvwLKT!R0sxFDAm z>BI|k3&I`eVVg$H^QU)gY-vPdBU*d{7%#aF00$9Nn`3{PvnM7YQ@+#Q<4eI9Lb z)Xr2mplO=a`$$YmIQ3>2dT~n(O}PbuDdCwa7zo_jQSgJZ9SguC_&~{zs>sijyMV@Y zLz>8t^MiAB4A19Z`TC_8+MY=%_gI#TWJ+5>V@jWcbY_=Q$NP&93Bs9MM&peC!8Cw3 z^RNQRyka^4iKuM~P%V$@%b44jHr)P;9tldd#j%Cpy8yA`?$oyOAT}6XW~+AA%ZH&* za6@mI=+T2HDCSg1ae%Dq7p|fKAM>b}cHHZe4A3}K6o9by1%~MWI5Thl-cW)^N=+Wx z3iYl>k&Jg&kEnNq7qamuk4ECU#tw0`8;=(&qJ%eau{t~RozCuNV!3Byph2A)&Bk0k z)axn4+n*LVGKTXT4 zz5Ek7>7}qomO-EH9Vbv2lRcbxb6dge&0SfcOYFXmRpK62tk!N$la>{x>|O(#?tM?1*jZ9n;G}`wvSeva zeloblDfnA6p=agFJnhkY-C6SiRixI>1bV{(9_u@lnc*;Y>JXr2dp;bt7Bg9Dc<%)L z;H>b!p-_Qfyt==IG{C?C>eom=g8E ztR@!XAS{x18--4XEg}F}h2=zo8*V8b#myf>KE5yNvQV&ZF^T$u@>dti+nCWOJ`2;z z4{~`Bjx381n5Eene#7(zo0%yMEOIYWE?Ya_B%EJfM;7udD`7u*tlIVmeBU>GPg}{( z>jii^=a$&o|V5Rb2er)w;tB6S>DM#)mFXRdZjL;(7dP+8eD|H zbBIL{ooe8^oL-BQIYDJoIq>mr2|Kd}VdnPr<5fm3O-eN-df^SFG8INooDwT=4{qy3 zb=>cd6=nO|BnhEJ{oiar*NXNx{4Ha7W4p0V3 zH_E$oGoglkWz5RlNgYrEEA5^kxg!=(jOK{z88BAhTA@cPd`pfP7;^) z?WlD65bN#D}o)Tv-=@DBBZ<-3@z$a zB0MBJX7}nrz_GWZN+&J)g@_{E5@;}B)`~Mhh5yDs{8WP3LsJ(;c=9T;)z4jvX#oDn z(dSQ%Z|#D%)($0eO*+$T4b>D)58r32d;~?~?hZ)ILXpFlVimeIM5{*41CK?3f>jwm z!qC9U8!_`Ly)aK7gNTG==`M1mXao5(RH7!IH+XD zYfQ>59BYdCt`+V97Ux}hE6EvW%r6)CZD?E*TS>I> z$#KXS$~=b5Y~&D`&M_oJA7bZ(WQ?OIv*`MM?^?gRzQ6UozwceYd)K;u?X})(?fu8| zexBF!dhh-0msvu$R{kDe|14%8LUg0vgGuu!ByPCW=`tNh@c!jm<~kZ4yv3_i<(bh3 zA>k`B{X=g}IxKHq8bbTKw`@yYu;N0n@cjyBdRT($a5%mvLE5FJm`ix{mJ8E(<2zN)8zdMq_zIcT^;RrgdgCf zwGOpLg}vG4^Rn*XU01w;_e|m%y1sOie%(JMAHGmuuMA>vfFC5Z^@UB`$Wv!{{F&F6 zm(Fv;V-(O}Q6kANGA@l@JU6)uzW%E&)3VdcVOF?rs4mN9cdhSgCopt{&H|TV?7Vkc zta#~lX?N|?>)y|~OUFg|`Q9-HQJzMj$;Q_-80Oe4&Rt2tc|0zp?pUnr(lLoR{;A@+ zH2vY@;&z<(H$DGVQUBH0Ef4bJopxewaH(oQmFo7krZQu!N~Uy}u=!>}=B3ArMJGrT zU632{t%|b4?T&%PHx|zHhqHrzw^nG2 zuU%csF@)HUQ&PWq^3tc>y2JQ=Hzyw)#@H*$ep>3d>R+#t=J`B%C-w`+T8luK#@lYP zs=Zgd1fN6y2MuR~Ss#Nxg}g^P*sX}B;veB?-v%g2!mXH=X+2XliF<$>1> z;e6*G<&0);=lte<>O9fe;XU*_KPW0W$FlRD!z_QM^pT)>;^if;7K68PZZj@sVMVF| ziK^Syh1tDdZu*z54OOfSS2Sl?-eaiDdj4I`=0K=0_}&+`Nt4?sMof=rGgsMUqQ~9r zFG*-YyWJ%MRtw`5%yg$$xW)e7&6{Dzt)gBr5ra0Kflsw5VX~us9fZGytv&Y$%3Q%f z;;!S17R=zYA&zxLi}tP_Z%1XU|43ZZV0OIm;=Cv*{%Q}?wexiyv*&CghE_xeEWI-E z_vc}b2`0xsUm8mAQ1Y;Eu(O_O{>J`9(0K5wEpq7<*PZJgX;*EhnfVjLNyIPA&gUi` zMyUn}o7WG@wjHH^^q#QJcp2{`5W83k0=DZJv}Xe}{`9S`26*4IYqoxis13W2U%Jyl zkJ`*9o@;9j?KK^|dn4o|`o$At^&>=>gCx+`CW8(+n3^*a3v^^v7f|@ZRoJnwE7|fb z>&u?^m&2~y>l*5&<}xH#+ZjvAjrMVib*U|@ki*k6y3ap^=J++#mD*{!$L*vXbG(=c zDpxjJqc`+E_hIPfPa$V9+^N%j3ymu*85ibIx14fK`Y->846(zE30fXqWz91GcF9nKR@OfEZbg=F+^&{ELb1i1 z07H+VB@dqw!hB)!(p~WiWms*vzf?yxzxQYAj0C5 zB5&m+Cyi;`m(ujN_|Z7HVM}8id92^x^cs^-bMVN^dNJI^EO(`@Fzlny>#`S za#=0yDu*2JmD^JexfvICScpvP?dzotyAaakbbCKfPQ2QT;_?HP@*RXe0+ByT)vjbFW9h=>G7)@r~9kx1%4!iFDtJ1?2bth?{bLD|vXY-p%CR6rI zdOCXSyyIX0oIFWK8IM;S)%P!Fp7LE%_)VT#ld`qX?x4A~VPp`>#iA}V8PD=?eo)?J zxk$o?|4kWHY5i{s{7C9|U4j2l>)AtOm)Rvu#pFgBcB=sT5aDI;CyVze zg0d1p-5S*62wJMsT#t+<8%%vLpykb}bqb@u6>8j`8B%`s5U|?D7*htG2EXHXo%~rv zS(#s7Np38@Ms;$dx_s(zo$W+pNTcJ&I>L4JlxH48E=xw5F&cluP@c%}M5g@#P391p zn$>|Wvn-LmBlRmK9klv)f51v$$spHS!Sl-uWiEb&%Y)0*Fq`}g&0--&;I}dSwArmubI(%=cJDa z^1m|Wf1&$^e$Xfia>||3s(2aS3FohPrCHcjZ#JF7m7;O*?wm&E`-X2neb0xzEAW{CX8@UL-WiqxO$=z~1#fQYQKA!%n1FSOx1b5}NQx~9Jg&DlP)CH;umfNw9o zhiD!S@;Dhpsfg)a*j~U8s`{kYSLzyyJExL5POSuHGCb^D_%b{lZ8%SDI}%>!Cotc{ zeEZG&$b_1c%S>c~%A*8^sOk2HXL2qrCNfLaGu;j}dVPH;YkbZ${kMw!&)L(VzqIDo zttq@c`BD*Ih3<3*JFfEf+^q@m6TQp%%S?Kwt=g+24H_G}mYoI6`1FRefOB;NZ}0pN z{g{Qa=AU&}i&+=?Xc>msDdpP_E19AQtQ}cXSZwPb+ShAjW~*KP`eIi6di_PBC`n=` zTzW^m1}dPzW)IwTnAY$i7Suf6d=$R^3E20D%=^kJUHh|O%%;|B=E11h{_^_DY<=b7 z!}Vu>Mw5Xm3rWeHmXBB2Kl2& zeMyn7W$rmWg2Ik2Kny2 z$Uk|v7rJK*v^`;K{|?ve9b{|o)%Gm^E}1wsx+!L0*mBwJ=vv=~xc-Dj=7Uhmr^@{_ zp-)~B!zgu>|Fq)PCzGwFF0+*S(04rTqH1bLVE5CvmHuvu#a6AAX|n4>vgE9*!5`n? zU{&J%PE!L7s`M(|(E=*_81miHs(?Q1LF{oWN|%0g3lk0h~MWz@WUpS-f| zr4ZN~YkXV%O%V-_O!>@PN;-PE2OhRR>rQpN{#9mMU%)fKy!5Rly_pJmyxPvmjN~*J zfj%^1x2(|zR_FsIbTD#stam6o_Vz;?sU7Uw01HZnef_PJ>~{4ROB&SJ?2cBZ)egbO ze{!s*n)|>AM$DdZ-0r0V{`D2%qB+hf{*?RF_zalLeD)q=#DeF z4M=vJmvayA%iOnf7RywvZ7onN<1=x*B>{~q+1pjf?!%^SZlNr{NAdQ%|^T4DY2VOW5B zoV>Zbb=eimCQ|33>wD|NTDok&yDaUmy?M`q>!ppJ^m6jv%nue~xGCf`Lh8(UA z?JZyYifK#8JgAduhi6JC*OvuFcFBx0%k(JaJ9&&8a|*8VGg4+g8fQ!^fnS%r{Z(ol z3GRNsEMqci?EJ=7m(>zIfBGEAbmdM^(9Y^kfgJ1{c+PdaZG0C zB87pCN#y1PXOk|cMVsHCUJBc#{0?8<-(PlG?QN>d2RaL9&|@4;oz|5*e}1%U^qoGg zT_>8$$F<1v8S2S-@Z$2Dbj2W2IYPO z!#|i$o7P_L;OMqt`K>0y&w4#aM#*ZMb#b+jGxzS{I9_64yUR#7j7Ly*?~uGLSrptt z1=1dji2`&@Jbi;T}2kY2X}spe`H|b0b(GwMitStC~_}a39-s zn^G*|{o3Gai!Vv-dVOcw=)!|J-s%l*#(-_}k2*Xm9CDX-4vB)Q>e|{mJYrTXv;rLb z^a`nxMb*47FihuQ9){}i+YFHll`*#i`+Gk(7roYb`{~;0%I(@bKb|52Hx>PWJO}f@ zj$th~VbEh68Kr4R&!@L9LBHK{Swgs^mv$mb$B&R;jOMF+jny$+7dC%hn*Owy@41B1 zGY##tim=QDE$L7G1H7tMV1*eVjH)gDI*p|guv!d`_`X)p2iKM=Ju(QyHjU& z%Lt#n6VF%Lmd~nFO@r(+=e{T-|I&NQCm0{pb>08Bz+q1`C=@t<8b_6ni zA~hahCpfP}e14Vqk%TsM7`dwC`lQv%&*SLKfZ*8%TZKh+>laZx`TM0GH^Nsw;@TQm^?)UNaMji1|<<_Guw2aALi`}SrFY7C@`Rr8=DDY z@w`ISQ2+yc%^jj9zC)0&*+v70Fr+)=MC6piumJJggd9*5LDqxrEFPGLqX$7hT%IdrOMI`0K>%FbsBADE zfz*QxTOxamcQ)>c0L9!`HnT)$CnO2{g-djUREd4^6d7Qi2a5;c2(&5p%#hIP{W#DF zfi{9T@Vw$OgP<#}NuDABDDq%&pdA8jVv8m*vrTk^QMl(kgaYt1X@?Cp1h(U3ogqFV zr#walFytSD2&K4g5>dTaP)gn5|`%;-6onTV9o$#+^7_A43VP=@!%81DKex! zHf$2$3P+EC@;FCl=nnCM0)_(+p3Br)h&o}GJ9O%j*21C~K2IDYLwe7KN&-CK$PrKx zXX*@j62%lK96%a3HWeH|ptb%3r#bo5J3s!HX!S1zC_p+9UJr;RGAm*@0RwJS8d!|* z7N&5M8gRTG5XXN7soRhQQUBO(`{Tc>uSN4KFN*?&25{xZ=7aSJv;lMppC^i;A(^qG zQh+j8P7j!dGj)gH#FuiEOMo4oSGYP6Fo5Uuf+Sp%B83&;MW79BRY=UN*6vU^Q3^pe zfaFL!X9%%C8{D1{{+ZIAAkcb{2tH2)qd+oa zMMVSs@IeJcL=)9<_7pc>y+ikE%aw z5nFk%kN)5F=qi3)0CSc^prtQ6mGd`mdrp1}4&!`WATc6J5hD!Pa}y%L8if5d2!*c^ z#GpymY=kI48crSn7jf$@&>13D5yJ+Aa1$PZ?Fgh8hMy#bTlcWd0%;LzVwAs&>>u52 z9+9K{|G}d=Ouh~zfp^3iPiCsMFjF1WQR`_rkp4Boxw%| z#qhSbpam`whlUqr&nTpV7Kk?3?#rOC`2VD+z!7LB$bBz78(^m*)9xzg1Kb^-xNp(; zr33RYbU#>+%kzM^yYz|6G^7pQPZB?bNE=PFv5B~dzpVe>OSKrY4*t#gh<$RDE6(cz zcTSwo;NANV5S>F6D2Vc2EH3`@Tt{>*-Fb!+|%S8km* zvLjm0Xg_FQjDU{-8MoHkOZRv4f;I72ey!cu(LvX-L$fbLKfvDmz8dkOS4F{3FEL?G1b)lNEUuj^<7evMd zd_);#18jya)#B=)t3k$}yMQjrL&LXeY{A?)z1ZwW^{A#H`@dZ;XxX@@Y49vZ%Lz7(dy!_0@b50c4}7y^GaAH2WsAV zt#+x5M2-&g(%GyRwH4Z$*&nrVEgy+C*?U$vx>p%;_VL#S+?~M!-{kyW?QKK#EH;Iw z)iLFnCi5>`W_)blxUdith>=ed24TM=PR8B;`4dPTYVB=u{su>f`AXZCmT{5nbM^8^ z<(#F|*K#5${a z{^BQ3k|UTzlt1+7`-~FwS5-Zh-+yoD5_>rEy?s{ghyQnY1A>q%kKLS}(HFqT<vrAf@VghJH>SW+O$&Q|lga`9Wsz_1;Om#0RCOC&p?XobmdtOc08@@ZKld=Z#Lf*EgC^M>|%~T`;C+EynZ9$0`x9+9J zm<#;0+SRKL=7uXtqxQ<;+;c^JCEn`%-$(8CH}j8c-{+TIj%y93&z?x_$~vkmZhK-= zI~Z>-$XoIaWfK6@79)m+vcl}XqQvH9x=lqX=UMBwDH7)&sE+*LJ0*LOs4Z=N_O6|m z;UwTiyZQfCb&T>vQSPhNIXKYNj%@jj_iPZ(+B zb(pwGJnbBFUWKGx(F%B(^Q8|5I43lt*mepw3Z`R8qNZjWJ-32zV=u!;avub7wp>vz z+KA*Ni;lX#OkuObKRjDJW^-7KwR^*7j13zE6 zT2Mcdt%ji@zVRLL!HgWKIehfGtU2)!&9hnIH0KQ_)&As&C9}CBJ!$&4QiKi|E{Ap; zM|RZazt^V84f4Slt_qkIAO(i{*D3zaN($=P(jUlk8g8?>zCu%*$ViCx*`x?x$HvqJ z+mh~jl4Dq+QR_4Dqq|Zr{Is>Zle_w*5}s{mtc%gHYq}#t0aR=@pL7MDTcMY9tvUyW zGuam_U#I=PvgI|v@mQYCt>P4&Smjt{)y@SJ4a2R_;BVDiFDZV0No}9feEC!ZwiyIO ziyK* z-ddDvspi|v8chpzC8b^tf}!k8OvRn>a}}>IZZ;@cUhWsZJ@JIRD}SNK8k@zz9WZN9 z5yG*&&Vy*NwbO<^pZO{)Dx>72HKSpV+ULCA7cTPQT=Hdoxyb&&?{2b>R`T2Ba9%EQ zgl_F$OFHPdn?@Hu)+|*Wm8&{E?M}SU&Q07fUsQ8nvBSNc%KCNFrrXten4a3V=*`-) zlp!tMy-2-H<-`f4HLvnaDJ>0-=GlE0>~dZp z7wTnS^~X{_-iXq7cDgUR^2*P0F?>aL3;X5HsP5y=%aePl35_PFR&6W!l(NT$FjUxI zIa%Y+IbStJJAs=W4GPXWt2Gbyvm999`C{*z3tMzcFG>tw8(SMFD+9k@sVmxfGH7n5 zr6c%y1rFCuzH+zs6%`VHE8|wsCLih&Md7}7VG-=*to34R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*FTm0RR91003Ml00000002)50000000000 z005CK00000004La?0pNETt%672a$F0vH`qcKx|_01{r21lgULErl+Sf(`2T*?e3W* z?5>?jW&(j^CQfET5)ebUU*r-H6%i2?S#@2-8%986T^FLVqR1i&3O>L8zw18yEdJgQ z%>TaCr@HH$I;ZQLIt>Kqdb+0SRDJLFef8B>mvfz0Boc`m5f3%Q!#(xMfMb?3N_v@^ z_|J22UOJYmW#la*%gLWnrDMH{VV-4-q-7cuRa%v&l;!2C9+T(WJ-pR==1Jo%i+U`1 zFqL`9!&@fp>ZJ=RCm+_yDEX?Xv^mSXeAP0Q2lK2u#!3BftY`Qu#G#q3ed8M^UyW>+ zMFb@AM#PsP(!Ki-4``(=JGA_uH4a+yKwnwS-4p`(^mc-o1N0pz|Td4cht4 z-n|5ncz*{;T}a6~GJFbkvye_BvaZg7h|?#hPTPT05v3OU1IWS$z+wUtvm}ezP6_3U z8df4QJXdK|S|Xw9aw!U_4|QOkDIj&L=}LEPfRt6;J~mU{>M=@wZ-H*Pvcj&yfdtxv8iVCA|h|l_TlyLrfxa zz}dukz{zOBOFE{cl_zA(C!I2thoo1_{OHtrnK#j~K5mq z*e@jwd9#kGsxQmrvBZ)_(t69h<+46XO8(y12;Yd7b$-~Oa?-NSuU?j!>Lm}R3>;6h zL@tr|qLVVY74Znf+njhO(xelKl~MMgw~oi8k@b>3D3x+#3aHTSoP+ig>8&`Xp5I}G z6R11m`yPL0ud2JOXDZvbA5O0&4a>++21&zXNyB`LhE}NCkogy*eRe4AqA#c+$Twa} zG#CD1Z*6?Zbzin$+UIGk(yDLBdZvsZ~0eISS411882O(EKHU=72iQj>iIM=GJKvj|b4aUZHt1faYL@=BWUh z1M4(9q_+{B`8kR0vQu^G>|+HvKx8_vR24W~)9gjYIW=^WW~4{s;)j;0JWEzOF= zB}-mOA{}*^$BZWsqg{|;0bNiHFUP^y%*Kt|)It(|$B}x!Q^6LkpV&Oz(WUZL^BQHR zm!~|IloU>$u0iL=l4c;GWWdyqPBIivO-SNKgbO8+iDT%tEHH7b88SR&yNRc4cXwFA zMJB?UmZ43#*u+vQxx`C&oKqm(f)2h)y>HN{2Say^u_2U_HBwQzlB2Ll{FDppuR>(# z-ZeUmFkBejO5+0pUgaapylEI3FNVinnev!*!PGJY-(-0<;z;;G?f| zj_8llM_cK>aTe9;hgLsu&1m^SbNztp?+BLlSx7lQG8~Dht?FFbM>kZ~PfU-WJhgsW zoC$vck0)_qXCMRFIVXW38FM=&7Xf5z;j_TaagInMaWWjTVss?x(WNs`1$xE45G<6{Ww;R*0)L%m=J4Epzf3PGL?MgvE;{8 zmNAvbGG&>c47Hwl20lSguiU(TGhLh!+VWlHMVeHbA%VWK2wf&CSiL z=K(9D2`A~8N)MuJHSB_4@LgtxBw`=pOLTML)L_6Ivhl=nih z{dUKfFN4lWMA|{7s!gQ-sbzk2YQ4;x=vW^&N?B6RMyaF?N~JDA^?v1)=U2`$@>BI; znLL&l(nwmF$}&mQC~ZW`IyI_%f^gpQM)-shF2*?ExMQGC^&!5L=b%>mTm9ei|L1NV z;M{W-)->8&o#)g%s%qhU%vU_AVzB9_ozD76xs;J~qLjyDb+^xBS%+*b?1Ub#agNCA z*`7Bj<9z7;*GQ#4Ot~(}W9DTz{FUe;Y^y4jypM&=-!~y*IB2GVNqx@#%=|9!G^T>!JQqfXZ0L93BjY`~;;n^#x zR-^VpCo(VZ(~4UylITu_i23~ily~GM&1#g%uo@Z3NZcrrL^q&fs}q@*MBA zn$0U9s-j#5KNJ8GF)}Yjxs+av${!-$fJjra$<@2+vhgTLP#z=mRHnp?B=|7$J&2nS zlZbx4b_&41M5G9&LQFe|Yg}$do+8+sB%;(-bUeorLTx+OBza$G|W3RE)QD`><%X~@6fpHdkV1s2bz)Yhjkj4dC6Y}=BY!roh7O^ zJZ7j-xOfz*mEte+f`>~J;$*1taJ9#mhik_0<>4CTzC2um$(M&VlBA~OC)%=@B@OK% zMu(*E>VY{`-UXK=UPY=T4Xs)wX=v6eNkh94mQ8?-=UuCGH{Y)9?cNtr29A`$FihBR>-FgESLN~6q4@pkaQP>qCMdRx_ z7IrKg9~)aUws1+iLSD<#0l0y$Pn$~<2f(vb-Xu;UE?ly9P3KtGqK*ZN)-D+v?H*e* z-qpEw(I}*LEnd?hRtM5Dd^^>49VaIS&eAJJw~ViwoZ8s7<@9w+6Hh(!%wBoAA;^9H zaJpk)7gx2TuJgw+3TC%XMqkBXUhdAhT~(xP--xl&JPu` zgQ;>hcpa16-id+9yc!NifnmH5@(4~J+kD_}4hMfI0G>3%X(z&|g&O9efMvnWCbBlp z73fibvCg#%RO$s=0+07;@bN~mRB8_t_CudwL{l-M$wdvlC@}O>POL^Q&olm1Bx~sabA5)Cga zaXk3_fRlaj+|fw*Pf%$Z6pio?IQVp`*l>Hp#*>d49z+4{X5rgE1(fU>H<}$b$EU*{ z0yO%n^EjH|$L(iMGYqGwgi~cT{5-NEK_2{YI3;KiuM&^gLw^CN{j~U~z2N@@ln(-O zE+4{vA&sFgZm6i%mH8l>@f&%unfwM!D8RS?{{^lNT32`m1tDgR1F?I``S@`W*bwmD z@H{_w$qZ-92=^*pHbV)aZ=C3(_;5J*9gyq?=kxaXHM%H7=|S)n@!%`XaGH(My=JQb z%Cg{TE67**yf}W1nj8c@%^o@fD6dd(m?f8G%gFkMAklm_m8(m8a$>VD5QRb5(}6Y5 zEz-+6eY|X|BfZgvkN5}BQ-1huA@J0mcyCYhsZ;!H`s)mP=$ZCVK2l_2p)fd>yzR(_ekv){p@!)6EyO-EQFSUn$z#e*;J@j&W=oR+REA64X?4ehg zp>nSJJxIOt#nnz(e`eHIw$|@@)h~@`s?4ihUOPP+3hB4a z{gZuTxrPC4Gu&TohDt;ET_1ac8BR;_@|xFDhXP7F3}yPV!$ZjUh9K8y2O2-CizQv* zl=kbSg%P1W^+t6AN*l}%+=&OZbrF#GS+#X>RIGB{b*ZCq<1z1Nrgud{DpooUNK04# zTR>^T$QOlp@en^N`<@ULtJt>}Sj)Di?V2r zYvgf2T6WBYJ@kF{(4F?sPuoL(0w{ioj?T7)MzjHN22 z=7akAKaI@}LjIS7>=e&*sBapYh?U7c; zSEK+v9EW`Fppwm{iGI4N05}C>=iJiq=zyo)-d#P}<6u#GPbwGs0`nVme%Ty4 zZ#XBep#5!hj(kwrtzoH<$@EqFi>W@L?tF#gyo>Vx|Id z`Qc(ZBkn4`9Y!E3q(g&}yoYny!oaFZDf8~(44(8VR{GvuqL^jb{!0DPq}||cu(4Nh z`bCw`u%rA)I-Si|1~Vg7WBeQpv8#-<_a@V2d9>J3(Zw2diHD;r`X<0=)N*!ZHk+*s z!M(tJ2zP6D0_GGeg0ciZ7Z2VXQ{hAQ>x(JRenX)B*P_V*10D- z_hVh$t4#Zbv1%0Z#d1aDsv}Sszb0u z;Og%oe65l-93dy+@nS3jiJqS7_k;?mVmT{@_CPjMOcm1uq6bd{4PjH0lhc(=qf^uC z<@aaEgptpHr%I)4e~t?<4n&|BDT-p4=NoIUM^YD+%anOFyn=n5n4vd-l+@M9gb+3O z@&o~0rp^<$V8rTFodK(}Rc)wm1b41vg~3!>Y#1u39Ohlj?ActuDvNnvc_?(tt4*~7 z+5Uk_b;&7Xl@L?m1muy8J{9^h2jx7(dShVKJf_#GJ*tZjXYu|j_epRM7`Q>~lP>nD z!4;`hr3x0G%DYzG0FAIulY>Am2@`(t=;xx1@@c$!jRa;MqBh39Nlt zv1J_r4M~PlIqcq$sfDL&xhkXUvCpA*Gw?yCbgGob`JfFetZ^<@@?b3-h2{OYk;kusH zh}FwMTq>`sUZx~^e-tcBm1;XZ945RBPDI&v5g$|DVVeZdrx}FHK*eOo*9?QQ6pR!S zD$OZb?y!fR4Jg^^^P*%f_4NwfX)p8~Ko0_cY{`Jb`57LGEU8`Rr*L%8g^|nRQYIARJpDxTt3!}23_A2 zRX*MnE;lrV%O{$`#yD0QEevkLALv6dsX;>I{YlW=#Z+%i0d;+oI}sYo*NfGq;q)FAZNL?d91$Ctmf@* z7Nc)AuW`3EOQ+kxsCg$`cYqsy76bNMfLYG4ciKb0ZLRNj?S`1RlR~*%6A&^i*k2evgP;lETOZ)Uv2Z+cYk~6Ygf$>SjORY0>&yTN1vUJam~tv(2( zr88b*E%ZY`;+I&4Ae-h*gKYF+0NF#tGI(+FI0*ldnD}dB;y(&JyJ}Hn&993o`D4Ia zcJ=j+($Od9kJ}5q!5Zjt9Rf9{e-$;5WyE|6@G(XXC*?7Z3h{nu7zZwJkwHVm10~=@6yfr5N z8!_>_W8%LFyye<=8=!W3tK02`-eC{@mOb=Nd+4_TwVHG80+8m7ezN(mK)#K0hjt-0 zl}iri@XTg9yDXdOOY$k!=r^;zYj2EybJ`SryYvHpp<(ts|LzZkfodUW!T6y)^hbd5 zbIw`3IDm)HlF^&|V{ot=U-w%he`4R@2kfE$VXg0j_CkLOsMWxn#4|#cQ|2an=zHy< zQ})o!fZF-sw7t+7d*~K>=;`**t$^BDX`8*!GXUim4r}*JYO|Rq6EwM<8D2K8-0-b9 z$vNu7ZM_nhNL&J`JcHZ3VXSh>%$j!!)6>B?9*?*%}H_akGe_PKyk_9<&ClQYwsW~L=iZ|dq|<@4W{fRN7=R?(Gp>o<&V z_G0C+i-+B9D z@m30sv&$+2eS?*ue4p5;Dlk_zi1&PFS77lK(KZKa_;M%b0cH3It+r!Yi{Q;lOU?k& z;1*;|q1$~v7jUK-PD}BtU2&&FrOg+Br9tVS{&^QBabC@-zh9frnZMO3bO%a?G}u#t z{_1PPvRF-n6zFrHcr#WdSMx#83@YFw_?ks7qtdJSAZ))Q*21|8_Rudm8i)Ru1xizH z0hV^R`^fc|W1+tSwB2~>LkCgo<8t^n3(6FzGz?j=7gUN*3xb^e6p#nhPUV7woIBU8 zWc?^e-FMroTeOFk?4iT<&=Gs+DtqWj_RznxhyFuEsIonO51{xZI+B~hE%9|POH=^l zLyx$=p2b^fNvy+4tt;#DNM#hQj;Oi9bkrWY22h?E{;oGNhb!A;zD>dt5;wS7? z=joK)wDDehp_}cYGk~_iba=@$nOT|66w3TJ9lhC{cT%S6gSkhLzh7k-I7^il`fRR}yk=PbaT%M|`Iq<6o2H_G{@BfrJNm*s}|ayLJY z5x5T}w`*{6KyJ$XjM7Bn9>m6TP9yZ5Sm^J?LVrIN`UgN8PFor^{E>L@U&Mp|G9LWV zc<}!f5B?{>?LF?Pc*194Du~hT`^AGF2soSG5%}amBcFR^EcB}!)jQj*gufwP%MXnQ zpA!#$SUmU9aK{;M_eyVl5mvqs)+jl9Pixd%vt^9Q-#_pF6}-x~P?YvjH54gGg(q4!xM ze`JmPu{H93APv62DF{@FIX-79?Qj&#Zw((_B>r)nn-K4v&N{=*!$I(MF~y&3A-)IA zal$EpvqiyA{4{F&`sirozUZkIs!P3*o(4EOZXq78R*GHOM#^t+#CHaNbY6q^)VXhr z)%J<#=(^~{WGwV1pyPP_R4nP6W1**k4l&q+M%zp*;alPfKRq6NYdrY2c<}A<;Aa3H z$LG!j-hQ5XUp(dC9}m7GmhNZALhp=)J||wo&voGVtg$kAxw6^}tJIzcI3EJR_p$nh z)8!;SN5cn`d_yBX=f_jyf_U%?oWPK_Y`qQz{H^oAKCN%o3J}1^+4TXLTr{#X=uf;-tJr?>_pu=o-gLMCf z4Zf%DnY*KFubh_O26Tv1tRUrYk1o8fdE$-ycu-PvgNKjt74v9{d;a z;JhI=?cKKI{%n>PYM=-j01JIm#mSMho$E>4`Zu;uZX1z~dLfcE|gSx;FvJ+Yycb zwK4hc!N6PQ!{JC&hB4n=0r-j*3 zn6X^K6?l7ic+SV}J>lTHVRk?GK&Yk%p-+q_{Il`kp9>E^)yHyAgo9rX%T4&e--JF7 z(e2j;z>kOrp9eVf_Y4=stwe2*@T1}he``GW+v36B0XU4BSdr~34^$eS^ar*7o$)j{ z8t^c4U6A-=V&dlmZ{PWCvCzl*qP_EUP|Mqa#;?)m4cV|Dct<>VXFT|Vc<`=x@P+Z< z-GGPlnag}8yK_RJw_{lPq0fzlJ})$SmyhPZ06NTA-2&aO@xlM!P|~LY&`$u3pUK~Y z;J=Fp-wzFsA^o86@N0c6cdr?aQ^mvtOvkOK8oq&o#?DG4QKVACZfoQ>t&z6@X)tq; zy0_ay?+C3g2os5(x|O=kXXq|{m7Ve+DtH6bdA+TIr=lZ&${`0Hd|79N@2GbyS+bz6 znLFoFqNiT>AS$BRThaf2B0?3ZPXkIzivJE8c)j1Hvm%&|N?&c`|CIF&E@V%gWHw-y ztzF~@b)Qq!SqHzwyBJ8$nx$pwVU=FZ2PwQHqQVN#rQxB%sTBiv;NB(B4K~CseHEdd z*2o3c$h3p(bp2;FMJaJtiEU(?)G%Z%GiQw)bCALBUj*59+(AbFROtpF$<;X0gd->x z>II5r5#C-`-u=zM>)#0U(B#0CVd?q289Z6=`aeTC;9(+ma z)yy@6WER)c_s-xSZqIC9zrM=mm>5?#FfpD~jJTND? znQ8?>yvl|A1!rZK9_HDBld%h8mh!AnG@~1y6~&$>rsnBF zoUEumrVVvXb#2Dg9ENMZ%N@-Ap)u8F7)=k00?j`xc$gvDtgS&V^BRadB$ves-U74g z8V_+^toE(8chEZfEnczW-kHinYl}sJ#pI~DgV`Qt#n>*F)z^9pG#)&QazQNgIMT~Q zlOhWEQ8ezB8G3oD*6eQ3#2!RZF{Nl7^;#oSZT`>{vv3&mqGj$m^FY=#eO3y@Fntf~ zC{%X($kkC=Tf`&ZF}w0vX^WYu))q5lpQU&)wPl|*vZk0eZeN1ku=@}7xBfMIXciL% z%P`FfOJRo5);WJj%o(N`{bF8Uv|Wz2Mp-mY+ijUwF(GBU(k~&!p0D(afb2B#*r`Cw zo9||HgSjpA71yF=hJ@^>qSqc@Q<^WNs1*LKy~PV@=-QfM=EjLZc%q`I*xPARb~n-k zb#4=CZCUN55Y3-Z#JdY$q?$d1*zHPNc>Or>1w{{Wl6aCrkt9V!v8-%N zVRk9aVrIw-jAD&q4bJ|j%U8)c~R4KygR4HGl9&41RnO;jVn&Tb}S<=Y25^@J_O~`^rSuhv3 zCj@uoe|Ff7JH)*UN%6YURsWE<9yc>&!QRXF9H~pu#5TJ?h=10ZJ}XkR-nS^wCN@pe zO?%#)NW30hC_Czi1?RlU)s;70dd;g`1%JHh9X*L6`t~dbbHP2=eK2C*@(WJl@_-a8 zd`#`AT_A8Vo$D>o>|x$ZJz(UaG4(Gv3ykh1GlWmV6hc#~&+sGOxKrD50!!5bf2Pkp zg|Pl}FLqyP{tHKskmo?ry7F0J`rO#`h2yx|n*H3U{yXoKbELOGyJyjip3@qq`IvU* z$*FXooE)2Bj~0*Tqi;1YY;}XX8?;erHV~H<*Dy63*-T*;+YE|pys9^Y>2t#)*o2}# z(6Ha)yiD|qEI6E+`ECRx#jm`Ohw-s$e~6F|ep+k&Pt8@a+PSI5Lo3iuS2PN3HPx@asvg#{I=xph+3z_)vLh6tw~&4%ENJ1A77@3l5b9(u7}O>sTu(Ug4#vF_jRl zKywG}LiZ>Hgj79H$`!1kmXadm^$!)IsctUN8mB=lrY$p5O^QW<=7pM{YD_i7%mo^c zW)zt3G&e&^Fb_Ezj*C7Tf|&|i!hto4)-wX`k!>-eG@CY~KpQXocjm6yavqLdlTtIp zzLWpyyTY0vQ+h#i^7 z*y0>)KFyza=i{%-iqm2$-T9C()ou}TjSuYbH3k=WunrI!RRC@Rc%cX1oEvTdc##M5 zx#3*^KjFb>!W?F_`r3kvJs9>k7u*bzm$2mkz0`KE7dnDhK%eDx%TbKjQq~Bg_YXX% z!ed(o4OeAR2$iuC7(BB7%2DV@RI3X`gzgAEp*i6gj|8%o`TaOTbH3yKgQ>p$7kX<_ z{X@ZJq;PuA8FV+vDtBdZE24uo-R7z;%;gk;#bu9Pm)xps&Jx^IqRw8CT)_^(M9O)2 z%lqJ!F=)68G=*E18qqbO+bh{0Ft&U8Y6S!hDV5=D83|JnpjL|;I*{e;5Fi((g8u-R zW1bhzj;h6Dt4M3lt;y;OF1H4H)T$e%2I*nhTnE9pYBjO{M*lo4&sc6bBNKmBkeg92 ze#kC`mhHVY}K7s06O0p4#W6Z$G(Kw(aG_0NpF~gcDjsEay`WvQ1s!l z&Ctk#qX$yQ0$H~BvVI4v*=N8F{Py9vRJ92HqhU%^jc*{SQ~lF7VURp1lNydzwUbUv z?J~KUbmCk!pCm60zgc%1VGIlz`XPaV<0N#|u3@7v@zv*-&dHRMH76}`BYPg^W2dkE z2pwsxfFA7$t1)o3hzmU=bVU``raY&637PgOu4vfIFv&)5J@lhRn0L}DH&l_@l9!Mj zuGaj7^kU5KSG2eTacL*4SrW6B6una4avDU@PGlihVurF8&v`4W1h1d{?h6~8j}DP( zv`>wN6mEtbjq5xj%%}1U>;xL!l5gP56f9Ue>c}UO$0?sZ%nM9Xo3Jlr@pK_Fb_jo#%_>LN0CX55}T;d0r*P6Bk%m-+=|VOtB0 z&et>&dAn<(M?&e?jr|grSrii8sv>q$7X9%1-RmHQ#K~gs`8DmPUF4>kyALq<*mh_L zYF5O780GXbNrTO-1}54|`l_Y(aW@D?;2kgnZpn+*7Jz4u*0jL|W3-i$yl7yk1TDlk z#oGw=s!#@OHLMD=jcj|Xb#e-(yxr#52H|N7Z8zD45Ps-73^%TXoo;TbybB5p6?D9T z{iOJt^MTh;DYEi}sSv4p*l5q&W;0#ChoI|NcZ zx1f2M#gGPJBS?C2s}jVBea=d19c%=CU3ufxhgg`fI>?)r9vAS6OyG0C>ddoz_6H5R bS}w}CI`=`t^U6Bj+Gw`>x^4I$009601zqYa diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.rtlv_sg.cdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.rtlv_sg.cdb index 8f44d08252728c8d71f0c1a73840af734986f2bc..a4c5b9227d360fef39037c0a18f5a3974f8808bb 100644 GIT binary patch delta 2300 zcmV{>l+97Pmfhwvi=2n7=i1+qZUAVoPlu@gYZST@LzA1ihMV}XSPNC*T{_!SjOk%EGP zA{{9xQ&1p5K|x7Dfe zTNK4UYM|>z&{&at>@hbtOMil{JtMGu$dtgpN^UG)<+yfymyNc0FljHQZ2s(o1Olr$ z5ER8UDY%B(q%^(%eP?F}P490dIU1AdBRLZ@p~dUlCIQ+s@gRxy-Az0+Ce^3+d=2$o zB3)?HB!eW@e}66U(3l$ZxkwMvuu(R#%TYqF5;FD#wL{cCCGX++s2ZtaLMBETi}fF~ zX74d$&r;KPa78@vRby1Xj*0K>ILHRc?Rf1IjOkL82jy#i^d41mirNure-aM5aIaVD z^0qZWo72>LxO3aogK}K}g9uyO^RynJ^YP`4&Dm$bC4bse+0{^!{ovp$d`98bO|cEU zHyr$6K78%T&EDbR;D?5Tmzhsb9h@H`^MDVLdB8I>k7_0O(Y(wF`p8h|`JvErL!mPZ zPU6n2H$i8Xo1inRP0*ReCTLS@ztYY}B~((~9`hTG!=dun^3tQ5QZEz5Hg9nx+WATJ zOwt%^aet$1@M(b>=ss%SlC2x*di>3IdUIR7`(JwX^*7vJi)Zc97#gW8>1yh|{o0wA zk&WA^;rW0*s4jcAlMJ}E7hb{RttfWr>0r-r;MIY_7w{~`F=c>f6q{qYSAyY=r6#xW zY+Uh&hh(U?ni4Nt_0c9<^;w1{O9NwdvkOSF)qlgKq2yTSN@%jx`4YOf?77asSaDeL zL$#0VKl+|ym=+%?E0GeLH1`&eBBgbpNEed zJkaj&e~AWU&hGBew7{d??))>2yNT{;cb<{WxhFBesimk1k}q~^jT1GJX_67>rUwuid9sHr3|YX#WaFgW#>qV zHOFeaax%>3q&PM!dwWW>IcB3Omd9s`)tnqhR^`b%ZmV&MGnVWKQY>eMaR(cvSdJMf zR`G_^=0p#jD1sH2Pn_rDG~vGP+a5gK)_Vm5Ijf*ugc8%?c%=WMy2 z{j``rG@id3@@6rxr5LAFrKJh(C>P_erwjm+}9a;cQ)w!P;|b} z*AUmFQ_nfEJ=FEbqVvZ@o#C4BzJPyGbmDw&*AYh5;hJQ?;7kR5_fkLBSr^|Roj7NG zN6me&;$uMXMYf|Kp?|C{2JkPCKAipg`HA;dPwjR7Li!Lp|0-$f3>xa{1+peTzbAd? zsX2c_U*7UgQZJO%csbIcM#Ua8S0$N)cLi<-p|j6(sYjFxmoV5u78HqAs;*DwQg=Ps!j$z z){$zA>soP(JfOY3{azvULY%H!4EYxT0RR7Z0c=uDOB+!Xy&6+LVr!DRQqioml`83? zAT)?GFVAEonP+C6U=W-N2AfT2X_I!*7P=|z&Ogv~QCumy5qB2)GlaNx<0>M8=RVRT zwhkN)^X|Flo`3fdr!Gno(aY_P%Pw#?Fb0UU9rzhb%fORDxxV&Rgbt*uy<#^dAULXj zxru?}z$kEkgX1o$5cQ${YxivmL_I(R5E&~__L3C3hgR`FJ#%~-0&|FAuD1eY9qCz~ z$QHvihr+?=#U98AA2)?9Nnl&Pd_aHTQX8*-V?!RuA%EM3uGBfl*S%)rBPW;Zs2aOT za6#t+d&L!5nGRT$>gZExIsNQ;GCAKzbFe-eOilHpWdQiM)rI!n)_xNR9p>ZRJ_M?j zcjc{0X){r-ydN;ztcG6jJ(ye*;C2k5^2?TX>>S@s1`(y_N9ZFo*Vd~Y?h(KcbL$K_ z&LDlq+I0_We`yx{cXC_fC?vu1+EpVGZ7S~G1W#5j9>F6st~Q_i%%{s%cgI0!577eF z_j1RdYTyq5n|6#t?tGM9WAS9Wac*<*KapZljGmxySbF-V0QP_ppzXx5f@S43-)G#M W@b90guTYtAVQ|4O=5GN20RR8ELWB(f delta 1850 zcmV-A2gUfS66_9;M}M&>000000062500000008X*0000000000003+U00000004La z?3ufZ-Bl3Be;eZiQSlMtA|gT2MhNaEZhRpz#A1si%Vx6}3ojN5K2Y$Dm83{vVPTQd z!qURR(m%k$!opI}RDz0Hidy74pEGBE=i%>lj=K?(JIma2et$D(zVkS*-@UuHX`0)F zp&R}qI}rb|CwjQm_m`y2<@SuOixzUN%G5eoJ+WFAZtZ#%O>;oTT_?QHvpxSdpU+`? zzEAY9BLfz_BPRqU;9V32d_ZJqE=eD@=j%lVJ2E_bcCF%RWDkjCC)pg4A-G0lxGj8G z_zTg|5=Y)5i+|FNC;}nI+%0^q@aY#`C46X4vXzlAgI&hRz40N&If|Dff(gc}YAK%; z#w)FHzR-p|llyuoY_VzZS`xDJ8nP|+8mbIZOryEl?0@Qn6q!EUjxNr1tu-yq^+;>F zx9w?XG*>o57;1gmQPW4;(IvSO;rdG5a#Gu{o=Rp`ZR-}4+J+TXo^RcfQomtMeJ>|i zTl9e``c=je<5@R&sk!7PQ-t%MJOY*Dm@;Ovj|xK%3jZwQZjtuH+wZN;RvvrlwKv~d zIqXmxf`2-mu{^fKDfjN{Z@j{bR9>htw}g?q^;5RnZf_RXQMP`m;(#+g@t^X|6Syu=k-b_YuA-xYQ{*?+9W{xze-D{uF_(Q^es;l=9GcKrG&;KscqRw%V7S&i(Lw`*9e|L)Cz3xXXxjW`B3vUW@ z211;@_dm|(vheX^vlCA}Gdp!=Yvat;Y~$4C)@0Mrc|at*uG1xga*@y?>gE-n@BH+r&2Kq6+*a{V6v1vZ^VlA+|1D z$E1wEQMlBm#?SuC==N9E&wYHX(N(>ON%HBlPd!HRrpV*9Ehw&yBhyIO0_82~XiYr<33fq$)B zY+z~!4ecMpWxRcn#73sKcc0o9Q*2@yvWa8+Jz6_MvBfs{vRKupY2yg=J2Vo;Pqi^z z#@{Y%&(al2{XBmJ?VZB@?PvXD^73<15O7_Vq__c)n-q<@$nd(01A8+2oscGf6rup@_0J?0OEnCaZ7n4ft} z?#Mj9K06TlbjUf^z*)tZ`|_c3(e1;9~ojCXKZ)O4G5Un^(h50$Zw5|oqz ze@j{WZ)rIdLH_;YZGWqP_3Y}>LUw+xZ7I7};jOtI=;L?re6@mo8-`4eY0A`7{5A<# z6t)wjO=#Y=nG>#w05yPL!*(iZAF%`Ne6#`lJ=xco5>61FdV39WH7oL8f+tH0M^NpJ z+Zc*&^D->V9|xgcL&J!lrLMQqU<=@L`85u{(;-?!@aFLKsWS)6zVk(rMYYt4#N~X~ oGGEXj&{v@r6hRQ4)y0tTh|vW+aPWX9E)FQmwmWG^^bkqQe!Q@P9Nq! zBRhdEsq<$%9^aNbw0?ycdk2vzChP?qXn{KAvDW|Z_!oJt)Bbh)`>p%~gz|poRQy^G z{D1m2SO;8@f8dHS=7aP;{&^WqVZxlhrFqFtoQH%@T!-oXnXUT5d0r;e|N0#>&Y+jX zpiSa!I;57U?SFs&k?$1w{@KOXZ&?eoa5HSwz+^Ha+@?4|%R=0C<;eA}FV zgYpU+7QWg}TsE_8;+=!F31qR|4s0C3#$-JP$udv~l+XxBpiO8bG~8P-%jZTp$M~BQ z9gkl5>VE)VcQ1%Pyf6CiJ{N=I(eQXw3Q zB4lSeCEOksZeO~%S=NYsHT6D4#q`{1l+@+-QvH>2=abXHYD^-LUYH>g=_TE);c07M zvMs%vOwUVAQOL|IVPU8Miu`s=SP4>v zX^Nk}iz_Pw(18rmKYwt8Yyz1g0>lW{Is5wt`1`r~g|IPz6xe(-`T?Y{C3wx((p1On+G rAeI7Rgh?Q`L4pkEzMx1haDW`0Ytsl+1$H6Oa}0sOk-h)`00960$X=QA delta 714 zcmV;*0yX{D2f7B3M}JZo00000002J%00000004yn00000000000024z00000004La z>{vTb!!Qs|p+XEigg}CUfdM8KQVQiUfnexR8bK=2DPm+{0VMtt|AfDUAlw)Gbbgdp zARGkpNmrlmv+wH9_N8evNs>jlQu@gR{yOZ@_$%F8V zbT;zvYZ>vl=vK#VMom*dO|1vM~VSjdxKMM$Q-Fo_nW>gmYe+7S1 zoMSwqEPAjzpG)K|9B(%rRDxAfeKWcj*2P#>h~rgz_2Rt#sv4^>M72dcV(|#^_zWIYBE-iN&~h#PYGoCv$>Um=cR|@rdPPKgH(<00030 z|9Ao7Vw2zkIe+(}Y;>`5C)D(rxyb>0M3ZTeu z$Apz2RhXvu`MbEXG5{UO5dHH9H^>H%DI!3Ou*uoqH^AS|)h~n%Y=F%-qaQ$dEDFI+ z2f2%#!2zgXjnD2HT_CLo#2}jyCVGar2KjO@WB>*CPCxkZ1m;0hMgAZ~oD3R3MO#xf w3v7V2Ef7lqF~TH}+aN&(bYD;;7dSwU&b4U-ssg(Z=sAYK;7DHp00030|HTAKMF0Q* diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt index 11b531f..e04bbcf 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.smart_action.txt @@ -1 +1 @@ -SOURCE +FIT diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.sta_cmp.6_slow_1200mv_85c.tdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.sta_cmp.6_slow_1200mv_85c.tdb deleted file mode 100644 index 4231e11c12e30486261e7c9b3868c6cf87f0912c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5388 zcmZvecQhMd_s6wXTbrs;qgL(M)!38{)ZQx{wvt*UMj|M+nhvTpqNR3GHG(2h)GDE= z6)I}iC=nz`=YH>bo_jy%`_Db+xyZo4P{VfSG&3*+ogU3ob@B-d4*-W; zQC9`10o1OTLEq(nJnzVq`1F!YZuyx+?g1IQE3zu4w?sz2T|w|RjpEbU zpE0>9`d6!Q7Oy49nN}FZXB%H+6)#Ci)p_4kVqcYoNI4QT66;h*GXc_QWG{Nh1oU^f z)xSc!f)3vU+61`<9FN_f^4pFaDIDL}M|MSS<^xe@1n=I9s&B^rZazPOHd!C?$*nQ!KoSmW1sQD@VAdLF)Zx9Dd`DX^g&QRC< zjedQ;R+RDf4NwAL+BdfPfa^7#`vBX0LVJPYfz&b587Qn{B!M+xc;11y<%zg#*)y^C z#VF>AF$&12#@tOe=j-`-eM~H)=ff2S|J<|U3qL!r2nlz;)x`X;{O9w_5x-l9;M1K) zkm`t!0cgXW#tiLfb3%Vk}z8+|HpT?Q~KfO(EOq$n#jzJTTKDjC z0Sk?7&4uOU;m&Fz`o#e_QJf9RMma7QDw^(}No?PGNxr;P)7!~bzpXq?g~jd_UL&ei$qgl%Sne#b1dQ}B;R9(3tdDESbC!R3r`E|pDsC1T2?!IdherJ#PZtUv*=)6yq)$btOPyOB~X5vqiP@2vw z=?K)1+%j~7smizqX^Cr|Xcgrh6ltD>r_mvn7%faBCLEr7md;M$nfEmIojKC~fwtx@ z=S#yyJtLg-fDI@e^FtWzggR-o?tMy9Po%&?jKnd{Z3lf8eU|D}aGhUwE>oY*;KAy_ z7{{^Gjma+$ASj;Sx#|7siWi6}h6c%OTWA!`eNf#7$f7eQxD_3+crh1@Pz*T?Wp~1* zLZ=ZepIFeBsLS(3EHIqrD%oRYtc3k75HpM^;z6x)Yj^wAPya?BAxn!AyTmhlXii$t z7))YMd|~kbkvaZD|IJB670i*zwcBOS_5;RDp%z$-SM_*1R@XutwuGq1SeK#LACH1Z-v zbpALI#zh?rcuwDXR(`Nu@@!SK2X{=~AGLmJz;(QR0~<0=hR0ITmucYS6UDe+ow1rU zv=JShbV42lC)2GzpriXK;!j}JSaJ6H&4!eT&5e3L;7$XEFCn>j?{IN({^-#rElR&- z5drL_tvVDLHa>^Y_1{HrXT3_@2@-<_=(lmkX;GTy1uQjAIzdDO)ys$OFAU+-yZ!X< z+=tx@t5|W_*F`W%!xN9saH^8j6>;O#@AMLip1>NBu1Xo12eYgj*cBns%nnNXKa65) zC!krxy%YOIP!X3+&Q@#g*?9VZjobkUCf3fLmmW<$RLP>7c#B%kcw%IX>I_kEau=7R zRqUT`rjuZkzoGNmNp;<5Eect6{|=>!tU|_t$lGnO7xa91c!DE+{HA@-q5Q~+-p=N^ z$<>N-N-E_A)oG%u!G(*!rNx|~?GhO1S z%23Onq$_0>=gcaoU(ReXwlq`Of+>VtB<*;)8J@t%cD~4B8!$HEIR1r!S_LI|`X3NaiUp#xbR|b=ELiY7b#8e3eZ*wd!i+i^z3%rb8tUVU zp*;zPU}#p4%rII{9Gq6(qpTCE&(11hN*HIgG3PX<%NTX%0wd6PH)9NA1S!3cXWA) z*j_s*0I}|P<>jNoZ!}fY)_%?~(D2s60Yrc&e8++(hMx!5wd#qIzVqa>59QtTOiI)xU1dNU`u6z^!kE6@th?C zX*i;w=+k}`5IwiS22+HP^x-7C9Uh5qjy*$LDa;@=1WB;pi!2x-tr0Sw`1QnT6d~@e z{cPVm+B#11XxRTA*}Xw_Hotb$;CE`z^(p8L(r}~r@Zo-k!%KuYG=7_Zt0^8gR7c3> zk5N2sMM~;O0vqSvJ-2|`86WP)udly)urX|{E5{jg>FOKS|6mH6c?e~rwdJ@4Y{sE4 z9a z0S1Mixrel5KtHr`&>sWH0!LbOD|^5Bs09>9BTtRuvkBSQt(4yOO>HbGpRH(Xdu4Mk z_ZU{?&&3i=h3`H-x#L1#KVCn)rkr$8f<#5-#HoQGdby@KOZzc|4=^q8OTzAocTtk4 zA*yIIebM?$$gCO&?<_!w6W9$Eq z{;ybH(1!97R%a3?+fvD-tOApeGU%|EtM|UWL|U!TLCCG-<8ZOx|14fvHTFpwahO|y zBN0|I+gtB4TRRjJbPh}B_J2~ZHZ5)}*oiY1M}+=e_?eZoqBh!Fnvo$jTJ!q3snC;9 z`Mz!!0fAe^>|a~57w>;MeX&jP^cWZ)5>2=#T6zAM{Hn{~EX{afpFqx7R*`Pfxpz?dsC`_^^>e(4bo zflajsPVNrt4~%L}y@0*;+?KTiRs$$3;r7&JfaoB1td!XU@%#DYKuU0?&!4)Yos2_42F)5G%ZcXy!PLaf=rb;w+bPTicpFF6aA0S4WnhveVKLFEj26^IV1);G_`95GA-aSjA zI0dhF!P*|M^051QDXv9(V;{ht!-kd5Q!jjxax|Wu!TbTe{H$ELTo?-y?OKs~ zF_z6%D0*j+QRml+ZFF8(z4*0{Z7GMP&eIEb7Cj2fj{r#{(dBxBy<`*6DFe?o^S~cj z1sLla?p?k0`A~4lV7gT>3UU1798@$FbTne6B`~gKPJ+1r?g>E`?8M!F)`PSo7W7$3b6(TiTbhGf&rh~wmP5!Mv0d6JP z-440y_J@&?pOv$$BeRYyyfwE3#^WCckuyTWLl4hgLqmH!G=C$qV(Fw#p3Sj$?PbSm zgrTv5KnM`Y8|EAtOW#>Lm=T z_q6-((0;akSRmceY1rcgOqrW)IHiqBcrvw}i{M$495s8NVI%+toc(_zif5gqNbzQ#BuzC^$P<=0pa_3QMv#JqLXW;;i!GhAfS6{JhBjV&qpnw+ zd5I1g@TPa>hZa7xBW_PFyHJ0#E)r2$mhv~~&kHKQrl!NVuzNnWOlt&q^$WH}(68OW z+A|=R4=Q!@C;F`yT8(lqHMJLO$Sa(5bc$raXu45lYod4Dys{;K(T-!TZa5d?z9^Vg zY|thhe0)knixM_wP28TJn$%Hz`G#NK#B$*qAC8n<>wXOa68_7yQj^br$^m@LUyKH|pOk0Z5*u1Iu6feBQPEeo3V$ zTwUb)@r~*FLoHSA(VFa;?;O)zk$(<{2fu4X>t!te zyT7?Gi?s@y-Vw-fQL22Wm+!-PiwnQd2D>M{3Nx8c8CcwKd9rAj`d;a$#3w&);PHFx z>>L;Aj3AHT(61LdQ3bPF@Jna4bW~>v+M_s6R-V=RFp5U|{`2HJX-+H+yd25lk5G3n zSmP6q(>Rm_n(=M1#BUdr6Q{p1`JV?2X9q7;le`exMY7VY2Kb~AQ@GCRtubmW{tyQg zL)!P(GT@q2qf)-uF$b~B|GjP(rPpy6A5tyLm&Z1+laYN<791xoC03VARdY}1=HI#b zq+fmr<(8JB^l}VZ^+X<+HzMvNB zkfzBORpcAZOz7(G8MqqCER= zl4namMgP_1#_IJDme8F0Ejks&=T#(>l|P?DC{Vx6A^nUhd+e0Y>)!ubW@*%L-Dguw zvv7ZJKrt-O+G_kyzN_e`hPsLUu8-}Hl7(;yKKY%It#;@qz7tX@Rri_M1ud^}V|O5@>Dy-p81KywCOTW;~Oy42iJ?HlDi=-1=ukGhw1V~b%G zLNO1tGo!VVI=vA$5lWbk*F8}AR!S4=hkD%UJsawz7( za)AN)CRH(^j6b@+@4Z?(VW-yui5KG|#ABKqyivdna B4JZHr diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.fast_1200mv_0c.ddb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.fast_1200mv_0c.ddb deleted file mode 100644 index cab43128dfba6481a5b233fe8a5f8f963940ca44..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 111395 zcmZ5{1yEeU(k=uG?g>tCclY2H+;xEjXR*cI-B}G{4sQ+4oQU|_0HVc$m>7~A&`_xrcDxiiSx!Tt*;J1Yk(#}^4#Yr7v` z_&C|WaB#4_U!3gkqK3kkZ`Lm6U!;FnyS)F_HW=r>E`)3T$5jK;f7SmER1N=g&ja?v z7b%OR>859|R%xVofg6t3#B6Dj6svrbeb7Y$y$cbIKk#em1FU~1JQ9uqI}0~boc*Jk zBq~;tDvpf=YRH;*P2kL%uk4i@waA3mncMk_OzzC&+03z5c|rPd2{m*_|Xk2qC;Hw>8t+sR4YOeooMCFh|#?T9Y4CYHt15ct&z5$VSoDVP%1$q zb@Zj#-dZdk^891+W_MV;DE;(%K;L4dx_ z8Q=Ro?fEIH<0YZ$>Xk=9a*edx^mf5@eH}|P=6uHJtj9I}@@j2|x!q0^BLJzf&VKR{ zWVM*}l*o0LOf?PE#JW#3tEh*lXf{cL8$|Zn3T0b564V!IP>)DW&lb_tBG0*LMjD|} zCQJ%SzuI*_xV-Z1`fFo3V<=JPE<)H+SyO&K;k6qqred||QS5tG()%6$s{n2PE6T#Z`zz%2-Ue{ zAl)_>dqvHeOyz7ynWfgSc=h(j^3-^G)6&jH>7#Sitw43F3tzc-bJBzPd>6^2AG&&r z>D*EY)ZNihR=x+3&#}=gTDKzbN62iHqS$?o#a>S1w|!fcLn5)tPgCQe*8Vu)vDqGw zRU3? zIQsQ$wq_v0?}VT+qHe_%WnMJR&p7RB^v5}~O&+J{^HRlO#ZP(X8-aL5C{gZVCRFOu zQeS{7q0hoyoylO_!eT4^`=ijQTgqAc38-qV8K29~a`J~Sj_}ETc18K)3Ey(H%yh&7 z>L~_#THO4$EJo{@I3o?B)XxNtQ;U&cw_|Xs*ZCc=jqi=zs%F$`zk%f__j%*zPsy?$ zy=Ie{tVCMt}3Sb$T>y{j=)$&$D8=2nA-=NMq1h zU(S+*CwuP!!;_E0jZE{qopgFnvC`(k(=FsSHJL#H#YE zUBp$xiUK;TG0NO46rs5*(DANkP6&m4PhW9e7tqz#am2Ohm3n?Hjd6UUn{$8UQ{AuU zY(+jj-7;9TN~5-G9-E1W1=vIk&wm%E={4XN*&de`*n zFh8VNOm}~iZ+7|Ro}A~^$D#7us^075Xq@KDLt_bgRf*Q_s@EsrrBT=P)N$(BKu21f z$L(;P>pUu3(_F>6XKs~FaQK@t!_j%yptFOE41vtnw3slo=t|^a2v=cuUZQ6u0^zQ2 z>`*Xk%2W&1Fj z*v68J_SbCM(>jaM@otYWg_)nSYO98*4))|)xsQ8vhd#lTAT+}t^%DZ=rRFgy=??4x zd&I~Zd%|`Oj%&1;2sF6LtRim-oeMC-hshFkeM$CW$PIZF8s48ZZKPRYtMo`gOodtx zTy7pUN#9Twi5vdX^3QBNUeB}V8hx!(9OnXvG$)@j=?~CmE#`NneW=cDZS?E;>xD6P zeR%18p;(IGKYU=O{}OehRc47By+AxW#INs{GM=b=8?Gp8Z=idnN->M3|T0~u6k z{G+?{ST=6N0(}^po$7_L9EjKsrk?#|PmeB(F+-%O9hzZTrGvntMEDzi?_0%C`~u~l zO#KaY`=0T|%6nZ){maXIvX5)kbfYRH!J{gcNBM!*q&?9;Byc^BvFubJAnJPkq$yT( z-^a4((P|Z*%D5yy9tEERKcr%)YB3E90=2^ZZ^6n&$j+N0gP&sFK2MB2qLaL}|G1i4}&6dTNJ(xq&5UB)x< z2l)&&W|tGL6mEd*{4uz^SuDUFJU!r^-D#FlQ*_)!zin<&YR7*mg_5$G?1*+{IGuoS zXk0Bhvg$5JcIWkr^ZHuuSG|{uFH8I#j)YgY*|(2Nsx@Rsf9q-_In-*_(o~Mwq+}JJ zsYC5b_f?=YQaWtLP&y-aYs3gvrha%Fz9IqsTwZ3?pd#%*zp=q{wSytA?!;F+)TW^ALF|35VoA?akJ5^|0erEP|`zm{Oae5?rZo_Wp)Wj^=Da)>{ zIQTBNKiY#@s`&nGL%A?=n`kk+{jNLwfKz@#g{S>G9r}PSC)Fx6k=0-8tbYX0SzZj* zPx)$Zs8@Io zGOm`PyV^M&+K5KHv$>6;?wggs`Rq4s+qbEFeZrHc)N8{7f5|0LDv|KjAJ-wQ6V*K> z8pLF4+Y=DV0mP9OwkHYm9CIY-GxAgOvQdz@e?EZkuZz zCX;QopM&{&1E6N%Ms&pUs>M&p@(p@rpVi@-F6q+Wkb_R5IF<9@dAv$IwRZa_!QB8L z%5X*Z?0muavh+#7E3g?U^}hS&L}|b5Fi4lk?~bnOr5&-6rR~_DO2_{E@v6g=ZFpq- z*64Z0H7Ba(nUS zDb}rdChlc9^?oX$xoUE@Y9#w?cz<2=zO(7(U~xHh@og1KzMk@caPy~Uw*Z-FdR?2h3havwrIN+@XKo({~XP_h%6}I zQ^+CG-}&990xw^qE>RqJfOQd`+??C}4lZL_=$spKI1=PTkmm9sRR3&2O=XH4@c~vB z=pW=kDG#>s2I9)%K}#y=&S|%JoVrWf=_UxLT9t<4uQe{|6#cb4H*x{gPM34Jp|yCb zz#cc;??ql>#%f*uFDND5g3d!4fb4J4kv30z$7M&kWN6DABP`s7Nu9fxYL`AwZd%nH z7h@22Tc4cYlAT{()`4%8S-eMOkh7AvCeDr2@Z|c~X@t9Yy}mWi(NuQIk}=u9?!RribJv_O{&7{Mv}?(Q1Z-r z7SUHVpZ=}m!DOUr(sk#Ra`{DfOQM`}x8cjc(~dzEfB>iQK^`!ve$w;$Uihi|%@n-g zemOF*mHF1@FQCHCT^e9^M%k8Mc`v zJ~3{;`udso-nvsa`!7|Gs7zk&8zNO4-R?|1km(9`v+2`z(-{lDPE{*X|HBZT{Vnu5 zI>&VUmhZnC3b)Ki&RU9-gVdP-@JF3~$Po4{>HfYW;{BI5h0R#6(0SiEQ@gv>FEC_Z zl8(spU&B&d7k8oRVy^nKq_Cv{{kq$=q8vqz`y@V%-=UXhsK+UG!InV}2{yo`k1-OE zT1C_jYpczOxl+WK8}nX)srWtlwAw&&H@WW4*D<0TzPJ^3zl)lJE%KJ85tZu4fsp+U zcj6qe&Yd;L)`+mSz}>(yqj!r)!u@WrXH1y)N`ut%eQwxb$yukPkH>yqi2csV!$0kA zwRqXpuq9n$j0#o3``MH&OH4z$*H_E25r6;w!83BPBS>r-{_xL7?~8xgTLt!ubgv@@ z2176LOFVyfo1<}4Z8moen6CV9R-+VI>+E)+mSRfJ^@iDA1npg$4^vOZmE#<5V=2q8 zSDNPie=Kp9*K@KQ*2UI1UEYWu^>?33)+-t(9}Rc=eE~`be)m<8Q@+hcK0{FH7Clq! zu8pX0qc-{J9=m_(Wd8U~;bU)(Qk_#`NF&Pt|F4F=gQUr8hUTLl{Y0`u4lmY!?=$iJ z(iiUjbd^VekbZdqVwV=02Co1wBSV=+8~~pirH&C?@(iY5!8)oPX}N8xKC<&FTRd@D zY_AW2nRMpvENNoKr;bUHX(?d`_#-{9W03qBzwWJ&xm(4P4#H#tg{Om|?H1@VyYX96 z=oS@kA7|c@-vV*5UH0qPko&X4l}FWFS`=o7_c!(1Oz(fkw*gNvV(VVOv-@50DQy4t zBfHDCyF0~$NkgF?GRJ>3kz5)tP|GIYO>$zRStw|T_^54*#-0$1yzZ(Jd1{m33qx1^ zm-(lx&%&Aap`EahUtGdrd zdHBfuiJMRUShvdV^4VGu$L-H4nbb^Cvx6Db_SWZ~++7y3C zC*ifGCOdV?$KFidJRRY2Pf{_g@@9JJG5N$8DOS@ivfdqdc#lN{f{y(1Q5a+EQ12WV z7K<3LB)9uYfS(j&bN1dMkxR=3#fmjrWO9K_^z zj2>krFV8*aBmDVB+AphgnuZ!q>%G)(Nt#X^^KV2}$w#ACU(@#QuDnK`oBy5l53{AI z#BJ~L#ca2*5)nGQ6eLEn0xGTT7QA}MHRFuk>L8sh7!@%do^sQ7Xn<8Kf#p^jMn2Mq z=_sA4W=He!NEN!eS-(;;f9XlX?H-lVBMgFgsq*Hxs7LX_KHTs@0E?Jq=Uim)mgUrO z?!mu*K$)~eF+wc1Zke9@6E@A~?aw_bE{mL}lFb4RMIMQO8r-+7+THC5V=mX(bD*UA zQZMY~h}bE)m`6br(qt7*PJunIxlH+s9#D*Fbz0Q?q}Jov9CbwUwZ&1mUeI6S?pVmq z@X5RBWiS+NDtEn2)4VQxP5&|xVwMse=go0BCa;rTuM~cQ2dG`EzWmT(kKfa<-i$nT zc}E~x;j>IM^_I(Vx$nSCjQL`9TKH`>cOBF3t@KysdHK-Rz6>+=o9RBnHa@u_{jaAD zQ;pl-OTbGu=%e*>u6b%SdCkdB@M^7l_bH%oTj6)uh#>kDU$-u8&S}2d_MF`mB*uMz z9`7nN+r@vH$zr1_HT6gUI>G3pgD1vDKO1y8A z9Ui7aV#QL)X;f7DeBikpR56lk|J30zOe>jx=}h=PIXpySUElzS84m4jTX2<9fF6WV~ZSm|9cz zDY=FN)6;Gx&tufwE#}FHQ`V<{(*Wurk#FXHFYJ9_E*eR(VXmhbzDlD~H3l*IOc z#c}$>^u_+C2)fQjmFFYkt3hu=vpt_eGw1LVa0_{rozLLP<@e94fVMp~uI>V~SK%h$ z9KZfm%O$ac)|LSIeN%y=>yzHLUxfp4?vprPj}y|Of-k2_2%y>Lr4AzSd4g1D$|J9S zR3~hI)ZyrIbaAS;{qj$!7XDScw5U&I{f%Al79XvMs({Hj3Gx&1SRM{S_VFzUXCa?D?bZ*fqK2B#IDJ@F==)|g+VjY`d5Ld-+eb2|r z3PupyeQx1-UpEF63stO9)2ELie1yEJN%vWK|CQ(I>)l>iytci7!{q(m#92+uiCCUC zV$X@oKeEdW5aQ6f@`|YF0Y?E{VDhc1um6olwK&~K4axc^F|VIx1+i>=PUs|jTFVZr zbxhXZ!(Uwb?#skGhKTKj9G(@17TA|ZX^qJY=;F>-+`Bv))Tp*!KUbw6{Nt(8*=RU! zHlSZSIC|LU(Syj*e1G4EVuWV z#^NQxIlqpHDXP|P`mguC4}>)Gxtq)Td76B}@U0u!DDo84UF-7vs@xNo`|x+`@*gqj z;ctW8>)0(orJqIT)#&lCj@`c}eZ6TF5@D6j<&f;H__K7D?z`728}r(?5Woc4+w&Ch z;M=QLs>iW^Wiq%+zvNXE3A;#2Z@*y44`Nnq*O0jvLB8ft&HpI=#{XCnS(E~BN~n6r zx2!hTUAndo;9^RzL5fm8O-r>aV)Y0&7s=rZlf>$K+R?MZ@a7AbE_#2{txjG5Z}6fxl06=+)iF^ zb+@GmVW#ra1=`1v0tW_cZWvT$_^r$Jnp3A~t#u(c*>{cj8$RN;qZ_

    ZEPdO2!WZ!z@CH1b47?E1h zWTdr4&5{1prLp`?Dvw@k2IcYx0-Q5ku&ImN4ga*sU=^ucX^#9K2V>nFx`bD@^I8CT zSArjY?>dq6a&}*As@@E|jW~U@Y-gtu=M5+yor-I&gRq43zS^kVwa*w$pa0P`U!Df8 zIo3VLPL&5{dw;SezOQdnQvdAqGbwV=2wc3O5YG3<#(~LQ$rC|!T>fLpI{8ls7$JV$ zvlHPyf*1p}=q#0~pvY9=%RSZujW4g+^KW8z7a@!08lJtCSR`VAmX1G#_W4+p4fHWfu6;* zrgg}q?D;+jaWEUTN~m`m-lz^mwcnKFm4U<|W!c~XS|2S-g!wyT7=IEvFsG0SdI~K9 zZ~iM!U3=}uJypWmUNED}^Ia)8o0&D>5d0}vz;pg{7%Z_L2%c0<)-C6y!}G_276~i9 zwem_{3y$)3gkQN^yk?q9DF-d#IpGZ)xb9NaXgCwvZ+}u{nzQ3)UF4e1+btEE{W$)X zkB{JO%`sH1Bdv?7CT8b|TQbY?Zi8|xq?5d1z*>IlfV26ZZWUiSn}N?ns~_lGL*!lk z!*?*#rmHp-HjtKRO{3*p;b)uE48Rq~`t)@BSN%}wr~g_R?4HC&U-w-l(H6!zK> zs{Ec3vcVb4@FEelJ`IC_OeI@a+mcegM)C?`>il#!2L@KHGBwB2iUHEG=e-g;;J+_3e0mL} z6#~{W&L6jh&L0b~f8MwJt&`zPI zHl0#RR&_1UmoO2fnbKN6@NL@tL z!YF`Ll$u8v-Za9+>g7u%BGL}#f8jT4dRuLM*PlxYp$_i~tqiWy!5;FBOv{I+E>q1N z#5(N^1q5tdoX@A{5A)ILHS#>ZSW@N~r| zb^ul`Q|IfC1cC$|LpTs>9?t#Wrq0QAotSm;V~vJ}sBB5ErWbVtW!h|RYRF10s)MYB zW33S!k4_}VXi&RX%BYgLejc+YV=G<;!V5MFYnh84?i=`_VKki!`%G`zb?>lwfw`Gs zpA6_7`W;`z@x#7*u{&U;@C~gGcS3hqZKGLJ^!_rQeJqT!>TirSwxBAQNWJ6TxwD<~ zTR{S6zkB3i!OvM%5Vrmi3X=+|pk z&wEK&@J$`E$~H;KmmBcGaPH5{;`&CM6QpuV44Mx3vq*hLQ`RC;A9tWr7gzCJ-C+MFb3>+l$HuT;Q8Y^J6noTXHpVW_dE!=b^8? z%KxIVGkkR6M?RSfBp9^s-rL!_j6GMABRwuU!*><&3KX-*{kfvmpatlbo{R@Nk3oS}iCiE6TgKt^8h*Ue3owA6iJL znMGB+M4tz7|bP0wy%bbxs-1aV;Ddp}4D4mSW+HJt!U$c0`@H+iWPh9To?o}1N)o82@;};glK|%*{h@CD{`P&86!Jp^S8{LB6^uYY@aDT-(3za zaJMr|_TVeZ7+A>wPS}A5WXZ1Y5OWMn7EevxKVg-uMKyF&GkY@Y#WWkCvGTqVzf#f# z&*sIefiYe~gNv7qqqb+n0d-U7-{DW1x^u-_>H4^{Q>GhVd`c+Ar=L3&qL{|Davcgb z$Fv`rPeoeD81RqEp71|e)+p9iIRRLyg9KiwSTQLiVks&Q+fZi=*ZeBrZUUVKh&*@T z=9^au9ze#;fgzIFHMBL+5`sOEALPl}>VM7IhiV~xt2c%J#;xJ;mIgyJK+OnVOu#Nyi&Ofc+`RwT)g<$?TpYzxa@xx3H1uIJre z9bP8yM_#4tE22nrWDH=c=wNvElvR9gtqMz!Ap*Z=W@%v5E(-MoUxiKFy7W1uELeVn z+@M=x+;^0Ws2=BA*?D7aD;^bHeAhV#55cc-1y7#jMU+tSGEn7k-@urH{@>Hsi6FAM znKOG;tthTX7i`^!SGql4|BE=M{rwg=9ck|VNi?wKkoO%MHcM_9f8bZwbZxR2L)Tj6 z5Yz4JYb%r|4}tWWDdnpQ6ClG-81Y(5p>TGZzQRi;8T&Md4ZrwmcHUe@p-+ zYdk-dlIy%X$>~VOplwE1`EW9jLv_;v) zOS#JZ+!Sn>n91q`vNZR6x`*a^x)%fM_w<06|G z5EteHVesK1jH zl(fzuO$JD){Qw2dH7ZIJ_B+~2-6wAwG*059E$ZSiT*;R(J)G^Li~1}^|ARSr{`mB1 zX`0}oAG?f;SZ;0Fk3sOmJ81kG=x*g-3EDMse4dT{w4qJ{eXe|k6G_h;ma^$^oLPT5 z*iS<{wqa@4qQXGgM_i!M)b=D$wLmZ!XAE{+bRKSheUW9~#Aj-N+f*~Y=?61Tjdo+L zw#pzh8N`f>U-Pq6Y68j|G^*i*$k_Ap7E2^m`v2ujaeM6=xybD8tblm3-}H@mEcnGq zAOodon@QI&4hZDK^r(!Xu&r!6*iJ%e1{?|c{uE?@%B!@Dl~(sOIdj4IU9}i=LzEuA z)!vM~Z-A3lYy1b*{~ZUw3Tx-=A~rdTe!LjaDtraxZvu-`9y{^O&5;i+C$TeJOc%)D z0h9is+OQ3nt7HAiU**_^V%_n|&J(2%C}Y8Po=7m=zGFH!3kS`S6fyv{C{K0^v1}`$ z?y=vUtCg-fCmnwDV~&ZJ)KiYJoF!t;vP|JT@r(I4bG!aE!o^x(H3oDXB0TA2H9B2C zQlqXqc^8`@JejBQLPsupm|C>jH2Y}l)-zi)7qwjwk#wx5wG=Y{28B4dxGhGLg(p^2 zc3*8<7Eeui5=QNGs({RAG;7d%qyjH3#Fc|M;1+<~#x+jb7JGU%ra$Vm9r(GmG|l1& zL8Ib}6ARV)^VlF5L;vn@<}4uPA*L&I(UJOk6RVoYQ))xaa0*S@+ z=-2ACW~JtN9nq7+bt&2;cURWPdu*l|#B=L#^JvyQQQKrB4xHmz~Ow|Qewqdj#I>Y+Jek!2`#|F%-#BK4* zIgg`yK2LqByL1JDwE@t!FMr_8s!h>7#GEQ@73AHfvnE&0KLoS7A*^)is? z$m)6r@GgUVjKxaq?Ar*Dr3iz}6vn=UVX`y!vHhOU_pjeM&Y6EW$2`yd-1l|8->>)e zxF4zThp~&$9vaU+PFp~f_fAk*xRRUk0~vv{yG8GJ(-w9G+fvMmLnp`3m25-9Kb9Az zc@Qgrtvi3=0Kuz^V2&*2L8x94P};%Oo7qR>Y*GC}4*#%E?mi4V!bh^J*aXMnx=Qu$ z%xj+f1?iHqRkbW)O1DRC94m8@NHd;CpZ3ystWxtFLzWM3ao!LvS7kD$sZ0u#-A7HL(0*Us;I!tL(Q(KH|6i8*A5< zNt%ru$XfJM$En~X;4Mz>yda4ln@}FsTahO2hwl7$!}!Gw%+(}+xsIqXIOMRp$kua0bky)K)SF8|1@%=f^)aGA zkwt3|d^RiC9Yjy7qD)RFd3brybBA2+Ej<5h)7g_N&1uAkRY;8v3{4NhY)8Zda^cgR zT!b!evGd`@F<3)Udm&B{UzTIdl~X$OY6Z~Oh&V@~2ILvJxXY{Wfg=R5@*TWjA;V14 zs=%okEM(q0>)~gbtUCDbQ(wq1DB6Xr zB=ZglCxxGN^sVly*86R!xaqa@c)G_^`CF9w>m3Mz{>2yqTJeDe{B$lisr#Y%b9OB& z?=9tq7$)8ptn(%+Pr@_wym)G*!SDErV?U_^GCj1R zHn{Bb0$YWQ*p-zx2wA0!EwNI;!BeQ8w&B5Jgx&$yVh-9e#V4zi`0?T94_GUt|0ESF z6w-Gk>924qN@DX00-3kYG8ID*k6kqoS)&mdxTFb6Kx+Gn$~6_FIgGmF*wwe>`f_u& za{_Ls%X+eFE!QyGL(XRhDq0#)iGiLHI;VF**K2{7?3P`M`ywJR5VHq}6{b?8UP4=f zcZNXuN$$i6{6LI$)Z36WG;wz)F5+xe1t&14?R)z+A5EY!V25peZSb1cW%jzm#=kZy zO6&JDft7#Ta;9LK+CBP7{9u_9PSb1LQF$kBU-<`(MFuFtsB(uE$yMe;jt6BY#Zxip zXA;&o-spaD?#;UC_DtYP2DH_f+Wpy!!#`lPf53Y*T*)2%X)Nio2(J?*#~Z3B-K$g& zw7FrojbmaA1IqoSJ9Kua9z>c1IiE!HiV(Yof`wL2RCV;b7ezv9t|=0|yS(X0KeTHr z7XNCBrs|GkW7)=g=hd6z{55bJUxEev2n;)rEyuS4{iO~ICG1q%0np?byRLApNJGlnA~dHj+N;X zgkf#n1G`oN!#`M#B#T~zJD76zdB~SA-BKbHy+)b#B?;Rl$21uqjHLG zA+JK(j(85I0>bHE1%AJno7^~uEW8`hiKy+*|A6JD;@9RmPWN=zS{4-YUNIi+PpDt^ zd8RpoOC98fmBN05yKdDuaWpyc6q=<>t4G+FG{5;0ktx?Z{|xee*A3ctgZO@rsv=iS zH(J{9^)r?F1A6`#C+kfLA$Iy!mdfz*G7YQ;Kl;I%nuRG^+JMFLYhb766$72{WIw(9 zyd%XIlS!*qtckrJ?mqhPNPhR%PgrY1UDHb*K+D{C+6$ucy^=DGjrdeDT(@M;VDeXy zbV_n(j9rzwn)WJ0g7QYPY>%1tI$;X@`KH$ATFIbe{vzC;BAj^8}0vBFBA#!9{L3Y&V`y-?w~FRh3BuqS_H{d($gTE?jV{<65pV)JZ5 z7LxiFKlh(C!Y^yXJ1(~F=G(P$>?6LP15!$?+LQpcX6VQmkf77FUD;~E&L`GSYX z?IO<=j{}7{bCk$r!o!;mF=*FNo<^hF#=0!w-yGEY9wHpkxZiQIFx$F3{tOcS%cqeb zSuiYt?mz*rA_QADA;c-KPxQj{Qt?Xz=eKbEO7D_h2e_khj$4N3Ypv&W$r=;CPln{= zuX`EU3ai`Su-I6X#;rXdtIi}tt$tF{o+!Rxd{eb!!BH=MWv8xhv2j11^-Eg^*yX5Z zG-ixC5cXQk%EKsrXi?+t9Bc4#KX@~$0n~~%_Jq7L+GJ7MsBQZN5&g@hv&G5H=LSo=QK^ObYdn5y{gZy{|T9oJ4o$i;%$Kb8mME%R11gaBk^E zeQ5d2;(i2UG13RA$lffyAK~=C`Lj?{wUSlPtTlf+G!0XeYRvV@jPI9)?od$i^rO4P1 zo0>acf+q@LTf?6#6_OQnLh?_~qXHTG9Nzf-#=R$SqigP5H+Td{78{FzZP}b!RC8bD zP!#FEaM{}%T>qBSy{y`MPRadQ_pMRR7>bXqkK+@B5&Eg;?2AExLnytWCa<7vxiJXu zN~^;`j+;>gyYXC*&2{`W(aqg9h!T=$q*O6R@pLm z=kxr`!-`^Zk%w7VhEW#)dTUuqpfccQ`{4ww5J+WPcVVO`FJ6h25f`e6X7W5L0W2HG z=OVXRTWtwUUm9t4=AnpnMdhvv!E)0;C-AL+U8fTld#`b`UVYOXm_(9-oCY7~M!x^z zPw}G*dv&LiatzLDW4YwbA+?ue&tnYf4kJvkivB#UiuT^xTf)t7cf9IL%#W2a$QhMl zrKlV@dNe+vbnxVX zuAU7VV#SXx5?02mG1*H`^_tHpz6H8ltfc)VcGc@YX#Eo0VB+!5%{VB{fke!ijJ@}& zTTqzFejS!OjXb__k-zrWY(I7D{B*?6^qX1{1v^G!q{fYRmKv)v#R)3aO174;5@@`r z6mm=lx$@}p-(0G=kp(TGenR%b5rDs0Ix9;KQ$+&1V@ZX2nV``jj7BWD{mEnF z$3w(w9{^-v zk?sjmDP`|!arz3P)XcU3?rfX#1AB8z^%dj%9p_TGGhjwEttPhYnQ}-mRwgpJPxO8N z^7KwkD{G&BJ~!+gL-Pn+ul?EZg_66u?Z+K!s_-&@Zu{bY6oBS+r&iVnncYy43eplm z^d#6jdff`xB4{--X2RD;y-|=N)xqkg!5;4ds;X8QU3Fjm>9uz`u+b!MIm(e{{(WSN&{$#}OwN1xs zi*8CqDM`NrkLy?B#8MY2W2aU7(7W3IBu>ls^wN|chRMtvsE9N4P7NGG7T||J4fnh0 zhA?ZfSKUGp2$KC*hP>)eEs~Z?wn-YZB;bxT2-m-%&)%)EXqWgaGgS^g!TH?v+$4~ zb*6ua3_V=Ic_3I-?keTL#cSctl+?PGnws69vYz`J!%aBxQnNrQdG?M|UA+c>sGz<| z_^~CJ!*ba<$3;(61v^VXvEkp)KH-}m?G*@&lIue{J|giWe@^|6YV#?soxh7IuMxwT zM*gh!Iljw^wWW)kSxP~!?ne*H#n%+StV}U2%TkA!k-<0Y-w}|iE!0G*9%JnlaFRgY zMT~&$+mEnv{{VmaC5p5sceCQ>9L}!#SI+~S2Kn{v8eC%3o3@SD%kXfvrd{wNVIiQO zx<^oz6S(4C!*;LpGLuO@71)P0eTAGQvswCZi>kr*a4BP3XDJ)m?Ow?M3c8;FOgcxO=}FnbkIve!?EnXzG=)W1!KFTK#*0 zi{njxABC>9a^K*w(GQ?~(t3n&c2W8{>H@Ukpk#lt0BvjC)7>7mbMBOLr9TZDtMIei&ZA`J>n7 z1#^_4hO(r}O9`CH9?G8+{?r}G!lc0k^!P&A8JoHb-U*B`LCY)!j!6iRuJWl{p4q75}aWp8+}hq`pkk`*m4s$hy$hbN+V*Bn3CT+#zq-(_7qqauS@sOK}jX z$mz)qN*+O2PWYhrzr)L_u>u!tw7Xy?VczAysUd^c;~oVW|FRg9LYxfRoGP!aSnb62 zPAumnzagMQvPL)0gWuMREiKSfHRqQ0^mU7-)0YMZHvh#GYxr~#g`bd9~?r%qe6TO!-SCs2f0=i_vEXnMf zWDU0afd;eR>f+xo9F&bfN6v~JquHl(e_+o``r1&jtFtAQsvB}mx%d0d*?UAevAfDS z4>w=5n;W(39SP4btz^q0C0eVcIC13Hrtq=`f1PD_A3g7-QF*!PfiB2X*G?|sc$X-- z7)wy4-mL=%#$bnDzi^us`}e6|X5(h5hUjHOf}5vPrw!fbL%Fc2!qH{c{xOaode|z7 zx~4)<7ee(I@id{U;bThPE!StCKB@yqQ~vgQfqu@h&DQ7w7W$K!;T+t3>$5p|QbSPx z+YJ*VX7#G9elKKw(yY$$0%D@oLa^zJ5Yz3c(PB488|OeeLe4R8`kF1Z3&6!M+qlM7shUZ>9?oR3b&GZSk|GNJLF`@$Eh9k%-X*)R$H@!s& zGp$|G`s8F$1XiS^<)X5io*!qhiz zq|tA~{gctI8bKkiQz>20o9-Ra*ZnV{RxlYt9}=UJMc-)Gn6zOe!>;3@-dpgw_*E=C zNBTezJsyvF@;y!w&9D4YhvNg;4nizT`mxx#w(i~%2lt-x3go-S|MPnIEwl)3NuxgF zpQ~&e1v{eMKELw_VyO~)%$y$IsWWf|Inh}X`jI_$RbKm+rzPCS`QUX!=aW%k$>7T@ zKT3lD+Bj9CBX#W50=Rr$D^n#040vat?%kA;U*Nup$Aqyat|kICfnwygNxpayku(IF z+S9c{EIXza)VpT2BRb3e=9I^Bv-ctPtKBp_&Y>dPOWTkXjleHoa95FnSwly?gehPi7v8$mM;rvRM8NXf{?G=>p1PX31=&`jbe3@wDrG#d5Q| ziIDp@K>6|hlYl;x*BzbjA3p|a@GbS>YbvJF-;_7_0DJRzY-tt)@f;iX8FjAlkH%)q z-mbE3YS8oqyQ2e(^>5~^zFl=G!nLQ<^CE}Gj%$F1#+Yb8a>;%wQ2xE82sZ+9mdPI8 z5jk*(f&H6uicl>0M)GnajZI#=2Af8DU)L~h;G0Yw*#a_LEN(e8uFq}HK0;qOzM8Vm5IpA!xrsJJGNQ-eP^Tw^Qb z7H_<=7FhBVa_a!2lTJTMqnqE*^q!Oc?S9{G=s+&0@#bIGW@SyGC1;UYyN#rwDf@5N zjDHvawYX6?%X_LL5 zZG%w$FWaXxi+~3HWfX8s2uu)1Zw?f0xoK1fc{N$ADIYAI*Xxv*gmb&`#|Zazjx5izs z5xDoEroW4@j`Tr;edTA?W=LnwWJx0qnV-8pjIl^t@`%ef%07d5uD!8OngjqTe^joY zUib%rgw|+-QmhGR4ih0Z5WJ@M@dx0K!pxp}P7w_ieNJ7<-`Eoi#-$^Sz~vgyy?^d_ z!IR1~KWxov7dZ44suY|jI4J%sX`1o3lV^V-TzISlT zqpos-=nQu05WASjI)!L#=pTtYPKS35oZX@zUQxgQjnXg zE*`#60u3J~WL#;yGf0J3ZD`<1r^k++r_1TBuB~al;sx7@Pt_p_qy~cp zH_Hh+v_*e+XU5o=glqQO703L!Q5gQg&4P!{;yYaZV*|HbZN*|S<%F0HQLSJ8>paJ| zCSXZ>KYa-&ePJ>S_qh=dXGWmG&l$o>#IUi`){Baf5EBNL$0ufnuhtH0JO)89UQcrt zVwLRhQ@X@UcS(~X2+uXQ>&1`+RfJijBITb`jxkz4;V_XE(=kx_Fw5WSmnUprtJTX- z&A1?Xp=uP<_Ce1Q7OEgofoc>^VV$F+lyQpC2#w@flMw1L-udY%vQY{WXC0-$h0?M= znI@hlb#iS`xGM#C3$SB;bIrxB-RM)&$W!UP_^QS@pw@AZ0{fe5C&^Srh?pYX`i3fZ zpe2PA6e=)|_jueWlxnpjJ6Xd*!~-?5d5~zIn*4mJ571y)2w-Z=Mk{r8{IS8J7zVv! z0#EPEkCaFY;VDOv%-s6@I(ihNxJ?bUp1W7qiWMISUm}_3@dq@2Q~0Ey#dUh}I`f;9 zrZ0a7Avg7X4EN)Yx6e)9_vr=L$L>tU{Nw{Xm(b8d zcCM1}!Q^Pvhtlx82S>lKYiVP?rHNJ@pUERKB26*CwmfgWearf0ukxd{7|Z^IQ;X#E z09%B4WbC>@vPJ3`mjEn87v28h=$huY<7T#ex+gUf2VafQHUjp-x#?vP z1`?~#Bok3UT-k|Bl04MT&+*f1e~f;>c=UA-PZuC;IioGpM+cq@{z&xv@caQU8*SZ(q0A-^>5|~#9 zebXHLjfK%BlqNUu{Qfj{Ahd1%*CZb(v5UBt5cUpnI~Wu!u5PjKPcQS~;74Eg87pD* zC>uC=i3_}>xh9Oe3{ehZZa>9{~%fjd+J1fF22q=;Q;7cSaew7FpU77O80;bg2|yEd~+ zy(V_|2|CTJ8Fd@qOFyXj*uI|W@YB)8(GNmU<1=|!$a@$CP$7s~I_rULS)%69wxS@X zju7{-z=dCDnO?EGD*I2S>;2y}JGxzmMgZr_+sykX@VEW(M5kFceQ#UBy&xhL%S5I? z_6ORJM9odVAld=70^kliipSD+_#-XQA#FeTu427YW-%qK5bJR9NznXwoTSKFeiU0u zn!0@qAa%{Ky8qK4OBr!!J$9SDy8fsxJb<>Qcs|&`<+W8{0I1^6S2dewH2I5e{qx>FxK37owQ2Nrss}?X3*$_lM zwLwg0_CEL8jLe3i5l2nnk;FW&X|hFH zR`?@3y5us=f+oy`y8`9TlPafK(KC&OU!bz_{1RU8F=U z+OJyBsM3L|*ecIJW%|>ywVF7~^)VlxPqkBpH40lL;Wh%lyh7wH{pH@G^n%4f-u&Tr zKo`nOsx;fRA|DrtQsd!3uVS8gj9Z^otnu!##i3_98{qwaq$)fcCjfb2VFcQ~;5^ zN?RDpX8D}-=P!?{GqKx#)ZL^16WT(i@ae`oCq+_Zx6NlQL7bw3I1l#yd`=eg^{{2# zTb$|^gdE?McZDG{bm{a`-_zq6dNJLqdJS9|-EI<`Cl6(>nwYMI+m$)JScV)R%~7ODhW0Gks||6Amm8n6Jp=ZRb?vj|Km~HRV4|Xx*Wf~_s8-% z;12Fi+{#F#Z7RGCM@f=de>A6LTVIRCypA*3{|i>rWg$iAsMOp60j3hu$d7hVumr7O z8%yQ8GJo%}Y^61&pV&(c1>b@E&nV>xGsICBeE4M&tjm2Ii_hbZ3|U3+F4w;hbl!-$ z`N<|Hp&YuBJO*aE7t@;QxA{zYuaydQmzB|qPn#p`oBYa@U(oI^?tnGfyyt!8j#ewJ z`F@*v#k*>qFiM@q!lC0WupEFUt_|g|0B%k!ZRpm2a-CW6H0{{ZoS5WcHNcLFMpLSM z6h2XW!nM)&uv@prBEw&=J)=}ldaVzYaDRc)NW_S9Le6JnHMya5s|mE98`66jaMP2OZ z_H9qkig#2I#S1wf4Ob!T15d8&TgnUqb4UpP9rKzMp~81Nnf7j1unCpA&32_O!%eCa>k_PI2tk{*@YdjzI*`x1M{*n|M}M?Rcyl6~Fo|-soSJ&9*B%Z*Q>=oM z5*+{2nx$_Oo}^;YcDg;PQ>WsoYo|^Xzh3>Cq)9ettYsA1q$mtc#&2>9kzRPgPnaw1 z{^>Fb(vrgw$R=ij)-_g7fK1L;_2CT=XsH#Ixr!E_hi}L=Q;9DIzOt@u-=lfBc4!5C z4s01?$BOljwfQ1*+9xO|^cwp0B=SnG#5w0l_WahSGZKca-wSdpT)6vDbdZJ|jXl4@ zZX(1flpXhPaax>teN{z#vIc~uw32!Z(J1D4`0s@Xi+Z`EcgpWY25{5CN=`~H_*q5gJYajw$ zaB*ft;6RuN;huZM!me~DOiZWxqw;Rb$iYps=C4>uxK;_O-ZMZOh;cZbtZyz>epnYq z-|_EJ54tZ(nxXP^he!^)J(LB@-~B_Zw*i=PL$M1>&D;LUybEyIjPBQfF;&AxG^m!F zeFD9HGOg-4j@gVeA*i64q7HZ89;XA*2Nc`Sg<&ztL@hZ=`RVZNqtbw*8t;JAU&9M=PRFMGOwB1LFNlii47poG?l zg!Uzw@O0|K%SnF~go$=X=F@@+>k4DbWj%FPH!9;Q1^KRj{73>Xetix#5)Lali1BFt zGzt!EfdP0@dnUB_E{hUAd5>*#9M_Eu@A~`^DybfHcj5unSrj)7uHu@`=81|89V?`D z0h5f!I7eHWAikK^2vD=SuyGh3NdKlb-v!Yh0p!6|^vxcgwmYU58Q-&Niw_~pjY z2WO$vllbFlDbLbSv3=3-3_rcEa5C~=-4INgLL3l>#G#gYhJ`S2u9j$oh0~Cp= zPpdRgz7KAM-m)wUkE*Ow&Cq}QP`dHM4&6V1k?QRqVVsB*ICuFNZ#ts-oJzP!Nso|TH2+}gL?P9uFm!)L=&hLj zbk;M%o}MV0(Q+v~9`pI})o(3Iv#OS^PaM;3Rw9{dBxXR&%N`qM;IW6Y=5i{v(khOF z4ZZ*=)7t)X{_a%PZC4pY9N-|^L97Q@kIjbsN|};R=zKopCc%y*5!eKzw=O{`5%cb8 zB1pu_-aAuk-@i5M2^2R)({X~<%iM2|f^M2BZp0$yxSM_5t|tfN3J%@8phQdxyTo{WHz`Jl&`_LjOQu@Ua9-FN?)yg zTbh5fiQ16hGji_+pEa zsefRSyS^;CVeEdR`PPS%kP}MtZ5RBRRmCjEnE~Vo+MEwdPjS@FlYH4i?QRe-RY8_p z2&93Y)q;36B9q$a*{Fm!5XiGw9DgaI$6Nn?H+eiT+3!Hm{)*8MW#k$L^m3L;(;~s& zq9qyOeU0Oqw2tX^4$H47g8=NYaS=3c_@}DYV)U$BwK+K95wa>%l;jtwktZNd6X#q| zfKj+=PKdN{Kn{N@aiiWXp60G<>yndO3dBU*d}Q-hU%le|^InQt`F6{z*h(Gna8r<7 z?}kPRFe)3%t(;`g5JqmC#ec2t;1BX6H@Y+#X^=YB+p0RImQ92bCizePKr?5CZ~glX z%`Ev`XA+@aT_qTK7Y!m5Q>kVPv@&j-fu&Fhwu7k}oEHYk&a~(S*WF#j9Z>HuH1qj0 z_miKiqN7UJ5dJ|J`-nNeti$ltzxNmTV`evUV_H{q4dEnX#J`@H{D|KQ79H^LkKg@q zGM~PFrzVUxo_F|uG99Q7hcehbf-)R3)<{@+jf@^a%OvWryg1SLdEwK+*BJ&hfCy4= z(Or+6IciD+EX}Y_@|z^xS_&!&fx**0fy?tHeGAj-^^p};D`{hKWzkseeJ6M^;p6ae zoI5{gai5|d&SHBs{^j9Tn}Nv7+AG9@pcg<#UG6K4)gY7UJ15lB>ne!xwpDt_S#$pN z)E;N;Xd6@WGeE={iBR(zx7Y7uvVML%1P{>qd20HKm$U{5<+?8SRbU)Lqi?yDPuclP z7TCVa)y`I)0mzbS{Fb`_dJi>hJe@L3Qlc5LC-H0 zx5d>%T^2=1Vh*Bc!$MZoSMD>SOJ2Zel8VOYjW@zxe^=}mA2GN^0~r?|fq^+Wd)@06 z;~-*;7&d`7`KZ(7V!n7Rnlz61A8!Jc*Uli z=YxA4J)8SK@X|W2TuPh)*3fZi4CP_0!XQ-cfd2!alWu)txI{5z5g+-HA&H>!0WcT% z0+jdl>(H{Pi+*!g8dw36>N3ECJnnMVPKR4RvknyQom-d8^?6<;FIW8DwV`t5-E_Os zucb7^_><=Qf78$~Cm(yelquZGnbn35p?L(FmpsYO;xa)h7^;fNcp79IoWhck3HzpA0Q*dH6eid|1r1S2YSys+jEbsaiyVmo!e{|V};aUkDY zQD8)23x9v#SH;qvka;t`RlX$g3_&GR80Z50L)ETr=%4u)paE~PF|ND-u_;uMR=Fi6 z=_vZaFGQ30+hMSVy@np)oyeqR8riLvSe#F^8M*ruN66on?t6m$#!SQ|?`1J#RkrTL zq`g>f74`?^7V&GVWHs23I8t(hwOfS`AGzXU(X72%3 zSucli%Y|bg$FVseHk7>-Ek9e4@hleN`}r|<7%~w&I8g{s#(JeL)g$!GE#P{xBI<5h zPi0K6w;ck7h@mlA*|=r3Aug$5*En;d`!*;g*~-(xxzc0e!)G0@*o_8hWhzkV^4`s; zdXRAk$dmSB<0NUzr`luUJT|HeCx&m8tW2?D%}81rMOwqghOCkcQj_IU7)qUGBAPgR zA7iv6lNU8I1c=#66#rCg|65g0lHy&?Sda5{UMV~Jal`b0&=o52-M3e^-8`6 zGM+7C9~$WdLO*%8fR1*1Y-P5Plh8YH?a`?lea*om6S&Q zRTStp6!82GhbBljjOy#=ZR=>oNI3OK5Qx4>^m3uv9bUpE09!RLX9>3&|52;+Hpwc_ z=7>s<;3ZLF9V7*QSqI*IZP1()WmKEUl?f@owz59GQg3^^u43&pONc%~g{ndris1h7 zD~MsIO;G9Qr}Qx(?Jb_yJD|SzZ&y`y=dwYMe|ALDB>lpfK>%%UwNvSh?5yEosN}%& ztxUtSmS(iw!D6h>@d7g5LuP0N+%>t@6DJ@4tnO@dd06?(Rrd?u7=^3$JWe4s*geo2 zS77&POG+b>saGWHWsI|oGr?ozV1Z%MZg6cFb50kte|!u z^0YV?I*Cho0#Z{9MKwII%PgFR5J(^J5KM#tpxblh^7HnB#yz6h;T*x04j4Lnq#Qo1 zY4U}NLI7UxK(!sS;x3qJ>Pl8Quvc9f#_amopf2Xf)G2_=7@#N3HtUrVb!s@-#JU~Z ziu&`+9x|;NzOHEzCYNA|Yk#fZd^A^*=LKRedHqg#Xr1V#3s-y8PZyw{O zYKZ~`w$#Wxt`QubkhS~YB$lo5;OD4e^DbV{l}itjS@i{9p!~dO{%muCCY2^50?6y0oJoS^Rfm( z%bw$Zk5WsC;y**|&vsw6l3_0XMvH9h^N{PG%S-|=Uo8($ko@+e#g|s$we{+$x-b9h zUkO|X^z26}?&^`P-2y-e1Gc1G$~~dMyndB8K6BX|-+rX@ zae(r>@wYTQbG6&&^&?y2E@0`r^K-FK;WuqpV{dz`8ET;#W7D7ax&WE#gbMx>8pof! zH%A4u`R|lY1w2(C};mD!2dh+E5k%efa+9QR5dJky?UNh`+WjkGmIpxd8sy*(bp*aF5P#zY_3G zTjLo3g17G!ELrm@bS45+tNa(=Qnc5BCn7`LP8!^uB){3b|1l|wL zZ~w3dD{hDZDne6Z6hj>DJgS~a=Vjy0Hi#HINKrcAkWwVq-WrijIu}Z9PlllyoE8>U z^YY=7_HO?O!?;cflYn?WBw1OR=^mn}VE{=_tJ83re@#6R;WH>sTy|!?)A6mf;?0)$ zMYGU8k+`S2tpAa=++Y(>w>C}kJzu%t;Q!p`tsmmj4*#4O*#aBXd0e0{jlHSkV(Dgv z%2c*XZanymyCI0*0x7O(AM&OsDFKrB7)77DSD^AV$=u`d&Khf!1^4sGz)JE#pH~&n zJgp~68T%hi&MC^E?*iG*4HW*y&ddA?`tS5@RvLn1u5SP)hyUH*hWZRII|u1-hrVf4 z3Bf!u_CZmy;fu}#O*L~`4x)C}(|YkTnViSCyultN;?fdfQ|g(!L@S-`;jL&tGx5r- zSOQqw-fKAKR27isRKzqIaPmY7N6L}{THA8CIa@DnC|h6orTAZCFF#xSZH~4xW%IO5 z&WnAwyWbr-ydO@L6fMTFM{Pvw$@d5a@LJD8yI-LaA4oMgqQo#WKTDmY+z#| ze7!uYIGjRDQ~$sO=Mx`gjFWp$H-^?DnUoX+w$yz8a4^As`{owbjLSpNi2zK;P7&Q8J9lumy7jMgozxmvyMa|A*_*}<4W?3_^Qa>dy zEqWLjedG<3JY2Y4yfGu{6WP_GEBXCcff2C`Ai z1Oz~0u}xb_a7mL^3PjYtkx|BS;slsmvN{aXG!Ay$-|ss{mrhE!3aypE<4*GhV!>8{ z$~>t{wq`2f%R%>xLw))^h3h3sgmC8Y_4R!{QBowZ)3D&ePc)$&clotv zPLRI*fS+J*%Yp(*VgAWsL3Y2Di$=R$kXPrnzkQgS{4--ln5Je{O&#@`QbyaEGx3ap zITC8l|7Z?@Tw0`>Jrs@?mFWl3d~SYauxT4gEr6UPj;?E|c(!e6sXy@DzD+_&4Gg#L zqGZ+~9pP0urFu3WbG-^fx2QRS@9wZ1i|wOWUe{)NqoMfxMtV4n49ZXR|-<=?)gClk8Na1=z z8_p9-o{-3Zd>Op_%hr=Uw}2nNWTLU0>T0r{c|} z3v$!q?I^=v4+4c%@qIQQ$jMs&72q)ts8Hj)_Ycoo0b?Nw4}1mfuYWXxU>a>UF9$I+ z{dY1)1xsz2fi>QRKm4&m+BaK_{r1X^@Q!L{oOH!I&GbUmUg^9S?|wm3yUJwATIO;ZEcnI75hO zrV{sjfDlTnLZp|U&#m@MNz})vTX5h?X0bsWAE&Cs1CS}kt3#H@wqG5myVh5eQ zA23Ht`BuSY*nSQ2?&8SyyHrt@dyH7%Lm`m0 zXIF?jqAy4`bg{?)1;xI8-s^p=(`U>{vtIDN0$3U;5VS%Wby4 z3QGzOJe_A==dDq_+v)qzR-9qNv8WiBZRB@TZtHt>aXh?@KymRZsRYFM=#{`_!~J0~ zpBpp}{$9%q3EJY=O#hyfa&nzk_SLp(dHHmG|6-f&jz*yS$!EQ(8&&twOFEk3$R3dqyhHHyOi zu%WHwqu6a8u9{|jy+nr=O!!ZlS;w_u+CoV@xDrU-Ff-L*l4Le_CQU~ zJwmKsTPsS!%!?o_BHz2AvJc-6c@jj8Io9e`Hs1IH$klzcUA}e!Hung!Py8OAQas zKatgQGxDpyuJu1@c~ZXBHeWJd0iae13eNtvj&7@34N8+ws}Id`s=t0yJu$ObU3XZ- zMr?Zl6BczVPw-9iltaVASSo4Z3qHJazoW7CDMRVk-t;H|rC!Ym}Gc+0y99t&&x0pt(QdatNV@ohmuHd7+`(D@8GRZ<6w4 zzG~a#k;^%iOdzWjKJjl4@Q6NQg^YBu%Xsbm|2lxtYCV}74wSoVm`LZgp0-?-i0IIa z3q|jd#!~-q#(T8^AkOi|C=h|xK_Xbs-tvk@NvB#e2oKh6ikTv2KGRJ!>M&&Afj7I} zTMFlzuZ4ua)7Vw}6luG#$k9c|m%>Y;C;d81gWNR9SH=IX`l>Op?I-Es6H$GY2q>P2 zg469@LJYs477`jV90WVAomY_|bdTI~0YyW?kq#Ju>S}~fqnh8g#%k=|CYkvNmT-r@ z{%Y-8rd)~8-G8KaOV(7d4iAdh_?vT!umuG=8145&ponkd| z*8|N`ebiIZq*JsUIr)((AM01^4x9&|1kRu0qZO1)V4>JuSF4^MhlV1{sO-F_#vy}bR!${e&`1%A# z*HH#=;8qrN_;+Id{}(-DUwWEZTwm)-F%=O{;|m4Sh$+~Y^Zu4Y4FsT;X4f;xjZw>aj0&|pr0gf*=H+f zmRzt)k8bkYyOH?a+sld#f^RMPoD$>c0!`w6hwrQS>Y4-ewe=XZ);mN1YS0e@iv8+h z%0J1Hd8lqDf=ZVICd4A76CXqxecP(Z#M3%V0{1TbhZ`$DzSR^=(2Yy#=DZIKYiJ=+ z$-j*Mf_uY?U)V!sShe@mTddQ*!5bWKkx*GY8mxZN>k2s+(+@YS9J>~toJncTEk-!a zJh}Gc2rkk)A^o2-USFk5{adirbSAnt6y)nGd*w3Tdwv*$=m+K&$%n;%dv)|J|MH|F zv_rN0;qkZ5#~4|CwR3-vJZeg(u?)it2`*fKBi@oSh?>@_Eq5j}0b||o|CsvfsHmdu zZA!Y45Dvsxi0oD4{+v~YbYyNCctM6V(G=;GtZ79 z6z$}+X~$S))U?;+osawiq6uRVs3_|8+?Yd*;6ftszKXxcR<%-zf|sI`UJEkHJQ)5J^>L$WE$Brb@~fK1`<&Ye;+XcVPje)zc>-fw$BxX|O;^=6c1bBiL4nFZk}l7= zim|pabu*bOqK=x?jvc~!&wk%*$KxIIIgo6cPO-jOZALj) zIy3hav-7)*!+Y+O4Ft1@xP_|J2T$4q|Nag9nN9|ZTlk_8Y0~C$?M|US77(m5tybGo zlKiWyFevk4%`~Pg%Ee-b?|2db_T34Sx@L_5KRAo{1=?X_%nR?oATkyQ8_8OE zn_6IQw7;I0#8?xDs+8`qtgIu3b0ndLl)wU46N&xF3lJ{O0HrE*VBrfEW{0}yoteq% z&Az0Z9aK6!hCH-O-mA-2uS8dU*3ojBvj;S5^>}N+li?uUBDpMn@Yx5TKK$uo8#ODQikq2h6reJCXMkijyDR*zrJHLG9wa;(>1rggzWX0rrhdB_<*D;?gJ!h)xxtoab6o(<_;Fp~4_%A0poVi!xkF5xZ^X@T z5RFjoxtGX9Jazrr**g5_Nr^=HamEixpyVukuV1~T{gieq5hba5a!p#xfsCaRkzMo- z1yZH$XG`2ef}XCO1HQT(78yOFzMKY#Y6`BI7ak|i$i`GS-Xrh-sUi&<#x1w;vpG_M zV_u)D{*wa^MU!7Ap7-xbBks6qwXy^O#TtmapY-ftRI=*PrIcwY5fe}#C*mfxkdC8v z9<*Fyp$tE;&A5F}7F*S%<#zFLW}Z zY`JN_+$(9LJY;!&0D4{Wz|7qG-_RRS9#Nu=`q|ndVw1Dgc9|IPx-=3|fCe5gK z{N<_1=j(I~o7Q~ML!TTM4}>Z$1DrDvSu=ZRkHxmS2*>?Q9n{aAmVC)C;sb?9c;$1FBdYOGnhrLNIP(4G1 z7}S@Ym^PwMnLT!e?3f*hCMzOp0-c%NaDV>&F}~XcxFoa3Hoe|@-1ouIU2!Cj*J)cF zb710)3*tZW9)HNj*kq;SZd}T&W*p68xywdJBS|DeZPz{ecLqXsjaiy!w5A;&tKa>Q zYW~iL;0S_zx$*rvH&q&8F**8{`~IA6Z(D4we4&a-J21n$m!yFJmBO5@5eS|-ESjHM z?~RyGVrEj!J{0Y7*yQfbzQo+-5<-=pw8XvnXUP-lucNm0aDo^9Luj6#IriS#yPiD-_ z_(C6w_vVL3LS2kyqE37Xwr+gUH80Zf55@v0x6t0>DD{FdGguuflJ6Cyvl#mKuSRiW z#5SS;{oPg%jZ8y*ImiWU0_#}rvE)c@0Oa|2(7x&w)O}ml$v3x+Z{e$PqeYZ!}%BS&cysv zp~6WLy-NI}gHy8VQm~U2YQx0kW&Q)9_(tFlTFz*s0|2bli{LR=$6EjSIW-+k31LuVP(f0_#>umOguK{972yX8!g!uRDvNqCIRb>j^x?&` z3oaYi`KKKSK;_=oruM7jVznJg3$$#auB>>R4hS|4nvK!N2TQI+yGkYWlK0VnTr-S9 z(hRf2*13ZIcWz>-5X5cCAxHQ+}UcBZ?0|9SIl%RNc{YWmOG*<-eu zL;P($OUE|4J6fdqYt&`&$&i z=VldO>n5XpoxWoIVoA_!XiZY32DJJ@xn8jAMl*gcQM&t5qRlcSh<{8{i_RJ{@vZ)4 zrPNS6>)TkedFfaD7c&&_HJ~#E=PdTKj#0WNucs7Co_$Hw7|bxoVrC!GcS^2!B!5t! zUfALt;$he;xf;aoLL-qRjeH(mr#vH!@4`X`;`fubAb(fz|Gj7 z>%0QoK$7L}xdM506{%%H{#8 z9HTza4@(4nyf}9w<#2$xF22#({;aVkm)wxoE_&vAF*z!j=EYXvU*!@hT06D? ztt4Tr-UU=b_omGXs}r`D_z&gdtWga~5fYZGu8Vs*0f-OGoAX;#-jCOC{JFPx&?Mz0 zU5Y^25Fj(~y2gUU!a(d85lUs~?)W&;LYrY$Sntt2jO|VQul(d^0!sWbI-yiG2$OLx z6RVcUl~jn!Mq-!0lT~XZnTMrKuibR7s)|Me3R6=I8(YE3$(<; z;{cQMjcz}5;Cpqr%QiF6ZucJf7R%jfW{y~sug1I*OCfJ z4o*Uh1K>IA5HBxZfYO&hnvxZOWqd-4l?VB2v7Q>PPK&j@XyNhe5mT~pO7;v46|Hpy zmmh_BOxM2^PUn0GHpUhEf zh7@^c+U2lj3nX3Jh7$&146^QVSw8m5T0TFYRpRJzg>`6NwnTv#({6vRp;f8BuvxOs zC(S%ovN(S``uIs`*;m&&m1!28i%50xyl2e;(}gW{{+FGrH*EexQ?*JR;GyOOcfpmL zl)k|ir>sP@n{1)trD~)hU#{W3h+wS2v5|^WXde^6QmUSQJ8KG(n8GV<<4MgU8&GBo z(O9#|s})cmD^ql)IuO&?3`;=E+kA!KK(7*^10UF=sF}PRE`MyGgIZ@I96(XOK*(iR!fx2C5*B%=CP3xDc z&BQ-6?l{~q6MYv=a7>e}hQ#8GmnS81{O@ypmV9w50uyFYOmHvmw+d!Ix9 zPS3E;d-EG3N@j8<&*BoG@;XFwRzBc> zGyX0rr(hzoLL1!LC@nQAetgz9YZo4jYw<7RKFqz64^U*D2tpPY0^;UFZl;@U&cIGK zWQ}RWz4L^>Ct;rHxo0VNxLg(ht?s-u5UbUzP2jm|PYf5WO*oBv{*I~^3awCn0@l`Z zH*VNBt@hYe;_9t(r4KouCYPP**x);!>cgY=1ai{*cr@4#u?hxUqP897zIhmcb9?_u zN;p@r5Ve8Ia~O|(9OG&bU&k)RjPjQaCu+XT9RmY`{>&m#dgN{4&!c5MwL|8qce_Z# zYg4vzbN2<-hl~_JXJnR5YgIQ{c>JD6I_+#b9bS5P0DWfs-gor{^CD}h`-FDEkZY&1 zD@&ALjM6Jn)_o2RR{Doe6M|slAm@Q6?4L#3G!)#oBA;*4!_jUTmd#h zkePqp`qA(2OSG}QBC@gy{Ox=AJaDM)~-vx;%PY9H%)Iw4@u5b+%QT zd_r0KC$ldTC499_mNB$jr%`VlS^>03r8BUq|4R^uM&6Z}gdJ8KGgDBT@A|_gt}`;H zD@JH`0{EJAXqj8TKu^D{d2&&%iF#-aymqLmBPA1M`GHEDV(dlt>zp=Ok?tT+;PFWB z=bL$B!58qtBl;46A!N36AmS%ErZ03{X;WzxbXVh$a&RKW$0~UXE8c02HmG_@Zuaup z%Z-s?3!4n*@b@X{=I7XM&?jBKKoxQUGD~ah2{EqKF#US&+p9nCaGtpE_?o505`mm} zr9MP~wK=Q$8@5-_8WK1NswrU*Z( z9^snP^>N%=W;UNf6NYM(HF+h*(|(t4)maww5QV*NPs5Yr znH4(5d`O<;a5}4vE76ooIh$P~z=aRANakI2=b63KJf5mcbf!L zi)_JlL(OXh_GasnF$)Fc%UFbE8q;0Y#KExObm~PmIvZwxx-i4z+o3^MB@ZlwDMs2p zkI>mJ{Tq&WY?4UT=bnx#A?{Jha?Fe>r(a8~LUX4k`N z$7@4_g^@0ghj}V_j;o_m3aVcmW-6e6D4I&Fu$Os#Ib!PGMf+X73Fy=iCm!57?!Qvv zdbIkZ@{8Mtj3G0&v3@sK8lr=0cd2XhR{Ho}MlN3H3AM{r+T(9=^Tn34N#^-Z5OoRt zh3efy>9@`5 z@^HcuMVb0nw55#W#)EqmP8f%3(5HE(kbK&AZ^4iqYgUNmh#})N(6D&GQOnC<`dOxe z$^$v7Vps-f85CSL|3l}sh@BioSMeiG=5c|?x_6x{Rgov!J>J`PVTsp2^y_*#+0*bgJmAl%@CvZvrg{v-B&f=!w9=Cb}PsCWJ=Og*=#XCI#ZX&OH3P#&|N>L-L{ZF_i6T;^W5${Ha~d1W4HH=C!XA+;EDXvOLBuh{!z?5xves2#K(!GoK9)M;;z#Cl+-Fs?(jw;sB@c!h$qS;o~(N%#Pg5}Cia)jHql;dgpGMk&odQ9nq_(n!nCBfe& z;sPZ+-SCu`%!^HfXUik5N&+T4E)P7S80Hd6_}kBP+u%C*#kAwf&jegNetL!&sLuwH zS}~X8cAq+2j~j}JdsMB(<70BXp}_Kz?WGFsAKl-3pXj}fy#}HK_Mr|3o!;qdLuXdR z!bYcw>t}eAK4tGRYVvP zB))FQD(y_3KQEECG*1n!w=BnwN6ycdw8*8pQcRG&wV{cjCW@N0Ey5m5S!d(x!X|C{ z#gkcd7{Y`fVSVm}TTphMheJv#S3i?f5#p8~Sjg3T#PyQIO%IQ;Xd7#mPw}al?O5fc z|Ao|NBsAmXl1!e|o3fpLN|?fqoTc$2vkobN)z6E9PoK-2#@yA$O5fkQQPuJxUHH+_ zPk7bwsM)31_nNRbbrTw8#=eZWD)4rUq2<15+E}*61Z^e z|7jfA3im2*~u8zp`u70l)l^div>-rCw2pr1b)~gEMxZ^aBU}b%ej+_~CDR-$+<+ z;VU17V2D#k_tMi2*(~&L2s*Sb{`Iu9`?X6mCQq(Jj>aANB?e)@9JIHTkqZ{)!hl@@ ze69>>iZFPQ?{tD=9PR~{E9AA2+J+l!#eWVDQ24diK<`kNZ&;JGSNpz+g!%%nt*7?nn*&)SjQ*6T*sSzK*pK=;f)j ze!4#hu8!O|@6W4e;~nUHt%q~*HGCn0Soq)pDOSmq-fL4Vnh>$%0LJ8-QI;;}D;~u; z4T9d!&3vfr6ITs6{mjwdhy@|%WVVZ$r; zH62wA2q*nZs;YrVmYCWKhM|a|v@oBH{+64GvxK?RgLJVnK?>C0$MV$?XzCubXcs^X z`%`9{<%D=NI;js&iU<7b1X&%(#Bn}Hn5yC#u}HFFmB>HkpOv_TC4<}BoWytLIgVP_ z&NIpHp~u0axA`m^kNie5`(`5gqyO%b7I^?`M>mDOCt(o&m}6f8`6jGRYS4`jq$r}?f>%6C;9=JEMKMc83C1$ghhqmt4|XEG%icGQS8XZR(zc)oYl$ zeUb&sGP65Vm+HOw3pEopifWB%{jKGXz(&63K{Vet=BO@)UOr>uqj_~Ve`j@^{t6y# z(anXkv_(<#pl?HM=V!*c>aFuNTR0c&o1pJ#+r6{Y%APA3G9{e(%$7(R6SL#@Cw?vG ztaB0^G=m|GAL`>EWyFQc^J6I91yW3x%r`v=w6Y2s7N^^t!7n{zF_KbH;*r znV<2u>seiAk0ry;jwt`S?o;lAO$B(#+qT8}j zhHi~yvZy@+1k`hX%p>7G#=M%oR-g3E#nPg=OO7qZIePUpm&!%q!XA16HX6O--_&wC z_AN=Q;*I_2jQ7{yX2A`jUQPI=IO@>c*;hyBG4Au(Asq~*K6&>6ynXl)t z;Zv9$O>-;#^|iG0n2l>@uuQ5n9YSiVH!D%Vwns0uRZ!5aP|cDvg{9{U`4?At2vpu?1a=0~iZo0KJ0&=k%0lgy)r-}hjg;jSrgcR6!GpZz*V)zFvF3ia9B;Ahe1LUxe>eyjl_N2H9}IS!-FGBR2s^?`v*+NC&%OdL^Sbt|DU#Uj znZqVqnLvAG)9mvXs2@9(Jk6RCt>Banxa{^6Xr2N#xayOBEE|RH9>Ke6L9j9x`?&t2 zABV6V<$KBZ`7Zv*R=AtB3?GW{zGgDGCv;(K%!p4`O@`I+$@^H|4mN|@Nl)=Z%(DdrT$J|5>x1F!yo%An zw`~IfZ^0cqqe{?@H3|cY-WNYC9#?GN54$1StNjQwN&GFv{4>NhDytVyO?4Q~4||^+ zENHu@3&f&1MBF_z(w2kKErGL~S!E{TtKgaR?*&zQjEN5~(c zIvh(hB8C9WKTO|NWlm1y= z{zL{QUhWY_#pmnFUCej zuA@dh^?^lV^84LHjbCmZ5xVB_Hx(TzH?{FZc zjM)E+IzDH5%s514Nv_dzUP~}w4PRUx|pDN zX;|WXYSg+NK5odcLBh~@Ilu%|(T(@)6h=ZL|5>yGgEzPXxxerUb#&t8X(8?;nPWo_o+< z;`k~wuht+wMjHYcFiTs_6I6q9q>UDyA92yx7-3nXuhJ*-oOc9I!!?FX61m`yJ++1V zb0h%|S3@9g4%u}9K`xVFX_eWQ5D!Y{Nf)t5zZ{}GqTY-~ztL+^RMNHw!r~b{?Uft6 zvd8JHQuk`5(!@IqlRa99rUBFMkf}eNo^lvGdtA|m1a8uRL#@q`!(V}P-Xmn3cmI>! zezzilEu9dBN|BR1>9>Mg>Kv{3DxE(Bx6GKWef{)#EH-_XZJjveLr`jKS)y&LiG2J{ zMV;_!isJjA7ym#u{74CBi)C0>9iI>Gj6?y8zL{B^`pkXFig3hN3q_YL40;^jL2S@7 zJi*BNTk}K|MTmRKc3`MW8>ud(DG^@5Jn=9mY_RdptgoH2-a$Sb10vFMKu~;AqOs5K zqEr+jPrOWpHBwUqX<2UlCNeOc1@k;SMVeGssB=DC+EgF@b@^;`#MNln<(NG>D&33IPYiOv zw$(<~ORv;GET1UIXb&$2d`glYbQI0TcQVmMFyH=C!Yu=7sS-mmNlduzS=lh1b{-aK zsN!xZ!BL}9kDgw5g+uf&nGldpZq09E4*fkPe#ZebBl%w(TfJb}JepHn<%GoFqL+*h zA4#Cf?wUTiGjEgJ8xZi5)~Vzj540+_+@99{&|=X9YPiBZD6&Vri!~zQ?By!4T=|kA z9gTFw6*}(3pCEIVf;I8j-D0}|Q@cpxJzx}ehBjo<(5lKlcz6Z-CIYO8g5(_P!W{gu z^VNgLIp?It1D9v4DC63=4h9VQFr@wI;uDAYyZWKpHoLCNN#a56Wxkc`@#RI(ceIcc zxf-*Q$}&}t1H!mE_>U~dWBXd;!@(%FWRf%`l6Gc{l7VKpvRT~iPZ8uYB1~QNm4q%i zshrXW-ra?>rLGE%XhYL1gOr`&iwml%I_x87QxsJX#rHT&IImd`^QmJkw2{Yx4(1eP zL=dJ%04M(w!NA=XO+~OxR5Eu%&I@8B1CJ*g+{jc#gr$8T6^oMPfd2-~{WR`7aeBlS z^WX}T(SF?IOtn3}IN|&`1~u5}{%TeOi4C$BVr*>^0o_y|p5(B-#vC+OpA`3d8YPQ# zOnlBw6DvZ$W?0tR?zB37>0HdRK+Z24MQ`kBF<=m?8K*;9)A=Nnx91zgQui?Ar@jz; zi((n-M!cG`VXXthmTdE8q<|W<)>{Rv)*ikl56S_x>Pw-Q`cT^?1*2sJF-Ettbdr$kqkdvC(9^ceM1TSs$#n~x5Oc%l#yj8*=W`zXs)|# znKUA%f;&Na#nh5^P@)d{5kHRK1&r?#c+^{tZ)>e|xiyyX_k|!qC zCSfiFT^%iGx;QkqKj+^4xA?d4fL$`(u-y1}D;HO(Fm!j6NR**dAwcxc8UnrPxLvf2g>yJLo*x6k=2qxVzSv6-v zrrH)onNQgoVL^P{)V#mA1Nb)gE)|yX&~(|hLy0QK!Ra7A#nuYLAT@ONpd3L6pO@Yy zvbl7Fao`*{1KBA6Y@jw~&2tJb2}xkVAcH&U#KL)Sbj4f)serh=?AekF&maM^ z0a#OpRA$)DB*pbAwLJAW+F8!uPhb-O%+AGlM#7&>wA59SiKlk3!9XYcUjH(RC3hgw zeI$`@jN@5{JUKSbzh^O3FDMJkhhrPI7z-CUgkF3vR-A)k8|G?*@A$EwfSWEO3?#Ji zua}?U>NZ?PnE&Tt5tBhBgVzOQpBMyWko7yU=Rj8u>}&!v0Ou&X%K3e24f8<9)e%CR zmU?y>Olz>r)O%|K$&g1!GKaZVS&owKHva^ z^M|=fIJoSm_`*L3r%)`}C^9Z1Xu1{U9X?A7?*YEN4L$eso7q@&%=1;{^+mmm<5aEY5{TfeE)ZbZTG1fvs^@(O& zGsqNcw=FD5Pd5dK7G6#(L4)xSG;&D8+pF(IVnXOLg66ghH>51Ov2doR0fJ~(w%eu& zm}I&5VRbRBn?Sxl;h@<}!fPhqdiW1Ao@1u2{OBL{nzR`&>||&47~0}3O3Fuc{Uhtt zRo#zP$0sY$Ck$xzT^)8S%-YVy_luXq;Ir2e?6OmH6PN>(XWaH@MRmgSY!|92Qs(Gp zX5YYn2)04yax0lc{LlwODJZevKPYMIh=BIr6Z8p;*!vA4_+w{v8$Z7P#8OfspI~;JdC+B=1%Knczfep-FJRZm2asZB zu?G7spyS5(R9=9>v@xy#0%U%%n&EMm@?dc1Vcwg7md%%Ut}55R)KE)Fh>9`E$0u8R z?^S9&?4$&XeqxE|BM&>{5buq-MVkb$VUZs zv1_tKyg8Wb)paVhW1-!4xtR|l(-`n*Z{M>H@)Sh7OT_goq6)QJ7Grnd6OU*BrCB&4_Rtp;&w)t@|9A(Z?D5ny z?rDDJ<{5<_RLNT&A$HRF_5t%3=L-7JNHhV``ga-W@84Besy20@b^Af4ea~kaX@Ph= z18kfOwlvcXcQKpfKr-e#@k>jv!>VcE^LNAzzDfIOZTf{_cz{>=$jVv<1^2|``nY8< zWG*B~D^1>|igTZN`kMSEq@1ApMIGnbPk^$_pUYV}ZSDcGuUVXDOM#;)A)l|01kT$m zvI17x4kBOWmcOIUp|mLsO}GsbDkek{t?~0Fkud8-S@H2Bd zOUTJ|0|DUHC`}z+1%Mbd{|7z5rU`L290&dq({1d6;HP`vRX=G^^5PzO;xzoQ(4Iq; zJ|=c7Fp0-?v9ZM9A7SXqnB*XaCne8(>+LJgQ7fZ&dBeQSKwX_An>KPNQp&W}Kz+bc zrWhkRb0;9FI}8T3Q%)Ld4N)owbno0Ln0A&dDSr^u$7|Dyw|L_xQ<^w+X17Sis-5Sj zxHd8Q=zxNp=r6_R-35OI4cjE;L8@%S>##3B#C;BCO;nxLnHucmse6!XkvhC?-W)*I z$>nF&Zz5Xve}`<9c8g2g!2SiwQqJRL^q+I13HaB$ScJ~OcXr@zN_gO(Ry zT?nlqS^Uty*?31nkQ{wDC(OU(57k+$G>8nU@JssyH>dHwv5xC?XZ1@zd|AGWsEP+H z!T{ZfK^>|dRJOPdZMkh~e}($&w8mH4BpWZkA+EE0JJ|9=SW0O%#aweu5jfzO^yZgZ z02kGg2=R9W=cMSF*qV%Wv!-c7)J&>h=LEo{@TxMl$L_TM0)G66Q{Ov5DnFePDxRV( zI2vYMzvYm;Aik)uSlh<7Idx+P;P9&COk%4tnKk*Lc&j&o$sJ#S3og23enLZ3w|dpM z^8CAUVVdYcVK@nXt z&7dd+S;1Cpuq5qWJU035v*L~-)%t4gL5j>5y3q>?8>c+aO+fZ);3n$I81gd#P;^hJ(w$S+74a4;9u57+w(n}|8WyS! z-F5gE&X&)UXSj3KVuDI=e+f7Y-43j465rAiY`MSfE~Y#Xb3@F1(qG=RbWqF58WKO- zTV|LbLa=;umX5Tkaei&_5w9S=s*Y{xdk0{7##q%qa$n=#;itPd`s;$z@IN5~nv>>> z1;u~7i#RP56n9%PtsfylV^_-v9;vo}c5Z8Xl0T_mb8|Fal$=kG!2Y8-A#@*F+4@1@Nf-m6AK zgOB@t4LJ{IeyTh$;;{Vs6jREumvWfigqo&&Z8+7v_@V1ekOOt)`ANcP-({y{&a+P( z$Lq!jEk*EX;@I4}14D2bc1W-=@Y>K4RE<9Wj-|Rr{VyS-8CK#0!AP)qoX>{~Y|mF4*cU;c zBx0us|X&R6<6Dml|t2-2{yBhr*U)vEeK~Llt>u4KcOgQc;BPEbtHC6s%&W zAcE{@VRkb$TU)UrcaB;8I!PLCi!Q}B1-a1?Ae^0e3WT#knJ8?R(*FFeT?X4$#76fs ze%yNpg%MYzhHelh9%fAj#UFDfz6+1*oe#7Apu8AbBB|zortu5asu>#4O!DlXfBRD*g!svC{8` zydp|7l_1;)!BXI+G=d8TzJFdU&Z2w7D9-(PCfZ@%==Fb0LWWd!aD&gGDh~RGjF{!0 zI>qS|V2^7NJe`9E7e-lE^?s6KN#jfrJx!%Eqell&>`Ho)WkKgl3r}59-Q|+x5#@%%neESo6UG0(( z<=aDf)H-in)~X>HY7sJV*7@7Kz7b0~Z=4(Z)F9Me`EOTEbM8lDJQtegx~|`|%Y>zt zTf;8e(FxIYorBci;a;wuZWI1Rsrae{HnY3+a(g|=eQCLL#NRkEihYtE-oJ?G*q6rh z_bT!U=keA-MWZY#Q?LuZ1*Lv>B8qCb22N|bJQEP4ez@$ftE3(a!@ zV;SmAdP+P0MzpRV%LRRUv`aAXt`l#KQeSi_VxZm%4Vl?YjRz@NocvL8Fua9EFC5=O z3D9KdxWEpjeTJB6Xmp4q7AYDQm~8|Q2!U_^H>A}Uec1S-T)uF47M{ic85?c8upd{qH+o4mA$+n{1n9(G{-=&P#v^Wp~y?_&emUe2u@6VI3pZetzGvn<+tF8-hA%e>pP%Vcxsex`P2Mt*q{Jrd{L%zffG-NhUUa4UoN{QO?* zv%0MvgyqMYfBEgH$1jx=GKb33#^m}}g|^1LZ3jTKHU>|KQF<$N(nsBMgk&oHQX)3- zl0k7c^8W$O%%(-6$5w~m~Q?EJ%=lmPjgmW$2HA+<=95_k^S## z-S#Px$}JoLypg(z{^j&TvmT7oN8^eYgtNZ}D}!z5-wYJtg)L|rJ_mLu$slTEC4BhG zvYnw1J5eKJtiA7Y9>+Iy+)v2t4z}Y%?o{w_@t7^t1KA6Ps7k8KMuO26>p|@B^LFrbrGZEu;f~WzPnzKG746Up z!CwE^3s%hG#Mv48Ox}n)n0NCo0^h6T>~$?<8K4=4egYXM(xd4SXyx%=?{w@5;2NYn z;GMQqwQ$-l0;~KUGF~$iSNJa*t@AnZ*_}f(Dy-F~BONqvd|_zp z&_kZiLPJ!@3R1|dVhpzo`NO5L`(%eMc5EXdl?FIB=;IZ;9w%x*W$+g}EOw9;8+>j>3xs%A)K%dm0Wt3itVlG&CbnBw!+HWr zv-z7S#*T9igRR~YkRtEB!VjIN$bkfISv8c{$~6q_-GgkL&em85&flBhl0Oi>fQF&I zLX*=abqtTF*oG6zf?e!KQxHY*ypcLnCC`BZyKtR8bqCUg;oLV6f?5U#pB7G@MK;kw z9h_Og^!j+J9`0FvuftLsiN}e({y3{p=76cW`Bcd?FiO5)+*tml{3>}JOEx2A&qPUw z3*tK|I`V1}RLG||Dj`mg1waWy6@}bjFI1h?OF!|XilDpZdbGgjkzAKD^2MTck!=pf&may#AJX7AdRx&u)j$cKV2!Mvh@M}dIP)qWj=b1{LO!A9)b1aKs z&E8LrXCD;eIm$Fdc=FZ_>Aj@~Fd$ArZk@ax-aJXIfCH>)RQ{~c66kOLzABNEG^n!L zbh7wtto3EDM)pH%%qJcnB91^72N?4%Rov3@B?pFU`XBonagZGvRkqpmtKk<}9`z`| z8rM9mmiVjqeDhIZ>Q<1H7`lr(sy3Ds2rP#Qz2WV_?h199u zf@(?!<|SG2mf%o?rNBy|ROggmQHC);jX!^(ls|Zkzy|)LtRo}fW`_0>C$SGGg7brze&G8|Job{W9 zuV(xVXx7#eC2kwCM>Wm>N=>iov>O{FGB8IUpF+(?!38^Jpqb>RL)A4byJW~WvXw8k zrdoZkC}3I69l!6f&!;({AYNJOAyqg0F~}lz`3Jz{^H+tKd%OGxw`jcY^YCPB#KPo9 zOzV*^75KLqM=ws64&GALMZDeb*-0z|qCe7eXP2eY+DD@Vxn)Yc+qkGkx2aAj%b3l2 zGhSk!7}Diu6g|xWmG%aHlE|Bn=Kunl3?cpP%DXUt0e?$J)^m$qaD4N{eQ_Xjp5RqU zmmPV+ktm$c?7E1Lxw6GMX~ZeNE6Zj@OOd(1mndvO1A5`_LfvCEYWciYn|(;V5B0Xk zR|dJO3RZP|+Ix&2rHs#9dXU1bowNhc+!ge$E;ZjpV5|MBAlodZZOA|oq*HGzcsaO2 zqw#kgo?OA*yc?C9)mM>CeW9|G-5T9Il|bir-RYJBS54r&HwfZOecY!35H;uIRfX__{ ze^H6b#WP2%hFH)fkiQ-7(|aUSe{bE}eIGC_6$-fJyyf40DoZ;V=J^Mnn$v1dG+h<- zHBF_JX-)iX9IIf>DH!nyg=&gB6`oG~1ZVky=li+@bK>c`^Y1-~fND0r?lV`lr5*fh zP?!1K+qy$IA(Qp`-IM(p$(9Xgu9QX~d zn~dVqp-6nUFM(B3zg(VyAg_$WEj6HxAPCwx2kQ2<-$VSTD|VbTX_(JgG7y`M#!($=k!&n5d6KFS{B|% z^X4;;1R*yO4pg@fKk2yx90$ePcZz?_o4oE?xgC+W&tw7l{UgdDfc>iO1?ng*5?kQa zHzYf-|8c zA2}&yyy+!je-AEq+cLT%Mp9nQu4h9y4`$q_=FDrJRV0%zy#D!2=b)bI2N{%ky;c?M z68GM4rL_dBZAqGU(i_+kr)a|s&xLof_1n9ua!l{q$S8nkmUCP?U<<6W!sa;f0nCZp z()P&lLON)*w9$@AgZ*;J!GUy7$}1 zlw4a6C^YUqLcU50CLncO_deAB{RFB(U_44=%_Gw%l2R?Lb!rnyE1vhDC?{y9I$wyV zm4%-c*86*Ly1`UoT0@~Ky5%TLMLn0Wst;`@%ALe!Me&PkOEMROy5b z#(n8#%hod;FzIb-Uw}T7_`#69HPv|QZEl}PW@`$(` zJLD`FWfL;v|J2Lh`SswK7XOTRJuHEKTd#vnsedES@|$S|>#uU(BcO?<(nL0ilXxUV ziU$kV?_XzFE%-PwGy_bY2o3lQC7g8>~<(xYMh`Gi15mHFSZm;W^P z7!vN}@aTJK67-1!e0Th`)5Zs{$WwK@H1$^W{XX4O>~N(8+l{soOGRJuQUxdj;+0&Z z^}vX9m~J9u#p zC(xVtNOAc24-;=|`nLO&D_5ASz=T)?lIRDdUW7xlukon~iUN{Cwx6Yr4KS5*RL}ixP3?3#ncL%_+qd6i{ z4M=&sUlaL00i{zy`YcKLXC;0-yoNq`Wom@c&!+EscYZEd;d1OFzT(TvePvHsO>r3Y zggBCKu>EfBJT`tm#VP-RdJzX8<#ken8AwaIXvbfi#=3-AGupz_W7lN zAggTGAoSoqDZAkIv^{8_z-e&xd|(!Sm;}_Nl3LxuLd|BU%*`2#B-+4*gqBjQxdT*$ zSVqliCqr!5D4s zKYd)L)x+@oO+XWI50#?Kw@##pYnEAX=zTpt##by~TDFM^DN5*}<_D5mTe1iDAR~~C z4O;OvpB_AKxMKr}ssGl=X+S&M;lyvIQ%P(tgd@ zp&~epm)Uo#ATc!^RR!E5jLC@oPH*GS*u4JyC+Hcm3du%Wl4sN~6M+p@#%VLl)%PJe>>W@zUmDW74FyDe zXWnTaWp#3pI)UJqX7xOVPJFuCBhiRY+FO{?ad)MgLcvZiPWOWJ9@cnfYiahfA_b51 ztCV``H!orpqA~QXlM{h=9fA-VD#)B=yv+lxMeV7I>NpXoERObMJ-8`WRXS1gI@2|Y zL^i|I**ISSQ1%-EAYE*@t=~_%cQWBeui?Yj&$~2|n4+MZcjz8GGR(ugX;^q#guEWN zAQ}n?$d4GvRj=d^NqC{>$RX!qoU7Sar@ZnfGytG6uK48OI*Sdr?S_w} ztzVQwszoFq`2J2_9)p26ivZxn@? zCJf&_0Xf=ik4sj3Z?>eMLy}fiw)v{lK)MepV(W=)Xu>>C(k9RC{BNJxDf&JM>-=nS zVt-0KxK1BG-JrgB`mkv((5D*`0G{!^m$rSrb=ERAm_==m_RbwlJ|K`Va>wc_FN+b{~inyS_)9-6{vjutv)( z#xYl4QP*$x?PNh?*6|rE54DuhR`@*#n?Ci)gGGZY(Xv2G`4Mi!#b0nS`y<~l4uh5iWsRXp$>Ogda1 zSFf3T*hcO9#MHPTDu9TEgEWXmx<>e*pe6?HAaw**>h>Bt)9tKHmW?Sd(o zn#AcxqNANZKVdv@j;ZvY)*sY+cnWjfgorTjO{;+Nb*o$^&QBe92y-1PqK-P$GfI^w zQEzP%Z7ih>NS%`$m$;?is`{>#=b>a-2)-|I7}uTW8RfxZ-nX?xcvX`^%M&cva@+s3 z5OBh$6E>z6A+FAv(4Z1W4sRPrqWxo4{Zd^XDJHTfPe=0)`)B_1J2guTw|U;wJ*n7X$ZI^sJqqOLoXi z`$WaeBf7#bI}+74sJ@Y#Cz+p+l}AH(FC+f!-Of?N*je;Vv0j6tD7=faqBgpo?Q8^@ zzBYgFP$xb60#=k|t5OH8dx$!sOhD}h086)WzgyIy7t0T>;i`Z2t;a*VVYyJ*W86Xh&^~nWnZ|cqQS5P+K~>pgjbexHO3CasRngbC?00BX$$NgtyrtjqAUaZKyxp9} zQhfG7=_(vx*8)wzDQ2S&Qco;Kz(CTpC(djrueIw_`37 z8iqYP+}G*Au@2>4UCPsZ^*mgBnf%GOq($bN61M@tee>tMOEvn`O)domr&qzGI`wqm`4Dj&32|+{x}2!9=)s|9ymSb@uskNMt+n|JKZT zL(z_0;jW9l7iK#@l|fZz5-!hBbhbQ(Z3|qOPYEZxoj*|2NE?VIcnS_(U2|Pim0RZ0 z$!z6qgx`EYW!OoNa3VUz4+F|*5u#JOu#QmCKy%0G+p|UFrE<^^luY7fjz*F@ zBN+Ag(Me!d5$t7PmwJ*7MZZI4zl{LUbvyYGXO4ab?~{fpN;*jY8xLqN1odf{Ul^nY zZTzap5^~5XXBK;n{3AF_JvqeqhWH}r^i~eV8eQ2fvEh1>kg83Ce_>JqrvKEsI&O#C z)kSzgLnmO$u2(q%PlmI_KvB&@#+w8GO4QKOJX&>~68q&xS6s>5>nry*>|CSbg05~$ z&#*`A*s}melWT%bz>W-GQK089;gENw_-CAT4szjo&Ta5@H|#zK`mWNAT3uD4=$8BW zn*wymoptP5HCxV>MF)jMfA3AKKJ4$vyTec1x7#hHM8fNo}qu;}l0xEdazjvvRLV9%cjMLioxLEf*3yL{z`cn?Q1>|(f8J}b7!zWK*kxeF{fxJC`H5+Epw->kJ({hBne zxrdk7kMIZlL#GKL&z|E?Bg!@{d=Yq(K$Q)Vk>zrYj{99IdE}@rEgxFC02V^I1Jque zNLRP)|IMC>8qATs0DL9Z59-ZKk2dFqb2!knN|Hxs=USsDigHKR_q|n_k_MJY8 zzp+WK$D4YLC`*<>HzLWRTmP#V3I4x|@vd1ew$fN(_CAv2&dH!q$@$KF{0DS)?$Hl% z@x<{0a8ai8ihfO#5R-aOaLB%G(qE{o{l#O0Jk-2-PIlBi$%z@ak(LkK)hVI(zk2a1 z=L}JhjiE))>kl;bV2?@t~nHAc;kdc$99h8q^z*Dja z^3s>xYWFX%h-BVi2QYqhI3q=RQ0^$$-O_Z^Zf2wH{;X-?s}S*7Q`x=X+NZ00rmq&Y zezIs=t-5io#r6rAAsj0J?y%=hEgJ{C_*35e)2a_%ej;#oJH9clP-z+$TDLSx# zEnvaC`^U`sNp&{`#9c?4zYiV!Dy-(MA1AFW-csuqw(f!!q|fL^0dGn9X6xrOaomZH zR>^}N4Jj_h-S{TjS7^X%K(rnD37B=S_R@il?09jTZKq<}&h(~i+ZiWIUf+%w(edNX z16a%ODiMIuir*^qePJ_dstKYUda_7|KDHOgQ{lTUAK?wmZn(^Q7xX#hPSia?LMFsR zLxfDd$gG!qAqybm>qtPM>XLq1Vk~Vg6|A8w{uxgU$bc}q@r7tg{$N>JvEJBh{S*`~ zX32;M-Kz=y`zGZ4#==`9mM`kYBd0BOpl3QL5to*fQX2OmbSbjCWbThAkjqgIox9We z^|7ox(yextL~p}`P3J(Fo?k_8XezxomKb5!kMOu76;b3tcgPo6gskjG$c9xYQuwCE z*0&sO`~wQKy|h5)&Qf}5u#%($6=d{(lVh4`YLJEUDYzu1*)~~lJI7iGLi6*%tka8c zR?~lxEbKXn6f9NkjURc=)~D_^*3=8<){~t+#o|YJG^G5UEq!lubMIKp{INK9Q$`H; z+0{dZizhp{Cye}s3#ZouJ)Ltu2ck#|AWk^0w&Wt4dxII=YLo&CbVigP<0%52(v`~5 z(C@yAg0W8K4X$AsAMmu8Zo{oIRA8=HtOI-BzF)2~)iX-9 zm90WbvdVUm?RiJ)@&DlO44=!d&`h1eZB~X z2yM}W-DrE~K7v-%`{w=}rD|-s1>u_}i`3su|FF-%yBC`(&FGUC+7UE3ygwdjqLw4C znq(DCp03()xcwYne_LT~In+by%hO(Ze-`=$0}X9s+d6vpZ7h zMIQd~Rpi$ckp}nx-s!NRGz_;mA)C4)d01U1pk*oQVvsG#@_Z^&q=dj>xbgVelb^B8 zp6lav@B21X(ckav!1)UIrq4+KPDjW}_*3QgNk3XJ1n5{@f6`|rA&-el?9%J3#Nd4) z;Z~3w>WcM{XpxJf^U_SQ{{WK&mCanz9%>b6*fz&iX-`Y!Yg5(5(U%aLxvan6hjY&U zG&py7D4?bA1wd5aqLJ;dq&@xtHyU;j3!8y)#}5+3a+HXJFZKF9KotLno3sJkMD(HL zf3OL;pEBF=gVE;UdhJqp?99$QYn#IO7p(M)`bdL9Q}VA%Nm&0RYr5HL!V!h<3OvqrR`(=+(w-V0{^EtwAv8c<@k&OhT zVbvLrWHW5gR8{|`>fYotGomwPRY_lW?u39k@(sy5jBjosEDO>{Lu*y8K>T2?`1VsWwkyMJ!jMsD7ufcpfDWr``SK*aeZv4w}@g3UlyvI$MXE4DxxEzgSo?$r`h>FKUM_p?GdqF>U?5y4b z=9ipUVt1+$|L1N1yThH=+8o?KetaLn4;PWkHnNpGn&-^o0h|ZDjl^^>R5?&I;`i5? zfbfwayJd`Rz?2PlM89A~^^Fq{nQ^6WhM+Jhi7h(@m`?V z-QPpb-!ovV1|rt!ERrn`z(Tjd6rcxX$GwmD9#a3jv$GY!xvIY?`R&H9DSzr6o{q5^ zg~^X|!#$t_3eA1Sl9O}nNa)9pGOQM`YgPTw7mhU zN)R`hB#3r?3+^%8m-oy?^K))v_vgU#bO4^AgIIYYHl#0i(@6}1T6c*pnP&lnMqdBY zv}$|q@7U}LeNbzb;YOu$(03d~?fm(odkXFq;p6a*2v-x;EhK@mlz=<4f-iZ@e-ylZ z{;6xmnXbj^L6Tb6o44uj}fTFB2RWML{bjEm6)+5Y95uVF7M8-SX~1d0;v!9P^N|6wLDVxtwe zkrwTz>QaZvir^+Jw_k*n*EcfeXejI+h)sfGGhWBWh__gtEvK6*;s=uUXYkqlJ56-U z*`39Xq_w%k)d3JH`O6kFCz~w&UzyLvEtm|Kuo}`#=ydG+sE;rDLapD5VkwRuq8_mU z(z;A)))v5^K;Jc=r0a!AybdoS4;Ta1G#&*L9owg;y1izwk2}z~Kv`<1kh?xW!y!yg zT6R1k=te_YJFOYOIqdn5v zcTr}1=86BXQBCu8{qGV@X+$bNz{$s!@kw~qY9H}EBT)L=X;qL@fVp-!K{&gBV1Rb* zDsgxu6(&@&8g3BhKv9)`wYS~ge?@mE6eVtT@b6yR!G>&3Jh6_~P6=9jF22cRefO-g z8SgyE&1Jn{p8<-rKFnYVetRJMn~O1xdRb*mrsykv>tOx>fWB)b_gi^%U*~)B!S~NM0Y60`Q>GHPUvS)KC09+n ze|)_2cVi8^(XHJrWesI-HlpT_)vP%xPrdSTpV`q@DW9}Oy82T0cs+5DDe!NE-+vg> zXUQsoH^KU?gBUPUb43)gKl*gnpt>fbYKMKNVjXplJ2{!(;~@Q)+z6u)rjt&kBCEqui>F7fE6uu)KgpNZIifiV zel~CQwX2onxECV=d6lBOdj5f$!Ce?x{uf?Kh>Xlvi0n}qA{dwbri8cg4C?T(y4{{> z-x7VS&xgo$iY~AAac8(V*K&>@H?U^?2WPm4(EfxR(que^z~Torjn(SZ)tMMB4DFzI z##Y=C#`%TLePh1g%MMv#gL|D#mqDJ8qD-Kya<9_*Hr$MDEoBlNLlUSi=qB4h70DD9osX^m=NA7`6 z6nI6#ANOj`773mh4!MNot_Yk6PlNz$!-Vd$p`cjFki^Fr9w(8Kiuv!?SA^f>U3{$0 z?gc3>2#l&-?X~LNq?+Qf?rU)T`dlQEF5k_X!}WXR5%wdWzfpSeDItbZtWqe;^P042 zBy#$!+p=%^ZC{QW4og{?Bt);J*4|X={GoX@6$rT z4b@hQJ1Bbit6CbSgUH`Gn}Xn>R64>pHOPObTk6@7FH51)B`(it?=K7I4wNmowQ(G) z+K~`^K5hx*e}(Vh zYXgS23+cR*u9{^tieG zhv2h^qFsj!Mlt+PZVLHlWF*_m!}joAfN#`~t!AWf&A4ewY%vKAgJ z+Ps})dl;cfi=>ojXxEE#hF*hg*LR$%M+^Y6z6S39Wfhp}x&9S+h++XD5*f2LMlp`O zqkm^X>drwat%v*brJL1Og5MUm$ZFmQ5+xoBlQoOzYdRJ{#)rDC?#4LKr&)BBDc=D$ zMH`ed6UlRR$!SRt))HE9$x4Txl4W^okLr1P7SSipRQD0~PMoF*>$RlR>dH z<>eHaPw{u|1y!G9`6RKn%e(0ot)eOCJYNSSZwPWlH^pxuPr66R%saSGF6xoQq1`_3 zr42U{E#vDVzfVZKw@Dk|Nv10~bEVuK56oMGR{zwrGw~tzGnR+;$WH9{nG8|f-0UKx zid+_g^YBNF1p<`Oeq??(@Q!I{wK~wY+5=>$DWy%j2rooyS+&n;NBAOy`E@o5+2-L8 zb%t!4U_Op-k|R#90hVP~{*qIh8}NK5<<33^!)i_~z(S%*kq5MM%FmE{_VZEVo544q zErOY>G4Ewp4S`#!QA56)piAgCKF6!&>oncrkS@R;kUJo9crnq&Svj+dnD4pB<7qAN zT+c9Vz6GH#PRNv&W*V6BY)uNlObhd00ROP$*o8SLC4B8?M(N|t0U)Z+Z z7Y*lRgBPLIV|RVF&Eh9lE zS?1sg6cV0r=dF9= zt*@vCLRg2hM3-$G;C-0Hs};rk4;u1XWgG3v966e=q>uEF+`U)CZrhFOhHqMHFGI%O ztRgx%f_xj`2QLU5$*HF$tf?kQPh(o%Jn+3M)%$AjaW~tr&$D8gHyQ#QIq}53fx6QYfUHr*L%Pg~9zRK%RYgf8?@4)h#4TE6cOturBBJ{7LD>-k){jOF_t&G0u!v z@`foCHKEMFyz$wQlIbz4t48zu=o{17o=aYqvC{q_*oJ+en(EE|+(*(-f-0gx8-ZL` z3uslnyp-0>eop)Q^9S5^9so+JLzreynSIYxS_tTLx}P_gi5f?UQU1F4s0RpDS0Zy1 zsF(S5htJmCDAxS`0JxmW@-4qI49Hk05=IV1^qsq3HLFoNOLfGZtuIkwSo~{RkV`2Q zQ87DjZ$e&27}Bh?_sUE#tGdC&J!GbBRBIQaU44}Y8KUhWCw`!BgRXEv z54paaRThh5?mvur!^3mI{$zF}zy2$!4|7&h^rfd=^I0Wl{ZE5$m^UrC`Lm~rzwMX! zFf$D;E^xBq`ICwh^Ij>C$H&69g#CIxg%-rcw+IiATUV&-ToLtTeJPD6{mytHKA5vS z?kTVfmZJq_><*?(etm;3bN%|uq}zUCIavo$BF@neR;1?Oz-b-*Tf( z;-R8pmXk4(3#Pr3arf%WvnZTV=72+|&>Zy7xzw60>GGA8PK$<=V=qInJWexpASu{0 zpM^=#Wk-dO*T)koa~=5@Vk-BfdADJl(HemzJ|*WS57K{?Pd}?d6xr=F_XN_-Reqnt zefv$Wl!pKsDg3gSb)&}fK#ylPHBNH|u{v|JC<PG5yEmmS2KYwW;Vqc+6?wEljbYymqUJL};k|%uu-ZlwwZ=N6ZKoC#Nyi~spL+_RJ zy6Xyp5e!tJlwg3ZsV;b5q4>@!cb?OLPUp-O@{CTWe|>UuJeM6}I$WNU-s-sCl`1t9 z*-f&bUt)8&E~l6Mbt2G+miqnv&C7>;gGs@6Mjg%5j#Tp99cr2di`2ErfNO>&R5&|> zr7q}Y_}RhiV)L$u@U-DBqgGOODJQ(??}r!XCIxUh$(6BY!V|Nd`y%dd>U}>z6nseV|mo6y9OZY?ffmh}LRPe+5A&lE%#|*4rYGZ{dBgUPqzr zYx!viMY>eHJ#WvYCU#7zuh%-G!-p4?o!!t5x%-bZDb-Hdio8{E@aU!r~<;IMR z4avy3c`PK$(`0#VXjM1~NF7hjZmT#0<4|nA91|Y`rQ);6pC0;9ZZhbVcc*|pMY0x%0} z06%pqc{AE?^C--cg-VBoxp6+GO?6BDK?JU6C{TD^hZ_20y~SrTsavhss&`NwHJ)q3 zde~tduQJirpX;^#@1-kVX}9i(ZHpu2onZc}T^=GE%=pN-AsH(-WDJn4?Ul`-h1QSt z#gBl1k7?ACpvz^Xiyj)ATk0E#pr`|6=+G#r^uub4;VWN6syPihzQXBy*C~~l!?)dbb4R`eBttq`vR?6e`OA-23K;}nD(8ysB-nUga2W-t z(m0$2%~*3Fsh$12Yu72yRwvGPV5R#9KJz1d@=J%(5>x4VE>|n%`_PoeY8~Ja#KXfS zf@%aosMnOEO~LQ9roso+e+@V};C&7hw2|{*VTk34L1xAG_^^Vvu9O3wrZGF^1_~}- zczfemJ~|yo7uO?&;*b4{qU<6DizVYNu zq(z#xP-~~HVl7;m*qT^qeWVBfU-K(dd84_Fa09NX8G&V*g?(76_sLRQdw8f zoMpo}ht43Q1`|zb!@@C;wQ0WZ$vwD)=GO|=5%^J9v)0#&>g0;XQWsZ&uU`B*=hz18 zC&=0^us9&;)Xp|6WDyvy6v8FaoM9XM{1>qmis;~_74vJ-se$q!c_-(-)% zSOgR)rq2VZb3GC0Se%+HpGfDAc20erA#CPjS@tNzuj6JebF#-aVh z7bj1((!C6aQb_&uscyP_nFk!S>({v1f?jbmG`dM*~^uJ{)b7(`jVC}T`$AqQ9O?1}!>fH9voDIot z59snV5cU@jb=vIwNeO9;=&Rqt92tpZ8#Erd0Lulcd2{YhS8*3ipcxvra5N8m|4dQ> zXE%jv9_JZDVfk9OFgHNn9~Nxl;oxej27>_$%~-Py@YOJ``{pvX3mArPuwLxtUh@vd z(ETTWg`DxwP5Zvfdfuzm-lD%LnK-wuZ+xD$c`;k{TH4{qrhS+41KaqmT*t7*ZANgt zSHQ#hj|wr$9JD4fFS7B!X_OtA=0iW_8k_Pcz;La!szkA~sOBBHkKGlp*lQEmrw5`c zd+l^RhYEOFpA2gP0<14*#lH5Wmmg_@*}vT~3GC21_h16&qic13LQPuI#vbh7c9Tdmx-lW#(F=`sih6Q;h#Q7H4_FjO!fGnH1YZ4WbH$eUMqz zKYC7tNH4x5sn*BATjOu&ovtG;scju*+Gs=6Wi~==`jx@mB^dFTPoj)-9$9hLi@f}? z%DI9f4@Cb&;uFMtEtRLg4@Gi~!|#}i2t2ro86@{FV?zdDJ#!wHZPY0qok@$tg(D?B4C9Xp}EWuGUYhrGN$}qms;Si4b&{@QG|} zOQ?#?D7V{7BQ0k7-2ez^QwtDgN~vX-AI&%xir<6~%9 zI*vW3^y%3;x+EClOsTh8t~y5?(sYXFeNqKHFBN7;ntCLkoZ+u{&CWM=Ix2tr=HfDa zC)1!)aBu5fl~1sXn{Ar@B+8yoIe5l=dBQ&9#K4@hNjYcvQvTr;&b8?h;g*>jP_JZg z!?t=cb@rU`Vi?}xmHYB#eWf(Z>2E(YGyR`np}Od+g_1L-wL)GMgYZ*?--oE%UrvXx zr@;iiOnwDx?6{83L6JTY`DMlrc#OW`!VFG(JqaDU@)+_Ny8rcf2<*eT`G zJ!bNSDVUUoLgRggjV4jr?yj-(k)w%ir}M{~3Z~iQ(+$(FD5~i35U*#ceBW^nwCsv} zbk@G4&qL_b?#E^_s@4abJzsGR>3m6X)7td1-)wA$q=TXRMieIBs%4Xmc}UZcbdpNF zuuExuV9*y}7SYO|Z!FOpeBV16Cc?gdNaQfxT0D#L^m?8Mmf`60~=iN-? zVSId}&*U`gNHgA5+2m3?hUTmBGvmo{WNLhLy|$!~CJ!n^<5?e6deb__cn!4770=78 zAYe}sgT8RNHLVNGwnWlablt(7MO)LyAX|n^PBu)bysu2xfuS8r>SFDzqCkEd7UpIl(*Ni{`fpUgoy+3J zz5CvoASh`Na}+wCX`w1{zy|JZEy71pQpZQQfHKcH4muFelX5f<=+g2FMKL$td~rDF zGb*`W+h2B+$ijVGqn_#+6%J=5{T0F|XJqs1la0rdc$oCLp}NSJ+b%Tb{Wjr@*U!?4 z9(*q;(B-k`% zz4EGhBnexJ!Kas>SaPy1L`pP}A?;l8Bg%F(CkN7XzB*N|#HW)hN$ zi3_Kirc3XEJ;m6z%4=r=#}R4ygm*A6>_uR3YH|pTGv(MofF9u{=x<$MzX$E<<}~~G zV0C5OF=#aU<5R*L73~(SGi*GnWLK#9gaXE6zH+@xThlsuW2>HcD#O&43L8{S+)2q{ z-jcZS-4QA|Nty@!bW<`J?e4ZAoZDJvaz}vH%)OY9SG1;qv3-Z#(}hzKzwN%I`IKh9 z46t$P7t@29m%UnyV-5PARxR%n(C}j@HxcHfpVsHOSlAH?jXRL4HvD%GZOfE1>M;mk&8# zH?YwZ&OL6qqW2de-j0w1_W5>*6INN~MKCS?9h>7|RRX`v3vRv;yuN^r+z!;B!;VH( z%;ZOLe|KK}j{>;0NXLbbbLfijvq z<~y)h;9c?G=GNmlMsc-n5#Mju{uzr!&0{&|q^Sf>*l8=U;4vrFm+L5Zn)$0n@a5)0 zSLWh%O_P*`2mYM6fKz9w2S~q?$8k(GJCSC;;4|Zt?K`ulQbA%;5G)Ra!>XaNdxz=5f=w#6lh5}VWYfU@(usZIp3{6Qqeo%<8mGnS(!m_Le=Mi?haFW1l8sfvyuim7 zi3PCbA*+|!WA@i3Re?#`^W~2O7h=!pK#~p~7Kr=Z?G{}sLEBrywkKlkRUekyS@ad$ zC5B>ov4Z-3*4$ zIF>r%Qo?=0z-(b-9@_!Ibr=TD>X2XXfOPA3n=pf1@SSCsvdln*0_99SNZIX*YyQ1^ z;iNCI*0&GZ8;tK8)Jvy3m`p0_-$^|}qA|@V`LXU!+=R)^dDG|%BRf5ng`RomF?cs!u``s{o@|9570nO0 zb#QnJM^ukto4bCsAGl7*>^FBx(2vA~Vq9)jboRA$zuj>?50SWT;%C@j_58fCnx!-7 zbH*&jy0BI@1ovmuM~W?!eRy@-+98B=8{-Bl_0`&|>9!GsWiy>uY?uWTT7IuwuOWPN zmu>?o!f;R>_ng%KlJjp*K&dbuMtx%WBY4v07ujptLs#-ACD1L4VB)p#lI7)dQK^@S zwN@o4$#rz4bgtt8=r3M-=eCtcM9(8}829K9cK=8E2`0}z9OpWoc>NJtcy^47*u3ba z_w0bRG!-xI-3z+w=rh+J#*)M{Mq3pNq8RVdXyAjnN zH39}Cef}ico2IgSa{5U)g$=XySdll;Zn*(7s}in+6cxV`EQ-a^Y(CF_MtywOLo@Gc zGP<1Ry3bS4So@taCqIJJW#=z!W1g`7iv-et_+knjDJ|kT82Dmg-^c%w+34rs<>Tr< ztH%Dm^c7hHV|&LlW9|<0;Q@!AvaSPD{7@n00DMPcxSH=I`BdG(2ZoS-&CM zN!~Jys0qV=9&Oryr1|$+n1dMldiDw6}Y&^23X+GjS&n<}`S$v0N*#8np zwA@AxDG%|S-RpTeG=GZ~Yf5j{L}p)iMh{Iu-gDlOKwfBzV1J9Bik^tOsPSyHS~(`l znwt7%U(rxnJKW`k`~~l&&AoHsf8-ZunobXsw*sAYeK6%I6rT>5(P_62zHkX8w+q9+ z5a&CVO*|@rMorVzj(=idrUK+kf*rWJu3zUr%4uvp77o2K&Cr{;fgSj?eAM+P8DvxO zkHnatV?P2D_UY6T-R_&U##qd_4cil2VSg^VG*`8^-(0DQ%4&6Q26m>| zp9U7$t-OOw%ah*b+h;e96DuDHpWS!knSwhyi}rTtyfxp`!YK6Lc~+a zf2D+Q3SSV6bbzg;(dg?-9$QyxI$eW)?%*w9&Ryx8JVuMX`;k&s&DPgVi46!V!F@uK zR-V}er#4=OF}drMUtR`6@GbG@Ai{%r5!nVOymr3uN*c3yOTqL2o7{EHLmpA>u#1)Z z;(WcFBuCN7Fl`Y!9D|wZ#7BX+nrJwQF}R$tit2~d^;Xbiv4>0$cu{C|#gsEf0A4Ft zB=B_+H{zMU);EObfPq}caPm*hj|Pn)vQitt1xCLZI`nr1gZ4+b zN#zb%Q1>(@y4$d_O4=y?57xs={7w5WYGaHp>W$@liYB9)zY|@FI#{ls^0!Y21s0&o zOrO3TZ~_oTZcDtc6H~HGDX0x)6t_9y(0MCxocDse^tkG#3nPj~^-Baif36!F92S+fj(a+0Vz z7hZToX^n;7ZMUu3$Yg4jU;DGMNQw2S`8m+D-x3YN-wxtyQF)&M59+4BbD|g$ih-^i z2nfob1WMhsd|o%EKOmPaBJ;$VMK~q*aFV-RT<_rOQB22Qso!3F{-gHN>^aAMHnZgM z`>L-go*+a8kM$KMjq%$#Ux(4@xKD`*MCC`AWw4Ud=}^8>nzPce$xzUb{@Oo|%d7J6 zL&(J*2Crh#L90RQ^V3*}{!Y8s-dv?&v-4KdmhjDD*Ri|omAh{+Z15s#yxZoDxMkNw zMzZQ$2c5|2?B5np@PfcF#b5Jb1cvYO!0+ghw6-{7opsYk$&K(c5Y3#u%67_2+blYmg zVx;D5YO0e^_Uun>yTj!?&|qGyaMwj~1!lbVZUL-vu~8@yW-HSbVk;Xe@k{2i(`{kM zv!WsKiYj0?u(_tlcy&}IGyX#1XS#f1iA3Sa%SVA?^xF9_aJ=^)%7q1^i^R%!KGgJ{ zL*;%%5Knq@@2ziq3KX@>>t^k&>P`a%jo7f{wk3_*u=(=6(E~?`pD?$7ogrqw4<{XnA2oOUe!--V(L<_ zS}D(SpF$I8bxbz`4Xl}*oRo$BJbPbxyc|(A0n+#hvZ)GDuDTVu(+y^a|#@&fnc5Axnrp}uhLP6Wok+mfTWzI`E% zxy3LTl4>e1++n!$`|dR%+UePu62qtXqdeW>w-&Im9A^&)fi=Paey#5H0RCLwgIUvtep6jP$$gT{%eXgh+Tfyf;YL_rGaZ&13Ugx3CyccR#agZ z0v1d6VIJGdOAM%L_9WbXe}qxsCx6qKQCQ=HFD2-UnaqUFafBd(+Tz64O2&6SviG$4 zcn0Kbq|;#Ialc^);N@ZDRQ`d3l7u3-uPUtdp)fwiGXG%yU}NFrrRB%S`E8^I&u=C_ z*ieV>4HM?pP@cH^ErwS8cL{d-Fc{m7W#7M|U&wh!q2W zJC`U0fouE~a5nqP7~!!Z`%AKqdACg084f(U2=sbGU=3QgG z=bE_@oKRYy>z~b6y?7zEoMt`d&=fQ=x9sRQ(Nw+o-_xEOI@Qx;b+6`sA6f`byAICGJ3QU-bBNb{d{3cVF@brg&(V1Uh6j5}&I}H#3PjEbn?tqv)JBJsO0Z zG*&et-8OwP1Z=vEn~$+J^Aq{(L0N$xpa}de1r-G}cN)t5wBfBOZ7Slr#J!pO{}A=< z@l5~k`$ednl8PLYkdRY3o1~Cq@pg#VOinqyotxQ&3ORLf%&~-WUYMLVi>RE5jj`o8 zhcU+uv+cY0=l93&vA5jwxD$FYw7aUs(3KL%H-* z0f56Wi)X0!Dt^G-i`TK;Xd-xXz4QI2YirF2XP{PFZBn?FSy;d{*x>kNHDkngW{iCJ z`Q6H;I?AVZshJk0auv0r3!>D|w()KU!&$jlj4gGu#Wj*Y~ z8e5P)w5L@dr@r+3Z1xrcaq;ty1G{ zDiUH=7U0npcx(V9fhwh{5LNZq>4_uiPAj2-Gmcf+P7!Dk3e_${q#wWO8!AG9Rj&xX826%~{IV39E17kJ zpzwsMeq#7+)0(?Z|6LGuL*ff{UT1{zi9!t>{N}3+peK%by0c zdt48cLsFZS@%c?P&3c1SkM=y#sukki;4hGb3{sC!k-u`ESW)Bt^SL`Rg`4qJLd?(S znA>}V5)UID9;CFqz%<(g=GC#k)rYX*I@n>JICwx_=j594rey^dU9;-#YpJ@leuKeX z)9y~*iJamCP>_Ulyv{v9;I3po}kq^j(8uSOr5%cp|QB%;&Q} zaUXep$PocFZ-}g+X4Za}MTRL_rXt(hCXmyS=vey0E`vhPAztLS4(;tHt#ZkRvMUB! zdyh}*PC#3mydD!A*KQ&D@H`ZTbDI1^l4~|@EFPA{9)>y<-%gaL&WdFZT|JsSZ36tz z@6xdDbP!dZ*IVxV^XzPZ=%`e0sCq0Nn$T~uxDamiW$~V$yx+@1Eib*@mgcbR*`ICA zpv}G$$Q)2Za>tjKUQ+l!XB`r0q50RFsk2g%n@jr{iPw;dJ0y8ih25Qa0d=^>-GLk`B^7qXz=yk;$DF>iCf@n8g{+!9k zD5UUN-y360{{F7-gZfEH{TAI>AGNV~Ah!R&Pmo+}o~S!9HfzOGufXN{UNolujlfXF z6i)=)sa{$X6KB1+f2Y+j;5&C@jml|53bp&X!b^B`6YRCS*BS6W2h?Wjs}92G6nFHc zLgOaA>V21Ko}a>L>L;WBId6h5pc2eFC+Y#+wxok;n}QjorI+gs7?eg&!_=FxNFS?wZSW+=Kr zSt4b(g;-UE_iDY3<&m@uc^XtZasC zk)rFW8E^I~c-3#!P;OWBZQZk6yZ+kWAY5;EUb;H!J}ZNqAoU~ouc&|iJJn~5Oq?qh z{gnFnPElj`RUrr!i89mG^p|*zfaUU_(%p%u?($PeBg9U!hdW#aK_x9Ji^F}=!dl|D z=0d&BAHbZ~qoip5Q^Ebmp%VlpPZ4|yM_2SkN&=0MDs%=n`-lFl@D}-9_pM?Yj%Vm^ z-BP2@>)g?~D`1@r(h4&L7kkD;m*o0kAD%McNw_er#7Vy8N7U`Aw@P6(gce-5o-xv6 zvr76~6-MTCvGr<;n@wm{Qg|fAQtGQNW8%*StB_yDo&0C@rR^-pp-+?U8+Q)|V^Oy7mz72XD zTax}ZxRE$e+c@=dQL%<#M!xgK^Q=0In3Zh!$h=}5syU`8ct9Ua7?!cE?cIXbfuLvG zoa+_4%XzilI6L&Ye%lO!7p-zb1Y?_1PUt^_3<(Etf}^?H#+h73vqmvaen+>9BMHu# z27dH;rB);KF6#&jeAlVv{V}wjVx%m7d)n@5t5v z(%c*$5h~5IzEAx5;m#Csb5Q0AcnNl}Tw(mM7 z6y|={Fg;KmkUUzg6aBF=~UsK}pIq&=OAK$%7sqKTierG*K)Jn_YFERyOf8yrTT`r9-( zsji6zNuDN%7V?L;Z+o|BJ0hKiX=zl9GgbFwE@dSk2)%UzC#jYF+FSNk_r_)92T(n) zj3oIEJJVeijF?uy9a6RHp(pb1@GVBW|49u0OhiPGgEM)j)$=d=uXApQ9=1Htt9C1Q zb^o;f$HkW`8~df{akX`t?Y%Km{}}{Q#Y4IOavh@wh4JWgWS95rmoc?B$~mZ9o;K!^ zn>YY9V@)eBRulEgaOVaCt^Foq4k#9K>s@}J08iN_)DmoTEZD{c9!vA>1X2J@6Txc-CogxKv)v< zC$-k#dR&5FkGJ5p9o$+?%;sRJBYMX^i~7QJqb^=*1hoXCSK6S1->?LPm~=6O?dg|{ z3!5v6C+OS=LEcFAs3}Fkony-%BikHd+Um&r6D65E2QFDKaQ{rX`r;jRcvIBn z@P|c>PZC+}20zhF58cl%o}a?rgNSVh?-Q1MhZb%{8T?+5o*;YoAezDmeZ9o}TwW9F zsN7&QgYV>1$)Wlp9!9<{DK1(dC)zy*(YhCNO7*T@XHZg91pbeDlh0)BR*M#~A}{*> z%$}nlYF3kjS0CQIY8DUI!RU$2pF#1z-s^nNo;aZQGt>Qd3Ubb9xLOkO*?-4)M;Mc* zGhD}lNpIs;Kh^Q{^!H@u%y&3tAget*rMH!*-r4~yvlf94%N&qB`8CFk+0 zl=4%e4SnO0zgIlm^&|ssMGlOgxl^6Pc%W8pcIa~qKS~g8WW0gJqz7}GNF8Zk-R+`N zvyCk1a5Yy%tj}*}Tq2GSLzUCAuAlYc*+CtAHN9i5yxC7-?@>(f=7+wznA$pF()m)G zG8NzU)1>fC4QhMb3)X$8*3PE1h2O_C=%$NozN2=))2e!v!umIuCA@CFYqnXH*oQ~l zQjZtg_`=Z!sRQ|+v4w8X9OYiT;k#6reOgvnoWlunhoi`2)kDfv;gg+5F*oXklVRWa z42m``_oevM6oj~I5{wRu^*TXYu|>hb4eDv?0KM4m0@`@CpnvGk2iS4-#L{-ecHZp+ z5uC!Rq^n-3p!GTI(#U3V|DmGqHiw_aNx%o^C{K4-Bejsk*kr6p6Vcb`@`ncT>NIQm z{!#o*L$&HHN&UgxbD~Ew68br~(^QG1Bm_vu$abid zY0XccTrK_{KJx_lMa3BXp)CxC=3}fuQ%ci3652O;?iN!m+!xWCUXoJ!r?);`((V+z zuC2!6)LfR!<1EC|reS2y0e3FG^;^=V15Z+X$ALnN5u+^i-+h3t8Y<7>p5nYwj9@%V zmeBByS@aiO*}J#eXavc`5<~Eg9}RMY+xb@nrHZYp&&N(y=8z&;HiaV2i6O7KKNu`m z`{U@h&73iSTC_pX5l28gXkT2~)urQ;;H>)NP<_-Bc;xZGtuW(}3n{&K^*oPSfmN&j zmhR0?;F1e*#bS-y(>{`nkru|ccG4oL&m>V3nm!`(mOFG&XV5i~*Ri$YgRovf5BO2$ zp5sj^v&P_Ey=iAE1-y`BJkp|9Bm7bz$F9ofkx62o79$uh5vSX=S6;dD4(Ig3`V0~7 z&J#O7e<-M?qjuRK< zAsIDEGs-x8(*J6gS=iPVEpR<3*NRkG;B$**>iVS6Wj@R>Y+Jff_~KRA1cx1zr^=$t-FGO&xMyc{ca8f@q^MZQ*S zUADz3hYWH$d}{%W-fnH-kabioi-wuZBDM3Y&)CO|wUZmo2D^Pd@bpbK%o* z&Qm4a1M;F;%@eeU4^V=iL9#9~OY>MC_FIS3_L8Qp8Se4(i>Jayjj5RG=fseuQ;k0l z9SaVA>sb~+I3+b~cbR$UfU5luzw~k9bJKRL!m;lLR7v`+B55##yj|^J6q^|}bu5bq z))^QaU3=W$b{Gt#KPxxamLhR~G}2hF3LU(1C`&U-71YlU);JzYGr1fUB5*plxN7AF zy1k(NNkmLZyWstaz2B3PjV3)mep!PCJi1 zmGd|E%Xg^=@+1=F!+Rh?y5fS3`(XBb@I3?XIx>RKYcqx(iLAYtm@qS@6aG9L2~Ltd z2j7@olSVN^2*>p#z{kK+sW$_vJup1zri{Ar@3!AGY3dne{Ff%`YwA2c2=b>BL#RS= zMq(32g_~6zjOkvFGrz|oWS5ueRzOX?TaoEnaMj4Upvo_g_^< z+qujBvm%*xn#>E!JC2O_c;L1(ztPvs_sK-@qq9fxdpGtk?U(5uq#md&SZ?y}m*gE7 z?8_~r;FS}Ac8AC`aa`aGMLH~__m{*8s1^Z>o%1|ExtpOUJ%Q=)LO2oLq=1rO=rK@* z8yWEHlnz7h`Qw6n@7=CVO%tP?cY}B9@EY|?-+RBeV2`+5NNHSSN;L&{$qB*T9dR3a z*xz?k;UIl{a13i2@Kme9(Fcy~OY+%Sm`s-~GQ2z#Ij5PKI3!2Kz>a_RaiIT&23l%v zPdPC9CQ z^(ntAyy`Sn3G6>!-!EIDde|Dfe-n*$U6-8i=(KdmQH8WK2#36~T%KGcX|>Vc=!Qp| zxZ&4IQqW<_-GqVh-r=w&JIxIJm-@L6v<6*ts0N5fQMH^=k(Xw`ZwKf9f{HfVwWj@7 zE}Z8JiF++V4>M0B((yd&h9>o4*5=3X#TuL|g9=mtX7CbK3WIDgy+rYvxL@a~4aV{! zRS=e3_(EJn_ob>M)DyBXy{&~I*2u+Oyeqe!5b5sWrGw1R;^bp2~;n!va92HiFS_k5rI_fJ@ zi)AzeoF@*$;VRZ}DtFiJx~XvpS`PvTBqpV|Peg?*v2 zK<8cSilGE<9UeWbRm~9J%@~{7`|y^4z2{uuYLjbex-CZiTq6h%6<@DlsgeCSR{^n(EYa&d9km9gz#@A-bBW%O_94sY0R(-V%RPVKu)E$BSn(4elb4dj_x zf$Ze4@v!3D)pRqLvY+%*`2p?e!pV|~xR7oEVe%EQ*%^~!smIcdJJ3x& zTkZx2ru^&}sy8Nmn`tu25J6<{MqWb*o}%t~tZ2B!f^&D&mz*nTMyy(<%lDtGx3&gL zKD*Ltn>vTzTNmZ{zFx>(TQyIF^KipF9=kVZU6SlMGwLVlJanu}?DvkldH?*!dEJeu zTP%;FJ9-Ycdc-M0=VIX_%HvzKHZP+ z0Kcnt(S7fN^#JXvd;N$0xf`c`wJ9S^VJ#QOZi-32zUNE4YuAfDGe%W0uFgUR{A!;Z zEb2Xo>&}Ejg?A8@yZY`^+Q}>bNIhjS9Y-O`NW0dSw}YOo1X$`hATO1qJ%y-BVdLUrB2p~NCAI4>y4pi;-(Si zA0|849@ed!f&BL6Y87T>IokBpaEr#Jz5Eyno&XN@>I_NO_qlk(*R?mL-#kjhOO9Nq zlbzCdoFN(9`b>fOCrG`bT?7&#qP zk%^H*2ikQvnK0(~{uzV!Fy*equ3o4koO znSoJE0**aFC|p{Ee2(2dT0ftZHTV|x&nN^!5#a-T|7%}E`v*J%X!ycgbNB-8-1Tv2 zt*{Ie^Ex7aquc~vNyfgUreP0n9wwozaP9G7wU-RLWNFihUZK;R_RWHz4@C^h^P1#=&aa`sG# ziTA<;pFH?ew{H&EF~oYl>5N<*;m**X8@e%=+-vrW?xkCvL2?~fHP&b3^(qVhb<9w!a85_U=L=cawbHQ^sPJU>ZY*bBl*cz16>WJ?s_^D$n`1EysQ9B{UQ>|-`7zN$Th+z8u10}@+yhf1u@=bMQKp-A zfZIs6MAflF?ZYTPdP%Ilu}J35y=<%!bIUi39X+pk>a;G$r|S~zq)1N9NgoPyC4y&p ziLt27WnyJ<1P(Kmq^{n#KkU^#$R6=hO;<1fN}Lonz0?1(WD!+<3FQ_Ovu*74^v5QgZ9<}Ij zBc=yZvmo%@nWNJ*MC3;mU+mp(fiq+SFwZHfA($nP6ViI~p*=c8=0We4Rn+InYwd)0 z$&&6}8Y+Saz4&aC8)6qdHGT6ARQ5Icvg`0T4%=@TdT^&Gw%wXYbRqwap3hEbt6*OH z9X(vy8%{mVB~FmMhWqy(S)}4rJnW?}(P#|xU{sDGy$Bb-D2`m+H9^16Lm{;=M=zUC zT=3c9CIv_x;$eXp&Y+<$*8~HM;V&r4Q?FV;vGkI4pZo3{Rd&^jIy)1En!z2WKK+8Z zG2v*^UjT(R8J*Ml^+3-0g0?5D2|)*oR0l{(?v5G9@J z(9hp^Q7iwc_U^1U2yrkazdNGY!9a#~h53@<8@)y4|6SwpnUdARj z?2AeVDX}>%y%9jFEBXAaet;>%NAot?aZ)~MYZmdmHSnF^MDtAG;RtG0tF#0N)ygOV z4hwGAt@`*piWSJ8_?OYr7`uV^hzew^Qs*kiR0CX=@LrMUmn|6Hn(;APZOvWf4(T#N zS~VlR=4(+<$%$^Awl_lxcOp1%wdm*ATNnKPQ_RX{vF;{BTId1A1Xe) zbz7wQcto_I8uh0=8xzz+B)&r2Uo>=Y48EA|uoqY}3Y!n&%%CbuZJ1$o}DNcD!yKp^kpJNef)9|m;}D>?W(_U{IOSep|}nE*Q~ufX#E{R z?~GyvN^kz<`J#W!#7Z0_Ekq6n|Ce6CW~U=15Tguv>8x;f_Z6F)?9`Givd(_=E+=lO zPwm_NwZ=j#E*ixF=_(r+2#Wgv%D9OD7g3Pr`Y(!-_3a5}=pmM!_cz0`CuMp~(BGYx zy%=@p8Fv{&roThTbq7Vkr3^Qs!k>o6mU(~^?*U%Y%jQ>yJWme^RRiZMXJN3|tIJsT zY1753B;IVhZm%c7u`0_Vy9qJ1(bMhhTcb9AWWAFIhgE&fRkfp2wuF4H&hyFbgY1iB zJI<%@t#0Gbw%M?GiR~{;Ux| zk0WxV=+1%NSIcp6*E48_Sd`k+QbWRLJ;v0m+wwIuDf+{k0hPgBzR9S0tBk@CO+v`b zglFH%`>@6kxwgOtzG6Bxz{MC{BE2L?Y)Ib16Y^@V6+OJga-3PZu z2*T%RdVEwvDt}A6z2E6Q5_lq3zfNT?ads0w|LLv2bPbSLI=EJI48?3-=xQAwj zj&m-L+mcoFMd7b1HHGww{6!;aG=iiS!#ru^=W71|NxU95|6ifbhK$0NY2#@LS=a|` z3plVjJb{v;75h4u(6Sb?a&zWJxp?3s&OZ;XsjjMwl{x5@0&7y9_^24WHjkGIdi0I& z%Sn+I=HFhQE58%NyLrEA>zLZ0nYeZtp=I82!BjOzWL_KJ;ksxvtU5Oq9(D8*u6C$n zTl2HYW>|N@@}~&)RIdq(mvN~MvswdPT~SqsdmcG@9aYvGgYNvbCij)XL`iI-(PE|U z5s*V^Ce~@O{i(u{2>kvm&rK zd23qV>LmWATNPYelr&5H$J*#?G+nV~7hjSkGG7`+r6m;O@j!<|{_o5Sgk~&t;p0GF zZ&iD+80;MEd4%A8Nf`VX@5FDH=U!4iJrEp;ghLTH9EDdgZc_X1y@03Lo(~0LsbK(m zM4*wH5kBkSXz~#Rsab6JJEpm>Vdj7@Vm9mxY?I)d0Dx}&cr*liIX^j-Jv0WzJ4tSl zPt*0cItU>24WhCE*l=xUQrJrL#!HX@3=HYCSq#)f?2!b=3}?PA^u-Xqj$ zx~&{_&c5BaTn=pmX`kuR6%XbeoGNkO&GB?Pi+8CPPo5>`RJ0X|9{yl^!z>2M;RKWY zT;X>E>{Q$~gvo*lNQ`Y>aN>@A`G`=Dt+A}<+qqm`u@(a;q$QK$)B7##;vx+_TfNL- z>hx1~`eu3v-$jZioeL~lk#1al6Qp+!0@RFTe;>E~I~}ekVy%8HdywDNVN|zi5X+&$QjHcS~|p z$R%|=sBgw9QZ(*0v)OG~9JRYvfgAp>bV=_$5zxlaUX*2q7N_ga?Ptg^F4q@02@JuU zd=^8!M9l?Noo#ci=TDxI!}sS}`t6ZsD=Ky(A-a5+h^@@KHOqKC^jR<%ZQ6!$`b z@g0C**eS?K$SF4w(S@sO!m2mj^?F24>uD6h*e zrnaeiscl3&kDU-#zn#0Agx}?kL*E&Vcy0yOyp%P z`}z*{%Hty0B`q60a7iR}+#g-<3unU!vIx7@zAG~uR#9=F;jFF7|9~2GSVl+k07!57 zf~AoC4EP~9<6i4xlHoPnYPZzc0Ddq_374P5xLx0r#CTL+lf<}RUz0p@6(`VL0Dmf2 zQB0Flqx3y|8|AY!z{oyW;p{OV^bwy7!>&hujTzoj`14eu&bUb9HYYUAqbNuo%L5fx z)zeCF_6vOAk|S{yGUV;<7QFAWNR*qI)jBhr-4$?~8f|--dJkiBDe^g}S4iKY-ZNS9 z8tzv&Kn9oGEg(XE3N}02;AGD7lHQafQ+=iD+4b*bHW37~s<%&;bmkb%o34MU`En1q`wP0B5Q9M3e978t!uuAfi^Wr4Yp+iapg!;MA1>ZI z*Sno9kG#w+fFS;hg7Td~IOGM{98Z8RtvGtNw!~uqFQS8m$u-^Ag~^58U(*0+RWjoz zH0@ItilaVpQxM;*P9g~B31rl#chW9qORa1|+b6Kj*b=7C`h1}KTgsLh=7KCW6GSiz z&IG*g1suNnL3gXjgO^xauZNOLZts!}g(qO|XI=${?S z1*N+wuNah1g=5@*w6jq}mk-3-pNN#_ z8Mc-oss;oYR6S32vx-Uw@d)eNtL>kR$4)2mg@$s0f2+#DH@b1r##3_|j=gWN>Q19I zebg15DYl>^*bp4s!)rI8V*K!iYKA&q*h!m%1mKNN@ZweyX4Q> z(Z}!*tHr*hfa2}(3*EIj+cCAiH@wT$>IN14-wxtpBc{-aD>i4y=HTAbOQGvFSIx-H zh1S7$wcRI=<@blDZKK|kkfDZQv@U76)<+{lYN9lkIv#FkZ^#jgR%+jb2l1#* z_Kj-+vLLFj+v&pk!1|~&bQFkkC9)ReFJ$k|OR)ZOk-!!El8|YqA8oH68mRgEY+6|> zr+U^p^sLf~^)T~dwF2zjo; zalz(R047iH!s(fe-^_gwNHdKNFaQ7sg_<_cCP>g2gaL z<5J5PvD8bfKlnr*Nd#H|LZ%OOZq$c93w$DNz!m9#d?#GoQm!i=SRv znYchcUOu+HA%jGH3bR^MyIDq++T`D%Id^aWoe8K~kPA=;KP>)5X}h6LyL*hE%{doB zKIG47`$|X3?Yz06_pG(VQZrKSlWWng(&XjL@}LUf`NCek81!1mt?rnbo7qqh$}#YV zsU%V&rK1~A$HDl{_J(sNXVfp|8WsP1Tfg|}#*6k5rj+x<3@YGV*;;sQ7>LPNtiAI< zQ;rk$V18{U8wRfZiYx&JY27^)rUXZiFS*CCK0TYc%{R8w`_1gtfg~iZC0Kt~wRbwl z?;fT|25o(&8aR|6cinaemf)C2E7UeU6y~nk*7^RP+q1ri;x$|eaq)1AdI`JL@Ws^i zhyzCSFW$5txFK3od~LZoc3AbGWxAyJK;ix0ZN$w9((44)S3dtN)+Js z@o7u=sY&3g!cC0m^DyBwa$|_2Oj$}=^Cbo2DxIp=b`|VNCl6nIEu08kSufyx)o@xd zYtZnfWsW0Ny%O$-p)Jly7+cKfnBA8%{FtSAr_ByM3ga+}Jyl2`nG%cFeGCJtmlGrM ziYvl^T%gU4=Rs?5fzEUVM;FbkiQuxExwXU?!_R9fw7L`|U(L;7LG-2dp}%4ma8C== zO*`OP8dGma71E@F5-Lx-)w|z1QrLB<@cNidiUu34U6YgkSXpb0U&+{%IW2wpXIk3l zuo(}u8@h5-_fSVL+iw3%#AxDGJQf^yI7Iq-Nw5{t1G|1lV7+iY!Y0hZW8k4GfRkF% zJ|OKwus@N1%WALOhAiX@0Z@jrqUmvPgw_B+!NFajcj^Wu1$wl0t(K>%!FwpA#K_Oz zzF@DZZc1!VQ)}4Jboa~B&I;+-NRYwKPA9Yf?Vs&|F4>-J({{Cm9UtIrZ@|vCekS=2 zWGUorNP75VSOr35LpsOPlGI>OJgeUccuDv8yTgS)KXr`H3!^$o?DGWDHPh^CykvkK zxZ(95v4iVJpg5+DQiVD>SaxN0s36^#)gQKzIIY8sq%GH}cNfyyiJ6J%msM|cvHtoj z>-~*TZIEI~s~lzI&g*G4!hoV%)l!lV%6C@P`$@Z4b&A)areyG@oS7?m%?r}*#>CRX zT(}qwfUu83QZpxuc0|90M8^8Ymf6!F2ksWlo>#gL=sK~2P5dDS>VpcCS9{x!SBpUA z17k8Q7YvV-W4ae@zqlJ5+dZ%`Mo&qCM0s;AJiWT?6VmtMdfPkT^)mt25Ny0dURurg~dIx1R9Oayf;DWXqBU-rH4x z@umhDUyGlTfAqQ=P?OBse5S6JGftPg-l}*oDFrPz*{TB%LG~v6`{!1&-r5MSrSoy4vrTpIDdSaD86 zq0G@sAmActisx&uXVbB^lDmA1x!-D5REN{<{QlJHu? zTG>n*tAx`p=0$Xff=y%NaM>+1;;X%rVo?XZWMspyVUGlUNA^&hKEZ@>!G(m1s<%htN{4a88Jnjs zM}+1%ox8)6_ci_|ydjC*=-)C`_mA zUz>QaEz5hzPqfc?T3VQ)Eq0VMnl6!mP38+zo|_Q>nmj*JqD%H1so%-5OMN)r>&diU zY0A{KP3aG5-95|TR&n23!v&+?!Cl|rWaF)`XxY|}PC+8dq2{9y@)*yFFt4&He= zJu1EL1WGKpHFdG5YW2v{erTolTR$Lim7YF6SyBR=_TXpPBSgpQS(O!uRWa( z_<=0|U0J=cx9mg-5L?PPrCcYz9en+LzZT8J;9KeC)n6XpXd=o_D}Mr zJ*w)CO}Ic;q+X&!1w)4qvFj0tW>IKLy-GI$Y(eT{uIhjD5e>g+=ms9TNl|yA!7F2k zzdgN8E<%Wj#J>5cDNwNJw#yy|-e#743;sD6amw&; z3sv!r;=PX>W~S3$+SxHT+wzzed9JqUjZs8PVutTaPLyL1Ws z(Rb#t3p5e_5m5QCS8yr6?@g2XZ|JMNCr`LtKi{e&$i?W{U`nQr|3yz62+}{tY7}u% zBD;KQvkcJ#lK{hDBo|_>W+vBUOG+xIa%6I4|6|^jQE>^%UA-&8LYIM~pyY^ejomAZX;q8Cx%D6SgxxVg<__~|LvMMg7pf_2w@ zZK==!Gyjm*1Ly65{5;zx>-N~^Cpt4;d)qKwRC-WcrlDc_WZuklM`nl4P$!D|>DTSe zjtxKd`OQ0PWBwI=cMf2ldR7 zM0Z`MJ)8>l$-~kkX6oskJR4QzfLk)a_oci`JBX>eaR#t??e3$rq^dZ`&ABJL-jCAY z66lU^KzzDETG*lq-Ku6Yj2%Wi9g2gCf?LMTG=CE&7t4cBPx=I_?2jeWn-J`f8!LPL z4jFqpyBi)z#>NY3EfEF_b?QxwHU|Eanf-^L1wg^rlUgtS6Gi^V3V-Roex`aHCf{yG z#`eHf_AgQWJ<%8FSBQ;*0d>-E8!CYcj(T)yx}qYNTRc$B`+b_15L@n}k$uE5eg=N^ zHR+ofANtu3LuYT^$_KI=LY%?fhR%wCpzzJDkc<_BlD3pIF77y=bqaWSk58$0CGgF`0Aa zsq9nEu!p}g5U1OPLhfC@ermU>4#yKC25+1-qla!^JG$E!YOs7Z9FyxeQGTAid}oi| z?(x$YF9bpjB3_G{nE*zNa{}mM8Bendk%>*7mUL1(?*!N)2<*x2|IJJ{GN@|3ZovnN z3kDL63E%3@sqNd&(jBOftsezU8#;f$y30kySwcA%xtqJbFwcGMUd)BP%;oF@>V5ns z$GQkoFmgbxh-GycPenEFiGXoR5qw{TAjYgWIB>k-PJK6az3th^!2z^F{&?QM^kl1SOnS&%)<d@;HdpKFfo9ms~a*-`%X8CdJPZlrD z7+~1V0Er;pvu#%OGf9RrxD)?rVDu5aTHYvzqpZ`K2w83 zsZS%@GY|el%rbqL0`A0L+vH851DhJt5mqZL8MsoInC|hAN$wj3kW^#K zcWFqvW*NG9rdTnpkjd=#<6{Ky`m3X$KqL2(a#acM#v`7+aadr?vqllTKDga;nd~PG z$`Rx4;K6Nl*Io$V0gI0x%2%|F9rDMzUtXbtTG=X=JLG5#Qbz0H?|j4G+w%7aZMn42 zFP=^lh`3K}i7VdbddoQT&^%C^kSlPT(APdDH*>CBX}qmyp`*@*aCW`!tzP6~$+GS1 znc;K|$wMrClpZDN*T)&q$MrVo+RhVTG+{?>hH2G&p|1-|Cv(DLA1Yz@7`^Mq>rcfS z-V5-@~tvuNobdKzU7r*G^<03EPzQ0{fneEq$@{Bsi&UbC2& za8=1Gx)Mgj*0Z@2-dqc??tRgAI`mezfz+08yh`u(t_1Z8_@U3QMe8fbZ-2H7)}A{5 zhH{P>UdFj}Z=CO6%T>YkSVdKVg5}t#h<%cqD(?D!Yx?-5=+bWa8ry$es#ocV3nYcTPF zHmuqg9*w5?C#~c@jCW4P!WH&V=_^I&0{p;A7Orr6-@ZyKZL@8?|DxzWXs*Vr`k^~r zRxfkj^KSmyW;)N%IOTR)K|9aB^+a- zc(czWSYFWW`Yt{P_aa`>oE(55Sd*181WPg>hG0u}jfcI)X`cv#l!OkDntiU!yzR%Q zTOFlkHgoj(o5=%I79su5?fR3w5B~8Y{|*4&oA|=#TD-Dk;cD@9aE#%wF#J8!L=S7@ z4WXGs#LwF2eLJ_~F1PY%;=g>>4=rQ|wf_y4o^7SUOd-U_96~>1@74*S-MH7xH@4Eg z7*iHK2DYkT%x;&7Z_Viss1_E9-<0Yu|CB|2!v>~aP-`t`uH^QoO-d91LwfIZmKFh^3O;#^Su9l|A?R(GvP z^*MU9QTdqu14H=UjbE-)iCfO&>gD$Dc^zfzYRmxREguO}*LuP&%G4#JBX^>agE>m? zSQdwt6{J$v7Zh!ej>@V|_^F4}xQE`gWB$okZ0AOMT`@NxIYOrf$C#|YPbnhZY6&fPDtiGQrA)M)4p zwZ+Y1aY`&;C*kd&SK(CMBeZH6{l&t}^TopJ6lw^nS26yFi%OXdPTjJ-+`r1Xs_Y#5 zV|yZNvKgs=!%nh2gxGyKt@&Xg2L`a`OC~mc28u5(}eK% z>)TF4f)%vxjSk2o-+-7>YbPU(#%dIB9o-gt*}w6(*h7|l`*yn3>U0_96L2qSL(pcM z4tCU-UqIgrr-Rz)V~t4p0?EWY>LnC>|T zkW*}tzFiS$mH=L3zttk;-*l&kWN4R1g_R;XQ*mWl7$6tvqt$DLQ%s~5e~`ZJ%j$-t zS|wa7>V5Wvp#y2oC*9(%aZi2Gv7Ug~3@p{25bIGzJBk*Ajg_wOVC1wW8aP;Y{zh4`Sm<}`JTjT{@;-^`HA+y?c* zz7r%Vt`V+5D}2tsYAdviji8Qty$&u|fJgYhbYkeIzJ&Oep_LenyoOuBMTwxq6wL$^ zl0AM)CdTUNzz|Plm!Jd8X1@RLuyvRx8ZFXGe6=Fbh&>_o4GTVXdrh(%HokBwK?!U z#w5Wf;Z?)1;*W>RnKnX&RZbIUK42H2(J|}I`XtyQDaq9<^0xlw>35;sHI*nqW7Tv& z$>2S(-}Ds?q!V}q!|GY=`;e)szNCr zlB;`gan7CyvZF)aP9u#iZJWwrh%io}l9>{K!GF;iI}Jpmg?W35^tSrkkWJ-cpTS}j zUs`0$D&rsb<_xx;)^4{*F^3<(y_RMh*w81Q+MLry|4i8br-gbqT~oSkDl$i(soYm+ z)tr%z*-vFk6f?UG4usci`BGB+4bIcFjG@Qe{zdYHxAZU1;exv72<4fBBCTZG*8L9a zl42r}3l(~}{ww@{W!g7E<+8t3f0cd~^m)aQe{TuV`pa%O()wStzruL~%WCm#; zB&Z7^2JD{r5H*j|-)98Y0a81@8aZt1|6g729nIGN$B%cRs;Wv+HELI_Dz(R3wX|kS z?O9u`x7sUOY89cjqN!R{dyfQFv1(Jqh?F2AAqYu`@x6V2{`&pS_j_J{+;h*l=iZ!~ zd!P6DdaM_4jA#t%g$`%MB08Jq7h;Z3?kK)POFi4a*est!7XQ9ycT2ZK-A^q=A!nN*d+T_6_FYryLI;w4EtAGm zy8GXnORK{kmu>^tkDDeO)kv+oYba3t>LHpl%21%xeMgk?qkpsHD-}Pw8`fqIy#dv# z-AX{-$;qBgs7pD#vCB6;s;SpUgc@#xRlb*-cMXqxzzsncqBuK zxoa)B(7guny-y6J3lDv0^>$~-&5?$trlgokDp<}l8|f$+mC-YbI9R_E~%lZVT71zSJb@P+Qvyh!d^!1 z9y}Tpad{|y+Ga{^+EV{P`~1_8j_)_!;~T!y#_jBaLi)H~UNGV~&@OlU^#x4EpTa+d zshSpSK|L+}tIr4cl3LpM6K9LW!ENIEd^=hLh07k8@p4>0Cm8x6wm%_RM!iU#$fClk z^1e-E8>#Egb6F$r=VsD^4EOcAlW#TpES~qIRm03n{2WV&KGgaV_FrLK|F7;MvAOu= z*CE`~3c3-x!4NMAqUHtVQ|sFQ%lA1k7$#b!(SB*mxLqUI@%H2z(69;x7T8mn0Xpm? z;qO)J7%nl)m+c2m3c2}6kGz+cr z71Q!TB{|GAZq#M}tNN>*=lF<6+OJ&+GQoHM4uZ*OT3cP??1Jaw^bVRn;xex4Pht2O z|7zmAZT6Q=Xj;Bl=zGiu2T5Jg)$yHF>`_SANzPJI4Ek7<_=i&OkU) z(znoPqJalvtvnDr(y$QkC5#x`EMBmlL|Q%#qN@uKLPo&lSn<`5mO!nVY;Sg4I&ZrX z{IAWItwwadcqe)La-cl6EQlU`jUHl`Uux{}yNSb%G+g(kqxUU;l@5?uscwCG5Ix-8 zN=ZLZEWN|a@PpLIRI96~_IAtQ?~L?LGc)FXF?4F3={O{zcp)0=LJ#-_a5M|C2q#e= z(%qpv!4QJO(ohN5#-g7#ZJVbwj}QAViXqKf7%1E`*)Z}0g8wu57_81gwMYn|BE97? zwW1izZJ}KbV-P}i>X~h#0*WOHV2QSeUtaoPkx?~9S2}gD;mgRAL8u2kq&=LzQ%7{w zM2Gz{5GH)FVq+2QXR%|VQ*wBBy*s1tHP3r5#=r+V#YcJK?kWs==mRM&y$H8#VK%aM z*-NF!fZU1eIQenK(Zo{L@XX0jE}4-&W=7xwLl3}NA}5b*15ROX0~I-R(g3@)%eHr~ zB;u~0By&60WAPPXe-XAf?mS$pegi{rl^jz6MkR?F+T0pj{ZCojLl)ez#?`JMcZ0`8 z76Ni%aR&MN-lx^+wkjwdm5j*`55S-v-{Qc`OhsA&tw)F8cR+dK8&+jn<{j1cm;{&C zb>Is0uK~~f>+Cq&bJUM#$7&0$>i<$K;5kD{nwuNHyEXaZ9$i~&a)Lj7ihZ4H9QbuH zN5~w@we?wV7Ockl=I-iqnKySwTlx`Fub%i2Y4q3$AC304 zbqfB7IhOD1Q|l% z0~Oz?eyZMH@58n7L~2J$RP_&X1M=+MZ7Qf0HO?W1Pq~Mf!=BeZgRcXb35b;(1wmL&iHl|#dO^Sk|PSp5R?M>X7KGKhe6z$=sKuzv!a;}_k7{3 z8xGFi3+)A@iV`J%nljp#&3IvKu-G@RPIrj@LT9yq3YY6e zfx33tV|QA#rgTVQK4tIqCwt=rET{DU3f@AaZO?9DV5?AkCf;mo(T3U2V%Yh)VsxC* zm4eXLF1LZg3|ySo!d-OX4im%K{f*xvlsG;lP632I zO>aHBq_liz@#6W;6sqCMDa%gx9b{w_IU@b=w?lqK0pCj06YKjsTJX7N?lAQEMemb8 zVej&c#)Q6<^+UtqXo_TF)lv49-_hsCZkc3$a>`ujc& zp2&aB+O1>lUwB;CG+b6yGI!$M)KxjW*S?ItzV|vfT#ta-8GBZ~39C@6&ii-x+t_F@ z@)Z|#MKiGc4`1Stq+bl~mG6v7i2)%oWtNNc&E1kT-f%cSo?!ldxyG7gc1@A>RB`JF zbBT!t7;7Eic$6$i&6Y1NcBpV?jQ)%Z@v}!=q-3O(J%T~9W&N<_KUvZO(2V>yFZKLu zFly$l1K3{(LKbj@LI0`UVW#J0PMX#rGSheR@^;2;%uTFbZ$2-wK=*(dQP3=Z9zXIn z9;xLi(+x5eL9VB5&pc{Zj;|8KZVLe65kWWimJB@8iu3e61-g$_XPEJzQY*vSu!PJ_ ziOiOFs~ynsEKGugAhvYgXMEowY+be^7vL~OX|{%B$E7*KAw~oF8J3xf;}W9}b6HMv za%ugNc~X17422f!Hq=f0WO8oh{m}nD#MG@_(EYpg9-BaEAZJSSL~_n!6BLT+zZ-v= znN(EQPJVv!re{okTP!8yKJLO6C1sY_pQJqS^Xy!G2e)1O-!A4)Aq>P2IlK#1zXvoj zg@J3&DUP(jeeAV1CE)+1OvXrYJ3Y=%*A*Qt+dn7hPPBNujqf5Mm>DnGjFqcf1$PZlT%3$A>Asl%*cE);zK}*w3CI{68qO z(;n6IAvNe8;V5R&WQ`qeJfHn$UDc%@mkj-4iqrmo+d?w3{8nvEnj(7D}+J2Km! zK^j?PwDd;&e=5O|n|Ma}sW3t;;p9neq-ZfQDD3H`O~C=kZm%;vguo0~&I|$V5#mfI z#G@7fRgr+_&l^w-ky`C*gh&jc1buOW_%pJ1=@duWw(?2XGqO@CwJifc+^E&XVN6p< zK0r_i5nbiSBp}4QVd{-3;o%v5J_GR?kF<(eAMlrGWHMjpjXc67O8x9Y zRSSd;LU=c5NsVKkr%q_h#2f(iB0-p;k!?MPr!=uJNH_0tKU0!mhr+#4+HrhHNLRWnH zQ@OoJK_~n}_v=rlpetY%pszWq79d7En?F8ecHV?4al?j<1tC+*Ql3NM6$_~Dg_SWt z;|P;D)mvDJSQ!IXtqtK0AePFzBI}0ZOyMg;3}Y;%9c0z>(L2^2@Dch63_wNdbcK-H zaC@npy+GmS!p1f4<~9CjElg7*te#R1W|sj)rw7eK^~C^d$+fLtd)3SUxpR9UY|$8_ zDwz(0N)wLK$ZG2a!dQ}HI~H)00$`(g%9p#dHsin|^Oa%&i*{vTBfDyW5MB=FrKVhV zyRJr9fwfejUO32ymz-(0v~k|^ImyRvj65C2$?FUohA`L&OC!_luY48@24i)CMkMcH z*&HA!i8Zf*J)Y~sW1@g!4nQ_j>_3~4^|PF~vvt~4bIs8BZ9s8aT)|E>BX0z{Ea3zR zfpDFmkDC!s#Tp{~x~+>*w;8+w&xYxQke~F*yh#4pPpy`EoAd7=KElB_J!u@yt`DOH zzY~UP5M3o5xh5r%s-3Szw-LO>V6z5WRhhcGb!lDcU|q6m#G_wU;1FKc;X~Q6usKWs z|5PSr|J5LswiM9#HN^*f3i8QRYIflUOw5dRc?{+WBDyeB9kUPmRgQiAap2qzM3!mr zcAM`0xX*Z8hBZF$mF|NwoqC(ugcLo0+Sdo1YkdgvfyR7b&D$DK8azP*=ARGUk)I<_eoP?NoKok}Je$~@KP!0r&L zy#sQ|I>9~7_D&*}#gNoUx*qYilOu9`CrTYNZpE{Qh{(qjkkDR4S%1xDWY|uG36Fv) zXLeN*>a5W7jF)4fmCZ7p%6=x6gR0qQ=$>(Dr(Uwk1~igYns`umxViy(L(ZukDu@(x zXrLidEY)ugJGy7CxMbFP2oXq?n$oDVTuE%cBwx=j-zw#*ipo2<`Tl`2zMf%kv3ev1 z`~6ofQncr#6t?l&p6;GjgGaO$?rmLtVaEP0Xn7ao>}{RzSG2idmCD4q>)sI9VPjz{ za9bzg%vwrv&P3Mq9cFshwEp1WgN+bHssyy!Wn0&DtiN6rqX>!=$XWQQyBFd-L9>aR zt;T&WG1tbP)_GTU>(tw92_YMs7RCH+A^wLI1Na!x23Oto!N1RMC#py;W3t_oKFh2= z?4D*0%M96*vV}vS;-TKCt!Kj# z%K-J{e1X0Z30F&f`op_P<;T*6c!Xg>=A@S})?0M-AQ+x5g!C-Ud-K?VLe-S)7Y>fdTL?3kR<2LC^UwS{qv+~Hs39-;buoIB>|Aw62nh2GTy z0lee0NsjKCk@0f-5AQU#GHv9{PqVL5Z1g8H!&$Oj+RZ&4j-g*^$|HI3+NXZd(9C1| zo>(3^|6wbs%MeK&3v&O38FBRrwbj#UA?0AicwE0Y)9v;G2L7{=8>bAnLv>zhwzM*@ zCfn|tNNz!57*#ztaw3tjV3bwPNr|y5^NXdf1=r`lqV;JBNhzCmqSy1dU8RiQ*!|2) zVh?9r55s@AlEoFRDLoz34wd8p<6sJ5xoI_gD|ly}(9go7wxx{<0j&y{yvd8#Z)e=b zeUz+1q@HkDsP9?UP%hq6@1GmWXm=lKwd$Lo<t-tSYGbDLKm5EV1AH?h?bWE?*Ye`Dhsjz!sbdgs0q3I#=Tkj`opS!c zp^VoM9c8%l^?|$9(Jr?E%O~!cLeM|Q+=QYc?Qu&&vho{vLpYP|dI{5RZp1@;+-8Sn zXV5mShssmm%nr@PC-VMgOSW1p<3cNh;@=6-jq9;1*Onhr1kWdiF$v7DQ0xt<_Ar{7 z+)7>#fY+;X0@{-k2K!sJ9}I;%Ns^raQv%mBY15Cwb={&BiuqM?nt=@ zi3x*w75RSt+A?bV_^R>(OBu=>ejPW);lNW86zPVeGCiMrs ztx3M+=o?)E>mE7;Ii))WTXT!EG&a`+NfF(h9(f5*<)`r}-d)<3;j|&#&!s-=Jky?S za5PCO`|8R^E*?QU;2a6WP}g~%H2)JW;xxhZa;KsD6NOv%W7GSM={pG{!S_T4D5`0W zXXf2e1mi0q>cP^T(S&G%@8^lJ_-mg&%M6gq{0U=~>+*EJ*)3kmft{Wtq zx$?_a!0}&gutt+|d-97dFpp0aE942b58`^j>r9VM|Z>W0_b@M>%LaFe^A^&bh087 z5C;(pcj(k}2XD|xOU5~*`fn~_#vL_NVmjQNM??IaVI3cHj_myfW-J#qKTZax45a}{ z#2a;66eXs0Rn|FSZuEmbq4f`S?O}hfQdKF1Uc&E^9l2H!sU0iYx9fcKP-0zxba-Kc z#~0|uZ+NU`zp#|@KU%{7=}L_p*VS>QjXL9(QwVls_*J2WlPAjqK}F3+6Nt^fPWMfW z=3lEDlVd1s6bRG(JDhpfq0SOmDsn6T-L2I_`Qv_L&MLxd%HudgStsAJh_BH#o4Y2R z(Oekw6*Z~~Q}s*Y;F$m6MXZBelb;&#wVxA+q}B6}Ol08I9Qid@h`c&uL}0y&ayYzU zYHjZCo4(hPG?`U~U}MmJ9%kAB{X>M3k0MBS4ije1U(SXmMC>pisv2rZJ%e*#aSi0et4B63S4?Fx{UjZBs6#>>H#&*}OJ$8$^%%PlBHF7o;<=v8MtLzIM$ z=fm6~&`6sevGWu5R5*lP7?=`*r1S?Ne&g#y3N^gs9LMcLn$yB<7R&(}riPEiy$B4P z|GQ_UTyu6E1AFMi zo$Q2Dd^`GTw+`9-DC9(gL=9D48~WgIF}M+|>ig^&nQJPOl*69s&+wu;V1BGR>XJe>Mr)T5O>E5mHiG2Lhoc&M|{)QFl9TM znq-u%>5ioi-)wtipWv#cj&xkvh+> z)FeSb<>d5?OWsZ-xJHbS#{^~qJIoj6;(YWOX6QlmmNZpA=$HvhD{ca*vSVEx1?Zy3 z**5~KR=KwdSiWP#4f6*>=7szV)UfdkcS`Gu*Cc@!RRxZDTe0$p%ND z!o9cgx7gE#+g0?bdH(MqZD9Npax|o#U}EEP<56EbXREI zyJ&F|hg7}nP^4XBh5=gTB<;j1fcJ~S*c_~&>m!p>J|>BnpM#PsUb=-*M4yJ}NuwY3 z)BRVL);gMXpTvn_BP)#0#17xKy!qT}7XIVE;`QJwYmMTH=wlTcfPm`SYy0t?U=n-E zeq^^1i7q;eQMcA9I_Ra3Y~6{AhHW;cnC+NEbVgAZN7w!09Cz{%bYc8IydNqH*S4JF zCmB1G_~$)qx4IG%spVP!7s)a@Kc(dD*nS|0?10O$;jcOTe_QIRS?t#>KXEtbAgjyz z1(F&S834F`FL`a?RV2v&3>kH*+V=y38*MFev8 z-keYH`z2s6ctmrMsGf#WW+yriXG`0;Af>k-0)(L>-}+FFR5#sb7cK|_tQo%CMZ2`o zd^UdJ_mk+eC}7lgvXPgswfK2y+?sH+!AaMJVvipUs6&}v=a0n$8J85MSKA>7HE$-I z3vB04*WatX#GrPTIqYTa>878vM)M)z;iuJB zRZ!%X9gS5E_SE`^?R8I!Qn5K4=V3nVV+iJH$eB)MCPg++n&1n#%+s|$g6!BlA37jg zZ#NnHgD#?~8oO%ti83grn{M-WJI8$7@)+8$qT~-+wL_~H2_?ZVDX)e0rvKIoKZ_{o zt(+r?X(+o7I0FNt>UPh(;wH1#8d+_p`{SeuJyC6{dX7fbWBw^mmAZ=7`lGxC{nc*( znC|NeGPSHG%b&;NsiVVIA58vPdsVy*KLP}Ip*P)&$Q$tW?=1c=IT_Cl2R;AckK7>R zBMc|G;jofc2G?ip>lFajH=y|6nyGk$zP0X! z`8;7TI6A3o44fUwAyP^I$zaC=zJADi{sV-t`vbv;^BwY3WHuY z5)@%I5fN_SF37~Q1~oAO65wS!tlYZJOBbMQpWN~-Dkee$bTDtdQ~iBhL)s<92JyWX z{Y`1JXsvPs^xl($itk_X0;V<_v4t0QVOpfRnC^Z@|jEQ^DwDmT-x{w_mpS% zZyL7#KaIW#(i^8PiC5N11_hDa9=){lQn|H=#I!(mLU z#B@f`d+Y`3tpOo~@h5EF>y+VBsq7LJkxb(H-q?ctBq5J?@#eh(wLpy6i&&?JIac8z z@)ctJOP)F=dnSn;a~Yow{D93iUZ_z95;X5EXL;78F_9NF3NQ|JyAKC2VoOgJZj4(0 zW7osBWDaTjha1# z!C_1s?-R<4w>3Y_g762fDUU3fG9PY}9SHB}Q9l$$?i?;YB3mJt0p)uD5g z3mQ|bvJcy!jQyrX#6lsvWN&!)Wi@V9NUZu(olWE4^lDy@jmgqiQPa!5&yE)Z$Avbc zB+|5wba#eQZ43_pr{y>e&IvN)z*WM!+Y^gZ+=83np^a$r^_0NR?USb%fMagl|v+R3YJtW=X zPa8$40erZ6DPLtEhk>hC;e#(LdSL8H_R8pf^yphZk;p@3?|;jAyI8Owhtu0ggSey0 zc}ve}g-F>zOiCXvpWVr`jId8nbw?O>n{C1GGg9623A9WhNgqV;Ew~FKeJeF-5dsv& zzhgzE?P{WeVIA+ekn3{wg&nrZq6T71V?YQUdpP?KY9l3%&6Fn(;pg5`ptioDzIgWjWnQOerPeDDy~rjdVB;+dfQwqZ-NtG zP^DEZ3vc*g9|9e%lC0WE!Y_4#KM<2eN6_2?f5cG6pTu%-IbCd(thXJRe(f4vEi}dF zOlWJKFh@9rMs3iIEhw_pqQ6G9f#=GpkAF&iJ5uu4f3eTJUX_zFZ{*#cF=-yc`s;$ug$3;lsJ^n&UU9S;#;b(k$d7#x4erDu3*)FIM_2qZ0FflxxBI$nBUl7PE} z^ACYGfKNkP&X~@fJ39+L@1Lpf$)e5LA2)V^9zxGcU!0S&^D4@X`Rm=srXowywgDYb z%bL7L)8I<@`#X*zbyJ#Q%e3;>xGHoqzh`}aR$&|J8CLy16dQM4`ya(dqhiy{>o*=9 zqlm-8edQ&)2r7uUly?RT3A3zZroO+PS2H|2Yj2x4k<1KzVw2hlEB@NF(yhdIgoCKG z{1^@V2O2YOB~n^Ps#Dp^EjePmDY|vn(00bRID=$@Z|e>2KwKhr__%1@Pl%Mhn`j>;zVB7;oZM=KIyDGQ)P&}e19eTe`CQK{#YP9rC_t`NTh2wvrtqGc&oV+}Z^gJ@) z4x_90;PQ*E(LddOFmWB&ikbVyy#+he2Z^4je@w$$tQCmgEZi@2d+FfU)c4z|>cPiJ z&NrXcTM}smqF^@;gtdwkrB#k!IKwO;+~}uQ&15x z2|{JWX0foj_O-oi!$EaMF=5^hw>O?EaXHG*j_}Q0#kwUdMr(k?vEZyXN!!ej9{;dE zJA~G!6<^;y0VML#IwT4Wi0Hlk`d}{Mm#6b1R8EClnRiB+_Klb?W2wkTq2D;dl%x_v z3d9aye)Y00Ezx&&skWXTIeOjfj8xe14|(0psGB_Nk$m&KOzv9}f3xT5{$BkrVr!OpJ&5^dd#V~yOt_SZ^V6tVN}KP}?*KU|8;D0>r1mvc^YkZi zciTXf+_!YAj*XVjK^A}XuW64Z6Si`&ee8>#tp7f_CV186o)sN@752~9?X_=lF0t2k zLmzp|3LY5pPk3BbK%5^xkoi#$c^P%$bd0FX%GF%q^z2o}Zl9&zaDH+xy<*36p!=GL z?Wx?QX({HrNC)ud&t|?F%D=teew8gWs{x+ndzx zKBZy37$9JD>O}Xn=KKfO9}`Ba-?O-|*RpJ%Wo|3j+F-+b&q|eT@czpQ-E)6m^Zx^*N##NS diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.slow_1200mv_0c.ddb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tiscmp.slow_1200mv_0c.ddb deleted file mode 100644 index e5c7161ac20a5cbfcc0b33615ef97a50cd9a8a28..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 111658 zcmZ6wb9g4r6E2)=%uP1dCfRJPjq}8|ZQHhOKCx}vwr$(CPTt=+e|*<>%}h^qPhUMX z)z$Z1)q@HG0#X47`dxy6n13IP-*+WLJ9|@WD+2m|G;}m{1bmLB76t??^#2Ix=xD!B z`hVY|ycmImse>Vbpn<8wci&k5U;i6|zxw|URR{II>i-Ig`v2SJ0(!0q<$*h}kfkU= z%43p)S&dMb_uvc44_}MGJaKQ<3YxY_ha_;!4%M@}#jADM6TwCFKR zM}QX7*4x#2TUrW>yVi+Rt>M)>W1G9RR@&3%JoRY-Fg4?L9T>Y<(7r8^N~C~$5{H)X zsDz9;Xsyv0&x{c6`d%hGWnrMpn= ze=wGU@v=D?V>10&A?mIoxw>i>T`cM@EZL&Im|sEtFBCB7d}+ zzEwQ(lAM|zT~=a$@k*mL7nioU&r$u~sKWE*S6_a1s_P@XYBV3UMkmpx0EUaMl6p-6^rTCbc;?r-%_^R%0KAYAA~@w!%kGoEgrXbA=gwc^|7e4`*+gd3DllKzZ$~ z#fAjwHdV>hJ-n7XSGFYF)v5N^$({KmQ+f1JJYw!AlMUH8(h!TIRkp2fqv7DP*G-PU z(1(cz)f6t=H5fNYAIpcbbqQUPot(8V>dL-EigCQv+=TS4L?00%QV%hlNl>^imYJ2$ zb20(0PF<#t+HEB##{i!Xd#8d!lU@>t4kj&~bxqCpXhy#{rVjcc zo3w=-g-5RB%IJ@p{OnSkc2d**@wnql(MCYHcV09rZ~$u6-))nPAuTb;}V z9l+BmV%D?-498f}SEaJAl^ni^6H@i8e0z|;%OrkS0$Mgd(jgIY4K+vLs{9$&%;w@1 zUka`oHRE}}Nitg8rCGmDmfU)8>o#vOKL{4kWz1f{(|>!3u-2_tHN1kPZ}i*2q-xZz zXN)y!gtbarR{ZXeiB0$bSKF_vT3C&qGm8wm%tsw^NdOzmsDrJoY<5t0@=;Vec)v|( z*q8ct$)Pf{8Lx}sJ^b>8?EXpk1BRripe;-lfc?o6lPNhYSg2{=2U^l6KEQ!_$A;!Z z_ef2PY{tn4b-cc4>Asgqd8u|EHjbJ%`K~kC{G%ggyukCTDp6_cGH1TrkA1F_pPhN- z?kG#EYM><)J5gf%MST@{Eb;BsRE=Fzus6iCX*oL)W74{Ez;!mf!Qx@4%6!2Ds>ph; zwMNO)x}u?~b~r>WQR6u?wVttU^0D`Dex#OWfx(>V@j$J>m{zl(Sc~o=uRtx)!m}We zIJ$^fCV@zs?x^O7@}Yq2Xm&1oUM)&R=3Ak$G4mDIa4_?k&T3QYRs1id@JHd) zRf9L@lZ#U3VyzmcOU>*=CT6$lL~o3YGV}|2Ch8Xhmv9QjYc@643dXaElXP9#0u$?| zRWR)i4+XE!wu-rz!8SEc2xt4q#SnRtUGRv3N-*Qh$Z3Kdcx|wFQ=}=O&zG|lJ`h)=&y1Z9-i=2Z;g9TM zr^m8z{-`5p98^{Vj74&1_^x2s7xJh=(5sd~porg&M?!zWh}*qh3oeJ5V#rZoVWvEu z;K^zie#n$zSgvMR)m}*0mjpzZUU8z;s~7yvcU=}{zPQe_Xc2gWTZA^YkM>djS1}f+ zl>kVJssqSdaG2VA-MiQvHvcguMG+|Uw8mQVXN-1)92n4UFu%7ia9dMrc_K0KieVHv ze4q7{eF?m3>FiIzpoa-ZTQbk8-leiIHp4V9i%;IfifulH5la`KdU8f>;m+=qaK55& ziX5KAUn@BJTNa|AaL`|`ZPTqNb{;NnQlJ`%V3xz^rikKr@izpGxI(1|d|(^~>pstk z#Y5uQo}9eL;+I<8;EtS`|44ck@m9=w$qsTTl_;prFS7=`icBu+BS>lLg4#je6816T zr;efeZ5~;Zf|mrf!BTDvW3*glNvvQ;N?mA=LLu-xJ)5X_O^%Nd@qIGBXJDw$a(M=LBtbO?#9d?Bf0V0- zpUom|^Nr>ELMNt_f5J{y<4-B9M~xxdA&O?(u@I+Yh0Ju!2(<3IFi(p{s5)9bG}R7v zNj2pSZni_#KgwC0@75&* zCLxDg2sU9M_sq(5B%iD=(>j#`{7h!-XT$OGVoq}L!Bc|VSKzTpN@jjch51UnBh1V~ zSki+ChQvvVbE%peMUzgD&wlSs**YJ;hmQ6XkW-k^0gh7SiLnQn#WLJl;q}Uu9q8q~ z6*D``z*6KY-U2bNP`;FE{4iU-^^-P|+bLnT%Sy9IE{J@1>r%a={_V3*tdGT`r zLJaHp_ey6=z4jej0rymkhUpz)8L6R4Q1S|F^IFOJpC`TMcBhRN#39Zm+xJmJs3DXq zZ1krzeX7vX#T|?@OSx6U^~!?iOl&2Js#Z%u>G_?EIb~XEHYXL+`?!gMgC^Uv>Zzi# zM6n}8a!rR5v4Z1vUKl)*q~fjj50+4tw=v$EvyR1ZXLbDuBJ1n;E{ct&#lZrcBy5$` z+ui9fj%ByUDIp*tyJOp|*ixl>>sPNNr6Fd~rmNc;XqTJAypL@kGu}UwPHNMof_1)N z2O2>PDT=0d|9g;)k+pKw*SWy6q)QJjnhS?%o#3P6z$Hq~`U#~1+D?r&#;+9|#nJ3B z-lB4?*QaaU0#|fvnu?lM^`{Fhd|9^ZzzealcdL!rt1U)1z9gZytH63hmMA0!{eN|^>@B|O$dr;ml~S?r<;?bY2!&;m|j~kV;qMr1u_ImrXfcB?agTrO4cp^!00>y3im{$@lr%p=88^W`WFEQl3yY)=~={|2# zFt>(YH~ZHGgU;xcH$Z1tHe7L(=V@7rU%c#hqo8SKta(DFr;dLB=`OaXL>DnLl_k5= z+w5v|1Msu<%LvW&x-Sw3o`Uo9^0lDgH?E9XXO$U7|EysC9{=7FwdbsJ!L0jHrEHFW zyCN5^?CVh4T7p!w-Nx()eu|1;6xrG{cUr&og|iLES)ATH?WgWUyExJsgqwHa zjv2|z&5Z5$7YJ)WAusOc`n&i+?`*KCO;6#$)6c%WqfRYat=l3`N{5)2`yV(7j{x1^ zSzKpCay&BeXB>uVhsE7DYJ43&~Sc z34ZbP$owHzg#n<>@V77D4$k71o5EP+LBmQl&1+m8LPhxi-Hqp;{dsZ0(J4B{Q|&q* z3s~I$R&$(1|FBo-@^DLpDziVq9A2oTn z-Bn+HY~fX-E$s8WUon$A-!b8+=@X$u)oR5dnPTffWx3FPvt$$}uAP|V3fG&#XyO^e zdyDPUXcIJ)qaSW|t*so1`)j!O#xyOw#%-4E0_(R35gXc zj7-$3`{|V%(6hw7jw23g^0%9&pd&k|ER3y>dBYooqb#f}=Vw7t)in+`KBW&YHBPZp zmM>}2LFX+`f%m!(Z-K&QWg+1| z_}*+m{lv^XN_-s%wyM+d7{Uu5GLA?gjeWY|5bBHnd^~%Ot5F~DetYY=(c`uP0;#!$ z8`~GG=1{iRWN;`i!ZMXhK+Aq(d$+u;+&mgz;+?5S&?I@SW@6D&{$%+y?|cq=E_+I0VL9#S7P9vJ$pq3+zSm;c2!50dEWG(K=sQ1(TaY1whNH^kje zO#b%9U)qka!m$6uR1&!%!I5^=OwLu~;O23LBERctovgA0b462q=W6@@X?PPgl!fK( zmRPgmNof?V%$}~hd%&%ZXkqp8e0unSp-qI9-=B8-L!J&=i<9ZI*4)ME+ywQ>%Y>;d9ABI<>|~tmU+ARdL#I?PWc<~ z#s;%ty)^RJ*F(NhQ4qO%mdQ=PRr@vle4OzlalF~F&>z4t`|MIWbGPPCGWfwg?y%m4VA`KXP*;TLs*6)Z$``b?(cmJ?mU38x%$UV(pOX+0XLeiGClvlep3Kfps#&L5ldgEt~_Y2?60ig9D zSJ(L!b{Rs1aOJIc2R4?z>~Rc{5o(venS;I|L@-ej|TB`hCAwnfsIXVoab*Qqas za^tkgdu-}8->F%x{D`*@TSH)H>@=FH+ryw;Vei~lVu*Tho0^_*UzmO#ihc}P@-VJ& z`A8BbcJROYXBf1~m8ec5(o~P}@!apyX73y#9QiKkG1itw>Oi`#zqh({kT{1y$MF-7 ztBN$N_!mo(GIR{xv8%oC3`2oB8$t=v`?nq;GA7zeVuf$dfB(&Q5vd@MWsEgKfk0| zMGsXv3cWAXuzUv-P1|_!yHhlsbep^Wb9--BZEWOc!cT*?!L3`i?)}1AHfHa)ym`AA zNsN!UcM4AIY;nw<(`y-zm-#LQRh5xfYVQ#)eU6pI!~83R?&~PgUB?5@+W1@O+>e$G zh-B(sNia7!bsE3F%vNk~p3f$prM3;YYHm=L2Hfx8)Zcs4l?SOQIg_vZQ&H@vl?OGk zxIKnCZmO$jLyOAYf{CoNyq<=dCT`6<_F9|=uMpgs$=@!(jDG*RodGp4ALmEMakg7` zo*LWLh5L$ZEQ@tWprHQcxxZ1m+7uUeje*efq$!yxH`jr+q7#!O-?qOQvDiz(- z`q}F8B~LnN?!CQwa6F|HeRWc&-hTBkapSGDHd)d~Kfk4AYiQnnOTM?uUGeE&WU4Z@h5QQM<0s(QZP%B#MDKpOjN}p6|V{-r-NboebL- zhD$Z4aUxp3&YM3Ml1$JXe|6qx$e7q9XC)Ij^4Hpa)UdM%r zE??Yo_*;x*GlmT;#DTs*_0c|r4VGZ3kSP_TF_-Ptz1`^F8~<|NZh!eR9l00vceTBa zyAV=72o*JH?GsKAq!0*Nk16NKUU=} zMk=f7zdRQwErqNNK1rTVd;5`7X1$cTytmX}rn&2InA8Qv*XFT|Bqk~TP``>foplaG zNsA5*W} z89-qn8{)=wcgr2aM~rP?jp5n;Y0AMf~^1{1(M@tCVq&dltke% z9l40V^<358st?=2g#lw^aZ@8n-Kj*Eq0v}m$`D~JJF{qsOuPwCF@%&`4~wFWK#|J; z!|gAlC?T6fm+SyFS>ME3KGt0oUb#floTXS267NU#$J?XP-p}Rm$)R?~V|rHax|d*< zT@I64EvTdLL9I9FNvQKDu^-&l(RVDpS8(XB*W~G64A%G7=|xS9#)5?F70kY2Erz$# zln*?i@-r=j-n<8-alyViGCP;x32cdsSo8HQq*J9SMe88EnWO`0w4DC9=z|QZl*m6= z3FFckp(c{tWJyX4*>RjYeyx|l_zZH(RhF8CKF8(0bpfem+)k7ll@QEeF(uE{h@JgB zc=WpFp#S|-9@=Rhf@(GpCps=Qq~uDg7jSC;tAZm!Dl_yyVsa>C;j>X1LljLaEQAMD zr*6CC@4K^S`zS{4U#Kl~FdxSJ;j2mgM&Jp8syhz^cW(+SKAM`^GI>Z4a?9^xQOtMa9i0kw_-8aYF0ds9)n62AZ zD2o+LX^*`+%HZA5%gLL@3TKgO*87KP2wNs?JD!VM4gy(3L%2@QolyAoPFaSH*!KIK zCx%%FgGdH4cRpNYLuHA(icmnv`xUo5NtO+dj~$|=%etdZ;i#31YtNq?NF5?~Gf=pj zMe`gsO&d;U{OcJ36+>a#q^g4ED-d0haMQMYbKzvtg&H~eXMc6sef4ognrY;P+Wl;t zM_WRYLF9yjLu}^!ht*(!pxu=t>{ny^A`&7NPMN4I;Hn5kx zh=@)5y_yuEGWsWnPi8q?H*^GuOaKoBmh&5gCzDhmgw?<-osMvH-zO}LuZ`Ll?2I9$ za;6ax5gAzmp$3jmcc^plhh&K0aosLUAkujvl%@&`608-ty#KqO;|ObG@;LgS8~h`{ zhdOS~6SVs*e_Nv*v6qp;mP*wb_Kl zIuke_e6O0iEv&krGon;Koyi#BFc8pQCtVVrVG)^srTbM-_!^|u-qn%Q$x_Bs{iRF$ zQJqlBnO2KN{i7Ay1UC9lc05<$n_T)B(D?B8E7~c^v}aE6@D{(mDpYB1@FSbI2OUVs zaZV&&Y0rYDd=!)kTsE``E!7UZyA*x0SGXu#Og}IW+9Y{E3RgprC!SaU>7{P+#A8}AgJZXNIZt{HLTn$xcoMr9|jk z1YI8Z)t)L*7KNlTwiJiaa;r*TK%Ej9rWoK@GugFtvmutg1E=1EHk`8=NN%h&cQUk6 zb{$I1Jx9tcHv~)%6sSh%hTuw(0Lag*e?q5bum)e9=IB5h?vSB^UxDR*OT50ecP67l za)@fK-Nh~Sj zQBvmiqY0HhmGSegb8DFcmN(hP82LY(yce;?aDWpjU>Ncl+k1?z;29aP!<1yt0<-6U zdR!jX-dT=iU3EXn8wY4)AWyPf*>$Q6VXLK4$G;k6wq@upctRvFG!L5KEOiKXaFj{t ze-fi4@-*^BGkg|%t0^D-Kl=F@%fN1W2>!ab2nGA)04ZUmfBcnf39^;pi$)+*ZqA4Q z1p>(TflB8~6X%wn`Hr4| zYN2_s9ARnZ-!=apGzc7W6*!vla^7kEMu?rO?7~K0zsd$=zsv7ybqzX&30kcT!*qjv zqMGIWcR57QohvdkxqJ|3H+6A!G^)a?@F@qW96v%nESH=SQ)*whn? zc$Af7a1=L{&;iHq74TkUpI8pX;a4rc^vLIOjd?xfU8M{Ff0-@_x9VbFBlt_QB6(AW z*i zn5g7~U{mzTiNKZVAM6QcF6WdQ!`s|=&iF9iJP$BSi)<>Q=ShFcZE=XW2gVR|VKNLu zr-#w?-ShV}A`m&25A8pnN(28awp3#>G#_0hFVwj`k`wA16JqE?=B|q4wW-bt>JJ9q zqXu!~Mi2@LvfswO?(?3eL7BE%*2>JO|*P5mD-4);cvSuNLB&x~mL&~nv7`7WY{UKt(7V;IXBc)O`8u;Q{S$zFnsB+~PX_-8i^b>@t(M>KjdBj+RhD! zUMILEH#n!(^sok1&J1S+Ts<<#9Y^2+a5kg8pdyXL`=k`{NBL4C10*B=AMret1DoK` zaxH6TQ?%&@G<|Cb&5S-IDtgDG&-qHei`;*AL~Ug0r@~IU4ck%0mTL2!Fr&SnjkIXd zq<1H_IrgXmKONVC3GC4d-M)l;`^!U?Q@Ol0c3vsNsyNm&PAgk!+9L6{OHc^&{#@L7ac zmP_sk5R0vOC>;f|_K#Q6GC;>O$FQ;+a#v>5Mj=iG>V5dUpAB6e7rdv`M%ReRuhxk0 z!mS7k+3#!wSe2tu0;@?%4hMLC)- zMx#bhl*H8~L6VRf&l?g3qMPd}uR|~qjpA;6_ zW6f!Od8N~_qtJ_>+NmdwiZR`IW2T}1hF)3u{^bY;c$WVvB_VVw*&%dI%0ZH0m_$U| zL?t46r9*p*iMty7W zc0+I>w$=S~?(g|!%4#S>T9SsVMWUOMwh;m?1U(oR8G}X71c(85me_a8%PtfC>7*#* zNCU5w4#zGP>IUE)K)d7^o0NZqH>7Vsg#fWJw~I(u?OwzvKljt=D;M2?Zc>GSW4r2} zls78fO4jAE@XL!gE%5k{v-H_>F%C9OgCT1XsA}dpB%(! zA~7v1fjTewqsJ=!-1ZMF=;M1f#>Zub5%7CyjDJQ*7B(1;pji#U^I$=V z^|paD$~AVtsnEThjaTMu^!jDAep`zy*BDp)>u|Zyg222TbD2SvNG!16n*MKeDMIT0 z&PF>;qfClGHqOL7B@sA33QiLk`27~XIMfU?S&A~)jCfYz~=U!=O$-$Q!Vs!Do?9wEZ+qsbXMhM-f* zGagNyxe+X~1OsB?$tOUN^(geBzGb{~5_#`*3}il%3W=W=I&f-`&z_6Z2wxDjv9EQ)V1O6MD=n!1m+><}3B?xKQs##Q!JoYG(11)XfRk z%!~TkLh2&v@t)HShlb3HM5-^1oVEvaKVI{G#o#ZC_Fy!hR((tC)Bhs z=qH8t^^g>f@z)MMRD;Y2;R}Ha0>N-ful3qnk?n*eXC*O!!hvZxj)y9-{+*vIYuqpJ zky()iwrj^e`oW(muu~2U7vlV2GP4VJ-vSmPIPnjfd&*m0@SO5vZ92tGmCzR^at1pZIqJA1)76#a{ac=AP+g?Llqx_o zws-LRqXHr^e(WD~*qi-j!2+SpsB=nVknu8=DpQau_5eSsT8!Q9mM*b`Axk5Vn92wT zIPRd@e+9(50kYt0{pVcmR%p7%MFZ;a+<7CvN#i5O@KQ2myYwfnpCl{aC( z=W{eUZ|q2^*8|+5fj0ZHU(}#W#NZGD9i|PJoYAzSKeTb*Sfy4c0&QBasi%Rz7_1Ul z0Rjcj9w5MOdRZgcbbnovs1CxQ@!XHeHIN@%HrmMd(6@&C_?s4vnea>Q(nTU^@VyE0 z^nI;@#_P>$i#`d7&cn7#w$ z1F+5hi+vbzCuyx9jTvyJ*~J^y9N?P)#7f%GrQA8)-FjDTgT0nVev_)7jlK*SyNr?T z=K|cZjc!~=XSeoc1;Td~7)|{QU#8XD!Z~JjDLnDWXJ55JeiJ;GeyW+OKsG+g^wXTa z@$~)kIN~*sK7{zEGt=wUa}oOJy8*fxOIb8hJtk~2+qS$-AD7lZLC=qtey!TZv1V${ zaH2Fs9C2tAz(CX1rzChDkKbxKNz~~aKuQ~nt>6=jMCJbk-SW@HJLa2C$mfsPCo+sz zTu1q^YI&x6QW8hBLB^vX&Ka{AkPqy|PvZk& zMC$V#bs))j=A7~c-TZj(9*Wg_jmUl-ev#7vL>YSLVfH&L89TFSHuQy)jKlHMe|*yf zG9n64%vJ^GPoVrTK{cguBt^HGQe zl(J7$p1wR^BAuox{(=pgHpu9Ae1XU1Qvv)~8I0)ZvE?XTrTdy}N5x&K_R;Sj_oJy+ z{E%;a1!SwW;6ySE`o+on7cv)1T`?L@`e6~W!Ugf=vPGucFIbI0lbSMqG!YSJY zg}&2v1|Sk94|I^+4s~rrR%@{(Zv?}iwT)_&Atzpcw+z1KWUIcv8@f)$$AQy$CtCzW zp+7wEp-c23=Ja;ehX1nEAp3DrVnDtb(!k3>66t_v1O0M(&e>?n?tn6bCleqlnBR#f z6vVe_xILyYaRcO+5p%Qcsc{!6ReE4WOHKPrCjw z7!IAR9z`__<{x;J9;U`lk3iJfh~Pldzk8|sO$JtsKzk)I19harX^dvHhVKivhI>9J zw-=qGA#l?l)yH#n<4oDYY2HCGSWQ!3`%;`&F8CQakJrCHdV6{!Tw>rrw+pUcOg+sM9-6g2AM72%99KIZ2&@W`SP`pnf(K)Mc z(AA65JeW!m6GBcJ+(^MQwE{DoO#8-3y*465wb8Gkgr2lWF1bTUBbE>wH~Ka=$I16( zMFFL>1S>}|$1hphp{#-DsdxkeVXi35;VO( zdc1T;dCzQhryn(zA%M;CDdZ@DDfDn+wNlRN#h+y7f@*mfJimFdkX^wH`;+61BxH+? zrtH-|I+K61c0WS58o!_z-B<>cO7JWf?CTrq}8Kf(OV9L6Zu#TK(b z(qNZ1u>)me_aLXNI}+BlS(UtArDAA9tR6qtkX4Z}QVrSG&0C^^rkUVHrs5I7b2O$Xv=^_AHDvx{q<*%QX#s-*`mLm8rvG7i$$A*F=^XOKwc zJ43z`+Ufx#LMU~c|B?>Tj&y0VRoT?55`whcvPb9~?jk-RJ(#ug6z3p%c7K}ldn2XA zo*mrEQL5uokw*T!7}>Ul<;GFGmXrw!`v_{4c%0=$6#_l*fnzM(HH(045mO?ED6r7W zT4dz*SnN8aN?M20CWQ}N9k?R=A3pN_m%q^)jr`pj4~2qv*(@i1!K&90bEqr#IIg8` zFmo3HJe=Zrg$FyE$jq^iaHlUeA)daW^1R^0N)RoTMUF>lNfQ- zrPPi4Q~4|2APS+e2UZ=ev9zCmCP_h}2Sf0UY!zyVkB+uPCD%^2K04P^!7m4so1$<# zJf+DKJgd%EwY3za{bm_HjtI;rH+xM|uZkOYCj~-tzj)l3qg9_h2MMuC>5_G)m3=&u zcAK84hRi1q+eDxSIdmBZo*z%pXGci) z=-;sE>3zfiT?}ya5Ig7xZqyq`B$sxfzohG^PuluvB58olZwbs? zqT-P*L-d5|eU#OF!*^lt)_0OXvuIxpUZBTmd>(^)k$u+Ngyklrz!ndhT;z$UPa*3w zHA8>~F^K4Joi4L&4;8kYIPc0p_fzbjo6i$c0HEYN=HNXL0J z!`;8!3$Z#Mr3u8wOlJ*glh2@Dj^=%0(dnx}0V8TQuxn)h@zM_Cf$pJc@)bbP+}gLL z7gR5#|D{iMN@#FD-7*{@_mi|T41mVRXx}-9*Z51{g0864D+qd%x3+CwFM`-#AXIgh zKRO(9En@(e?LiM?!noU0?TL;dzH5LZCs`gQe%wU9`!bmnm44Q%)@?MsN%HKo^4Pvi z%5Jw2_ObIz7lZZb@!aqIljgBx9qUiI$G@(_*ZK0%j5RD}mbWu@Rt4IijPWBr2 z7x6qu-k3d$Yj1S1w9JF+ZnV0uX!3K^XhBhbK7y=o1-6lrjF}m!@SA6$=;^ufw5mzW zYeZ{)^gmi${|1Mxk;T%WwAbYY)Tu+SQ9#EBl0)V7{4eSK-X`b}<@#@*V0a#s-&8hS z$R%EK1EBr4LoSiDWe>(J7S7+Ur8+wu#$qj*tKieCqBGG)4Yea~r8R4@lR&w7>h|Vu zsV~HF?lr8Z(N|#a_-9$R#01NRO33}mZE{MBp+V&xwu(&oIQx7yzu;D{ri!@IA8f;~3qeoPSq4nne(#T>5Ia>bxU&3c2RwLK=a4)s`qMp9so<1pk!-?q7R%86>J&TL|>B}aclz}SaFC`<5xf%G(KnzWthJ`xsUIc$Ov@!h5=xhqh-+)I!Y#5~NrS8=H5Hfrji`$y_Gg)?#QIInZ()j5ug#@_*EWZL6 z?v)iGbN^-l9$PpP)+PM7n(OG;jJ`2*1xLMeqckdr&m%N$j1`<;mLt1DgCpfJUD4vy1Is@&?^+SJC5Qa$bt`mDT<=Khr_aH8wJ#zgbj1X zZIYT2vvmcswUKVO{X+zTL$$}uinR#alIaC;92SlzpCGD-7Gv{{HppGd$`YH+>@?MZ4QzbznhYVx2e&tL z&-jAS)(>kjha&Olm8}KG_oGuTs}qiC!)@0cW$2>X9<#OQ{?ddyMxO=$q0w|lwOy$& zr!D$foi%E^J4<8pxNz~qc+vm^l|BxsN%B`c+GbQ=b;rL9hApQ-DFP(=tt6v>NqZg) z&EsqZU8uW)YEw%n*rDAr>3@E26WQZOByD-w$8&+)ex7XAZnYJ0YK6g-r9%;9{N+Qk zBTA!8PYW01z5ii4*0PVh@&s)P_hev1KQ@Dw!on7FdUHL3g7NtBj`A?l&QU~cW5wyy z@&7un@i-0^;Oz!RtlFBcnGJ@NzM9V{(&-1g5au~)tTv8b%yWD)-`lz?%d=e99j2m=$2(7GX*9xs9P`M)o2e7Z(*QO z+ZdVqa`x@LtlIoKI_X&%s)wUzNrlB~Oo=<|RqFjKr~wnt`qX>-$tPQR!t6z^~dA(p{)`5?xnAg%;2w4ljLrH zrRMhXC=HojlhgS(W>_Gc-UnAy?%nZ0QpHqxGEOTomsQ>hf1~6uix+Amg$0_&di|tV zQ%KpL%CKg+$NN4k^YBMH`=+1ZLO2H^7n|ZGTeE2N1Y#Q<`=a<(u1}=Y-MSpF!xul* z)?!35Qgzb6$QrZ^HYuQySQfxmJ{T%e(sul-z9hsL2x8R&%=Gwy6ko@u>y^@rG+81K zMOM9qVe`5H`uz2u8Bn3~Cz%cfPS?*S9e+I2h7a@R;eI2oxaCu7mIzuomPBM&a;f1{ z-vcJ+gwIgGCs}>%tEP=VK0CRdI(AR<6n^9WI#5CD@*H*_!y=2a965jqj()yas`E+} z_|4y-^$4NtFY=-7XNeeOXB+@VybKc~@^K$8UNGO5$-VlpMv&95AbDz>DOlf`QVfr7 z-eND-qae0}BloNr=<}m1W|hpGlO4}8MDD8Kw;t|oNB2vjjZ73cSL`bmUJn!&QbBPp zVw4>FR6MGJ%^#+loZ54$NeDK{_uC z*ZoyufMQlEJGlrVq;Ui7yBnR9;w#lw3#-}}0V7AW4bQh07k80AU02Mh#blk2WE#%C z6_Jl9&`7CUjCTLlS@CD?JU&E!Qo)1#Z>fB*s}ZxMa2KV>`CP34N~Z2RK|QUZtDsOz z{E8zC4=zGR9N}9SUYgb6qSXi4rP5fo^|QQ$)wOW4JzaIT{HTZWoCg8j8jcUV`bI#o zneEUlmz4D>3IfSc-9Cfk*imdj1FiTgWpomMZT_Stl&dM7KEH@bUim|lbxZ6tYRCe& zn{Dw!j1I0JXoVs-OAWqHBo+QhnT|jOsbKTofV`o5sj>p0 zRUNKdW0n5#Y1-i@;vG z_sSnQ^9lE`N*OqC3l_xzU{l*3`+^B_d2)bChj+do35(*>GeKkzjih7HwYK$xJn+zV z-;VEjDT^Ev7nz3|q=`{GM+Kv12ZF!*SExabP0jxoYpk2}cu25JeRFyj`p1>!*4s=i zQ{)75!s5`h$9fQ%BRWtFC7+T>P2ico_qN;qCsRM;7)3Q8*1b^l)Qz^?TcHXrumNYU5dHI!QXtO;V0@7p^bZ`<=w z5o)7oS09+QsQ);&f2#qaOWVYO?S2RNfFG@22GyM!)N{g$~p~Wr`6+^hvkXY*Xa8Og*_x~aRab{lB1yI(Mc%<5)L}qEmdAUy}(ODrQ;$W#t%3 zk2J=i@ldtUOhy0jWtwCWC&~-8!eusUCY=pC&LR^o+|q;R@}2TB7Ykm4mv-yDL?Y&E zFY#T7Eb=RV5y|Eal9Xq#_5_LH9X^QE4-u)&QEh7Qoh5ZZN25|NcO-W?cr9@;GJ7uV zt57kXX=UBlRFpnw58po05PLqjw>i_k^-XPJTkHv%IeojT_|)OuYts^~1F_Vbf+v0n z-5?-m0%hD-yj8V zMM6?IcJKWKzeOqXXo`j4-%*R|aJV91f(aDxpr|F(fZuzzN~am%R^Nz`S6}FZH5^Hf zI2MNLR0m=ZA~&DH+L5++2SZ_o{u{AzzdLW#coGO()VTkem03>qg5D`vz~q<@^RsX^ z6+K@{n)hrcAPs;yIaa8kcoJTSqys8!Zl?7c{}>GRum4v7EY4kwe8K~8=}q{Q=^MR*^v0WK)Y-`&B3LFz67m<*TxN+J zMN91!@f$h#O^CN;XQoQ{>NC-DQg$0=0CIP(L~IrKChm&^J)Nw7>l`Y$^HN(`?P0j~ zAhP1Yvo<(OMK~!BLHz?Wte?);D}NScH!%Ut6)I}fY-}gdoeF#A`g+JL%=yOoFmW zY4-ONl&I5*avPOUSBExq4<4>9xxp$ISiEgInac4YBT`r!+tyHO; zS>y}EB*u@St*7}{9ABcVG6@4-2dg~Xl8^S~>DMc*cL6g=-@BM@tUorJXsoxLqo?`) zmi(^XLT4ZCi>M`VoD>y9u()4b(&+el34c}i&lWhi#1QBP&~OWW|6*N(O~--wUW|=Q zK(|+nPS4x*BUPnx^g@=>Arl)rb66B7T>4|grVC7A5iZC{PfZmiBAd;VtrWHA*ZmfC z#R`_N`t^ghjfGBV04EP zDltMra-%_%knYY=?|$ze@YKEM-tYOIb3V_tSj;t_5ilSMxE!26M_VubDS3aiA%8ZK zFv~IS9DZ>0$M>*RD$U^GyhI?1?kNV1Sl+HWPkwfKNT$>Zro_MC>x-R|*#6N&-bjS~ zlYb5PwW?1iF}^Xr>$kc& z>A1|sA7q#KMayFVHb_s>newQxJh*q@L)EO#b^!Zhx}E0trS~fBuG^YXhcs<~w5n=* zCq%w!JjiNIHGJmg!|~*6Snsk==z8@)n<4<_V<@C+`?}d-SoE!_5pNs!- z+(cTmUpRaz?+5F~O8|z0j-I@AhLqLmmZ(t(94SWE_}w+&IT;KGG(W$*ajW8PF6!FG zXQ9Xem4`fCKiAhxEc~b>t-OY+>3Iv>!xH-bq=MZyzbjy{N59Hldgu#Mb97gq@4UT$P+s-z zZt+xlcvWh{8-j1q*EZ?GIIU|@kG>~1%s@RgVx=b2d7tQoMPBIK@yKVa$f<1<^UK6h zU_DktzsT=nZ#JpY%G^n(D%Xr!P|A3r!WS$VRmpx1Tb6~5=_3K}4yU4dRpTkZCUjM_ zCG$q_5*pde?SAz{4=_c8GG&49bmvOb{4OplGK)z1bhcJ+;x7m>06n%A_Hiz*X>LmH z^rpbQF!)6F6%<6UNcj$#I{#`U==fv23&~$AZ#Ife9g2;WE16Qw{hWh7Or=8+I6=ea zs{h*T84F`p1P!2*7GNjGSQ;G;9B5D9jI< zF@{&1Q2oz5yfJyQT;|{zCVW?h1%hzr#kR(uXHeuRK$&}q9qd_HJyE*T9O;-yk z@dQq_Uy|+?o!F6=Z&u21q(m0Q_{yPZ`0$j}4XS#aQDb1<>gd>W{+v$WFoDl9v5DsF3^AMAbYBea0l5i!ynhO? zAb@S+AcvTf3_6Qc=>>;OMlbhf&vYGfFq}bQFL(YKqrO#?p&M69=$1s?*Yj;s#;o`D ziqgs>#@6^p^Sm!BcM)`;HsH_ruiO$kBfoCxnhn|l{onnOkQcSdY|dU@m1ilNq6tUE zD#0t|!DU8`%Mr~yK9xI-U{Yw($%WS+-}LV{Qzi3=j?!HZ_VX`eW=KZ*7i}M>w7sLu zVQxLnQ(uiUTVI_OO$Lj<49xvB8%RSx>V|Lg+1tYF=K*C8No0tpHivK9OH=n*-2j+! zP`=>?Sm&<`R9B1D!vT4g5w#p~PC7{kJrZZ*!A^x-P(pZ(B9(y!SkEvFVpX?kk~Nv+sN=La7Z`k?>#~YO#t}KSekd z%4&hBxk}OsEcRP=2G;mr6jfyg*@LY97t;$ebsGrC3oJsWIx8=P_%aUo$Axf zoe1YbG_Qp9)+s5+7~Q#nCU6{GRPggg2}VpklOVk2q&N*S`(iR}8xt!PYxz zx#L~v@-45ghA{jNcasPwGnujyfjEzPy6?59?QwCbnwf^GC#5nvv8E_#Y1p9t8rWRX zhy4G84OMD@ycH*VJ`()FM;mNBtA;zSuvYbXbaFrnFvS9VHhbQv#6qJh>wB%~O~1bo#zOdCb(b|2;JEyRwYEx*=f z>`uPi`pv9k2GOB_tf8q;_-uvT%gU(P*z;{}D*Y}+F~D1B7d8RFTz+dhekEpwe7y@> z$8cTSRpGJIY)|iqx1pkhu-mY4RUhtjWw>)jfw{KcKRPy#TIV12v+e^t(xLL_i>O}& za(GHly!UD(+@1#9@uOKbaN|{-Wo}np9GW35t6FIw z2UE^^DAOnbQWR=R zPNh)`yI%jnfxBTa^7VQx;!0OK>fqZYCHhLB0d)Qp@NglXFvW^tL5cT2i^VvZ>Sx1E zSm|vB=B^UQHkow;GyWRei}!2-!&aAkrvrCT%s$_&L*6-Txt^IN)w$lN>8_5+KfseiYq~3QxNtRYtyTHQ#gz=IIdu|`H`I-n_`dO zUE;Ia{`hg{2csVTY0YqFQ$^o|f?RDqE8Yq}S|bGR^mzEtQmLpeucFNqjYMJwO#K>D z%HA%aN`EfW@*IuNCo7lUb5z`ZpK8u(0MZ(Lz)DFMAkxFmF@3$t_BJu>XS5a}e~SyW zpaA{JHkd*~E!wB_fVx4F(x{QhtTx;^IU`PLGCPR!-q`HSnD3`XnA5`7w%wh^;(~@; zC(Uy_#i+LLAVE2UEi#gXeL)9}s$`r@5dnj_>NOk&X9PLZzWFB}Nh?OFrDZP|37mR+ zXuYv1%HHGs<&Mr04GrLd900{dT3~_s!u}hkQ#)7!&>(BdZ2rjcof5cbGnkF2Z3#1U z*jSt5Y6Xqmsot?Xv2o+Xq&1>^qoFE0L1Cx5Z1LZo$N@h|v_QsqJj5FA1+Vsg;`>Mf z#3acHFqiIzqlyv~z!4mG60etKxvpxsJ#?r6UJpm&VUz4v0|>y@;NTX7{P-)Ird-;E zP$>PaK=23W%8gB+bGJWM3Q5zFu1#`~-N~^~I)1oofln%D^jP+tV}t!_)2@7=ZgGWu z=|ILZWu;_me7Mp2i-BrUXioNpmMfC~T9c3!w5)9N>|}=)J%2J9$#)%!M{&j5{KiWh z4_gW~sb0BIF}IElq4g?yiS=o5y5DJ*WimL8#K}N8ad~Y{O}!Q_5lM{?Jx;rQXUOL{ zYcy1aX3kiKE!ljlsS<0x`pbG7{0ZDc4tGI}*IPXdHzpf&KDF+r?Ew^8Ys$SEam&k` zx9*+O)Dk86Mb<&|>x&@JKkm>_K8njDS3yt5W9&)-VabG$)OD2gR1TE%^9v|bDz6);#QN2boQQw2$sZ#} zWvl$4DeBbY$afNSz>rJ!?ptO4elb8Y5lsKT!MA&CFaV+9CE7IXzLtJ`yi{5&VioYz z`p$2>#0c&u^y^wn#TAy*|AI<_{=Fi@_;NR7>vOsiO?V$>NbXH@*?p5!d}~sCvwp?g zn?|JEi<$Lgm0~S*T!Pi6-M36#j{SyWRJbLk=f5{zqcbq7LoWlvRXEkW)VG z=2vY%%|U;kP;6-Gd|I)*jNXoa_zT;`I#s1DMID{pC@~LiZe|v==Hu@2e!MfLKGCqZ zhRwT|?e{GjwOBJwV)Vhh?1ZbBMs%tf3+xi+-PrYeWH&WKTJbfuSHTO4GbdfnKg}Pf zu+E-7Vo-N!Cwa3vhaj_HwrRyPU2fLTx~QCKh~xP6;~`M81o7{Ukfm9g?&dE|4>ctr zX&K4Xw}`9;(^g-T+8dggGGY5ckt9w+BT_Z^(G?PuI8Apb!EWpe{MyODAl@Ia+D4tF z@|#JThu_5hw{mf6zQ6Fllhjj^tEs+ibF(kf7S@%96BY!-u~Tigf$rx1wU-6|x~Ef$ zOEo3t;$PLe54hSaPpjp0d~uWrP}t&o^fpmlaFzk~PM7BVxoza=^%fk>l zUh0tDdlBr~PAb%}CBHrNU}1^q9CYWzrK%*feV8usNHH8}-bg~H&Hi_+=h~Iih@foO84<^ zZShs9&if^GIPVYBub1?Mkq$*=BX}Pwa1n){7)QGmKpA!cXwpto!_t{E)`{|c`Wr$& zA@=j8l;)C@s2u8IIw-F=qsv(OIrF9;)PV4DX<_S7&sVJC@Wz;w^McT;HZ#pTi)BHw zuTEkUcL;W232;P@|JCCcY0Z zcTwJQq6%#9jvfoCIYP74K4|=DmrQC8VXk~3hZOrcn$2tpR9VxwWSjOQ9+DhlLjtMq zmIRf&9S}MoNlt^}O82j9XGuw0@XKS?RB1I2;9TSD5+DT(d~O?Y;1(Ec>Kws%PcL6z ze@d-{cBCi%8z{G?>Rxgy*91Zw{PU^rJfJdx;_GM|GURbge`beKvTn%d7nFfQ9T7Cm z@oQkh$^#ZGXOCN%{!}cx>|_Z~P;t`8-aq@($07q_hoY;d)Jl}Pzhnv}BVJqVu%jqS*H64UmSwik@Gr@Yip+i^G1N*vp<6rRFr^lD2b2 zq0~g5zmGlG>IrY!*;u{mmnX$d^rbwq9=7#k{p&xc9cuiU_;dac(2$E|6CR?sT(dUK zPR7#s;HnjK&>?Z6uog0YQYrn%ZgU-5meVdkYIuJjUpX`RulEPpebZ_HaTpWZ!U4x? zmfK&Hde2FtG|};F=I$!R*vj2J26MmE0;o3w2z%>#FfBBQY~Od&?1d%_%xgmVjSuYY zHXDEO(QziSS0a(>V$qEeo%X(!d5@lvqw~I1S*ApC=lr%S{ds+RImXCFC_Pnv}KI_muT6BH-F>3 zwg82Q`wIMdZs794+t(X!@>^p9PN10RLSp?%ciBhn={ofw*fxV5Gp9jMdrjRyOR0B?`O{Ql<$VUd{>vGCeV7G zF?|mqYG-+$&qx6yVArbxa3c3~(EG~}+liNU9mkicSycWL?$jMe>H@1k8H%Pw@gK?d z@-N@`&2Mk-u}L;*Fp15s9E$kR4R*if8|_onTjS zZ+4TRgYp(bR8byOXx=QnD~Bllspc1b28UMcZ8Pg#w{{tdvyfnfsOD~?p_wtz8z1;G z;A_@H(%0Q?R6D*zksHhpp=MrQ1^jX9y86l8VLs(xHpWQPz{0D2tg-6ari@Q>e#oB& zKt}Y@@^oM8)n4vIwsGuG)N-r^?SwHvdxe2~gS1l!!FPRMN#Z0+D)=Wl;wspIenf+A z6~?wFc~)|lE^y=oosRVD}R;m z=FiDBz*|z}5y1Y>T;G422?SR$XD>c5@7&&> zRofw3yl2_p`ryyN?hBuj_&ZS?H>G7e>+$ld%LlV6En~R$wxX6r5Axtdcyqhv{7JrG zV4QY>OymlvT;>!r)AwySXYyZV89h9&Bj2@A#Jt-2!1H!>+xy4Mo$(O8Mrq0`qe`0( zH@oKWjZuRwIbp>pFvN9u^1+qL$YAEL(#-F0%^~T&bk&DMlPi}W-{IOzW96;C=_kB5 z*-7snR_QC{iOgc+0quV=ri1HgOGDGa--B~}{dy)@v()*nc;(5M774k*;-VlC=3c;5 z89NRc&)Hc@Z{aq|Xw@U=Y0N0I%5L$;)Y|0RdS>%vazMZ}XJ)aog%=x~eig&wkiUvz zG63qYS(P0g8vq3?3iy$n;p_|`PPFnFN-}BY!{KbS%8o%;qYEia2nVUm$HEUfbDC9d zZ;d&SEO>Z~OW~{!ok(Oew^&e%ix?+tR=}dZtFM0r?7~hmI4$4DnvEvp5FDZ_N5gTe z0r!pW`d%m+Dq}{=7RYmVPfySXuI6#$5^h6AF?{mC{xJ-TI->LzruH>whHK%#W&I`B zEUVkjzq(bN*CWiuE2%41Bz4*@mTDX|@5x%?Pd8hyx@L|@p)q}{lULoaw#Vi3DA5vW?6)8UAO+7%Gf^kWZ{b=)qkkOzvWXRkUYUIw1TzY2 zI=DB?v2;eNff-Dq6l7-fD%*PVNMwB-R~-?&a?@DWuN?AU?a{-&HnrG!*P$~?C*Mc= zgA?+Jjsop!ZK%Cn>l8C}I~gI?!`~D$#4LYZRG8kXy#B(eD zg>9agT}|gv$9K1{&RVuND*Wx=8t$mMbkTpvcc6&50_N1GTYs}GUsC+4!(E8goLwNt zHamNdvp?4c$kt0}A;;u=a#8Ug13!Q3v4j<#WzZOY8&~DL=V>*#!H0HGqhZ;~aF+Jw z=W>Z!B5&K!f|<(jh$!HTHt4b<=LfyrO-Iv6N{q?7s*1Jnr)Xd4HS^%|vYD(-sERYz zJ`4L}l)}-}i(pZe-Bep4NX)EX6SH8y;t^(p_n1LvHQ6{I6GIHz>>2{q5SBJ)myj^} zwtcNicUe%$JC5Nth(|dpn$wjLmjCmYBT_V4M1GzeZO-^mg3*1TA8B(m-2^;ls8Wu@ z2`_h~=#b*$rzJMrtS6*cA!gX^#BipML+7Lil)qEP5TKT}@J+NEe`)a1?fcx4#Psvv z&_s^T?%6x^k1YA&&kth{wa04gr}CSYRYI~iV?na{d708(5o1C8)-G{Vho6M<{_wgW z5bCAA@pz)J9CD+kcrLX$Emfgggh=3KgrnP`iu>CWT=vK+P`*2tH5eUE&`j30f`BZ?Dg%vy;o&2^zt;Vf5;2Fq- ziwGlJxQXp7(WrZq7t@`M zA5%E$qtkhkR!eq7j1&$>t@EX8@adEsTzG6j8>sMjdenC!g4|%lI^RU98Xz`n zji}#(ACYQV39U(6*nZKvn|m*s6w%9-&q}JGNa~JfE_#BnB?89Z1|^+e7*K;#d6GTt z&vrqwroLIn*f#|*&!)v#KOI+4$;Ht|j`_pFpGtg0lwW1nHY1#Ednihx=Hixk&>IX_ z6A%^n^yiD_tA#Aabqi0jk21?51XXwKZ4+muZ@yY*H7q_g3K?W`NhshC;xOMrAWnOe zav&x*6XV*P=|Qfsm8xT-Sv;E!oY|M79tDm_Xj>&O7FsyN%!mtE&~NsaCPYRb1! znQ~>{x%C&_CfY0N^G!l^Sno>8wOUN3x3>=SC}+$kp;@w>oye5#3Xk{}7r#_G8nb&y z|JsBmdv6V*aktlj7J*>TMXEcLZquy2_e@~G_`}s}`AP)X$ARpK!a3)VQ$@C1f&1nP%iA|lG4T63d#y?WxQ5f-g zit%U&pz3i_FLc<5vOL`I@hMziTak(4Y&v5leWoW1^8>wwmMoPb6Z=HxpFywYVY`(w z_by@-&P2eoULy?8Ed2h2_C$>iE%IqG@VR(=n^x1`Mb3E25*Gw>E#Bj|+iXz3ixPop zRD}3}Q2%rk@QhWrh{RF?0+sh;*#93gsRNgvx>BF1|X9 zn-cc^O*Zm8)89E<%u_F2JUJ_@pI=+{b|(eec^|dN;K8-Mj;_I^!26K?JpCEI!vL3R zB=Y*ShAGZhvDtUihPHr-y;;93$iT@@Qu#)F7>VhXA=HEh z&G<exzm40IjG0|+?puN-duWw9Q-MB z!Ypvlk>o~F8({e^#5V-t3RPM(Q~Cf_*&%w3Gcz`C<3>l}p(X~ndRHz${LE}DxNl#kV=YL}kVV3m7AtTFNF!j~Phv@UelCRbrtVC(v_ zvj_UV`}VcQYST%OoXEvuHdQ0{1BuW4raOL;fhkcQi>6)ij^TC5Uk9vTAw1#+d8?E# z<4X*-^Y^la$6*PAGKbuI?X^FC?Q}X(@X6-AzllF^h|h|DSY2E3iBA5jPYgAFV>+HZ zC_)C~@IX>u(#=u>(oo|?^+B(ve2m|cJP6=5NxI{cA_}vL>u<(g4pUqI#w#;@u)Aan zOOHXdTdQb)4odwf+bau2bXUtf=KG!cn~8iN6qA=y{_h28E(Oba8FUN$DqFF!=+wY9 z{+4;Obn7bHG#io1m1y#S^Wmf{3rD=C*LS zG=VOhNp5?Mj!elWIcNGjo5G#y9m02OhvP5;1hv%-v|9@IIo}rAdPh!Fq_vuk zr@dLK?rdDQqmLULLpTz%s(e}pm-VfLD!$PgUGXOg?7mi9f7nFrJiK?8~_H3NQS(q&^WrTUgqd@ zM|>Uw6LfFB3{TEDsNXtmZ_IMotUGclS$l|VM^(4YM6_3=pH_hGjP-=LD~?pf+Gx%~ z%y6e$!*^a_#_=Vt@!r3wzz8z>PtR^@%XL?8Sa_Z7-8j+kJA*tr^<4%zD0?4Ni+U3h zW=I1;QUE@k{>dtTI9~s!5Wbst5UTKGj|2WBd1|A`T7FcWo%OSm7aHpI#zNnzb>{4@_eIJaxF;180L?Q#9N%#tYnhI+z!@;0bD;Y*oKxbpmSSD zw4koM2m!1aC+Xqt z2=q^ecsaq|-b%}~u4eHk&>{%%ACl>9uNpg(C{N*{w)c(C)5qu}O1~$h7fU@G&CcHxbC-Hcco10>ar{Xb1!g|`&j8bN*jhD}Opn6G>b9&CV z;on`4Hbf~c(pY?+>z(e(kr8Sue|YkacPVEyQKA(2)O#YT!#{|rw}MQ{WFQXw_IJ&C zeiPf^a}KBn+M6QpaqLuxA&^)<&R@AYr6os0(|A1&>qzv_cy+`m6|LZL;g8?6ara0s zJbC7@OtuPg&$ZJ*JT_@xa!OhGh#o&%3zYd{=qR4;EKi@_;*qKtghpImaA2M0MG{tD zB9a>yMmvzj9VcuS2P=mA9y!T|eQ&nmWAR_>kl?@nX4nlvj(4W(>W>$%Om`k+894?w zT(zYuz6dFPFr33%3VtI*LV8$+P55!f=g-7=i^g62r#}rD^9%7bwQrdjcnoGgG;BS{ zmH>P-9q#1%9&?qvth}p@dsNi?AWy{6ocxg`q;qs?VtP|B%|SUM&|+$ZKW%G8R_*b= z5;{otOvRb*X?1b{LGO zm#nCH$z8?SYGtTWsO7cL-C#q5n%Za0-Q7v^N6uGAR`L9Be?vx0n1sw!>b~fBDq?Kb zF%pUWR6bKw-y7Cd3f|yA2}Khvh6q~Vl5>w;=e{c1H7PKa6j9tIgj}K1C}Wr zNW}6JgB_i_2$z6b`I7fkA3$noSSr*oN|<2T^qrXjY}m1rrkcYyedt0kw4`OUr!LEg?rqs#N}NKhE3G z&yPN1uDawDQ zd1iRLcXmb{qqxBs5V`bVj9=!ijh#$VC~^@yeXPy7h*5t84)gd+vjUd-QXi~1HPv)K z>$de{%}1+f0=pA~D^)Uj37|{(D&K&7=~VPt(+EhgHnpb&1Qovs zLTo5*Jtzg8JI@}*<1hV7eImWFE?2l2AT8y3gW_=@us1X?MywNAVoXgE>2(zx|Gl~_; zMd~oW8n!!|r886Nl|zbQiH;f5R38k+kgwQkbnmU8pLNeWrOzP1ipT#wSn;YlqpP|D zfB&gQ=MwLg&wKZBn|a~a%C#b8CMi`J7ZmU@-C3E#KtR*?9!?)g2`k~KP>K|g+=^=a zEz1|p(QJc%_E$%B=9#GdaI(tPt-p8ZFY{wL9Xg74vOI=F_fg*d3U7q$Id%_HJMc~y z(;KdhZd&*^^M~+)6~duS!N)-PU>e$|9gjvCDbh0H{L&(Pj5Iz_d=Q0xB zd~2JGzrGw{Um~JtDhYl;sY7nSSh{u5Hrw%o5qzXyi zKW9kRIGQ(ujq}{JWw&$2ENG$e5}luY+O}{Bt)Fd_hi^)u%uu-(^Dc*tDCU`&DX)VU z&d~1z288A86PuIIT$CLl{ zXU0)lF?=N)$%PAu$yN#mrH@x@eV5Wfcn>`5R-?#KHw21zm4G2RpRNbEW|`nxGq+@GFA(SU znuQvk^A$E|3pTOYOb0o{bq!nT>Y!IE(cDpKp^v@0`zL~Dg(3>>c7L7=2=Zh{nTU_K zg`RGYB{AU`g^)0FxhRJvw}%?J#pn4{I;^i}=1LfIsjQGG3@2AVAtQ#3hDyL)e%^N1 zhnz~NX zllDWt8#Q|tGvod!B`7R;CO#Ln^th;+%>`B+hWhnbow2%G|3CiajbW zPs~*2?p+xwTp24zaL36WZ@yoaCGi*w5ypkNgrfXHIe0Tk91itDm+4YfT%x5xXPS;7 z9Zzpt9-Pl7fDNZl=i%$&(RXLpI9uM&kG8GlY<7CuUyb4EmV$x8b7sgweDV#32p=i9 zu!0Awp?3raIKFFtXF~=oe+0j3+8LTH4C5cq#$jKH%b#uy6ws)ZbPUFvRu;W1i>`hV zWvC$o|3gx01RRn1IJ=$49R(tmyEY*#Ph0qXts|U6Xj-(C>1ked_$afk%aA#@jAs}; z!=!HRQ=nwFx!F2taHklY)zWo+RVQ)zkU4DYw|e?*Pbj~>j?N}eer%0vt~qcqKQX$T zYfb{YR+mm&h$V-nGJkl)z%r9(1Ok1fez}<*M-VVPJ7PH+vY{7f$oQh?WGC|=r-AU(`1p7u87{_ z&zZB%$kCYo1#BJeOJBcZHES?ZzSXIZe$iN|rrHo}B;DxzT8Ux-3sqJs7G?Omj^VN7 z;8jh!`X-fqN>o91!^d0GAzPr;6M*J`UZ7Lr_P)OXgNvBVWpn%Zk6Ag2MZ-1X?7wzK zBpHpcC|cRK3GO5*Pg{928ulzgX%qtZOts5Si%zuI(E6a?@m|~W>S>+1B>-Qsk0;|s zr{gj)3CB$-^|6U-oX-Z?=#$o({PJtgDXm1k5Rr&i=Zl;&y|Sf({y9P}9`h2_1qQ1oTJ{=^;7E42D7olPi-9k~LJ>s67 zW#V0+;jPaTLG^nGAcp_+q(d#N#3*)y*e+iMd@rp-UQRMqow7zGoVF8e-5j!?Vg*D1^zlz8ixwl!z@nF^HUJ2Q?4DA^3>dfwRS&rC|#l=gkPjGky=)>PN}QNqt?*N#&!7-~|+!*8bZWW0qy zdq|5dR+0dkCVEddl1Y5-BqT|_SIkwRp{4hZuB1Kp4>4OS_tf7yW8<%{+WZ_2=^+sT z9I1sJlj!86>g)+FdQhkyrDs_$+fQR-PvJL_!n8I*4ugU`Tc>Pec>b|=Q{~`RsAlyL z-Q#ZLNGEDPIqP=;9{~Dig2noP<4pb<$u@F`G9qO&7xmEb;mTOfS7?@L!Z^^|RbiM# z_2WKct-+wtMeC69=PkiID_4M6z-Xz%b&ZhvofII@UW4qq!e!$Z6-D15= z9Zt3dEF{~{@@uUQ;!VRVL`5E1B5zm$KE85r+U+bsSr5MC3|tT*AL!y zB|*`q61OMv2sl_ttg%MC;!etn$c~z-Kf0GtN?uP@_{A2evE?Eve|3l^Ni{n)_R4>4 zNjCVSBo|dPy?K5@Xti6+WvF!45&e2Q6EKqAm@lLcS^ebrH{Ct@So!xQgV#7U*Ae($s zXGpo~=(8^uFQ>bKziqC&Yv*bH^2rI?M7gq+A$#OjZ!44S7uIT_vagizU&C!`(+Ue_aoEO$XZFPE3m(?u59E|Y(MSJj@ac2&K6 zv^g7|cZdsHz+z#yvZ(M9chYf?lLU40>T#J{AMLcmu_x;BU`*I7nI@-it$EJuDcnem zY@(<;gJSZD#|OhsdoOlZf^*DjQ3U@q+!hV9am^)^?$ZNu3}*1%(h;hK*w?Sbe@=}S z_Vpjr6#eQ+*EhG@8idj9aU2E~8CQCDel+EY0NUUHp=VOkQ}MBCNNM3UsL5%7$kwTr zW6yVDfQr@=#@OPg&i(^HEoJOt;xwi#mW6`|`wR_NaR z%u(1Zq{%ufCH6QK!Q4%@zNWH#>k;bHOc0~IXjhFCj7Re4wOz?FT#cARC2^pBq!PkU zSK2w(jC4{6_MeSQhItnmVu58Xv@arX$g;1#ggLA2a+tYHD0JkIjb0n1DB31!MII*T zgJWCx&PwGe-Kh5_C7v`ef|jK81}z9Y@?~Bpyn%<;#1KQCd$snm;B2eo@;iknpuq}g z-%v`ok3wmCMEFKlE_=E-P#t`7v+>7}m3)pIbt0o!+YsX-j>jwkA50wP`!<`pa;_&7 zrZej~u#Af$uvL(TVH4xt>X8BIQ>K)1{7cQVE%p3q*Qe!SJHP@jW})d0g{TIuU6Z(S6Ro?ec7G0+(khL3_asT)vTXEQzlDU%jwzlYfD*5cjD5iO;5$#UuZZ; z9|O#aoYMu%-NRt-38k!F9qq#IafW=V`CgYbBjK5a=@eq)&zzZSEfO6iAsQG`f*amv z-|NZ?Z7&cf-m*K9UVTKHs_VuM%k zR{?N5{>19nn&t1n2uhufn%vVn%u$v`4x%U)wE2V$34+P&JFyIDe#&LHH0?qvjTYmE zd|WRD`=&|Hz=U(5!|sk<2qev9L7uHawo-xuL2%N6vxacb7!)fX@yCCln2^9uI#sF5 zvo==V$m8Za?D2Z}2f4U@zjL%G$5E`9&-+A3&T<8*c(_9B_@bVa{Esr&VHFtCrjxi< zvaTB0@D0x_oqChe@CD?l{-Gk?F_a>+ zUXCkf=?N%xwdG@@jF(V3tL>5+#|!r{TflP}w>xtxk_#g{!1}PmJCk0D!iUPQ*%pm| z5s6_Q@3j?0h);#r2LoAM64QU6%DR+@T-a~9pZ zP;VvI1RS5bIjhNMhD?=9kmS18%aEqZNp$y6$_a*KnPf8Q7E&Ak&?6Lv&JYRMsa+1xL0P?;i(4xWEIeF?U(6`U_0U?&b z)m-9yky1$yoD%~q+Kte^} zh*R@oHLBOC{VxJRKTO|9!eP z?9>=s{C>nOAqu7FyYD~JuBx%=d09C@Ka-S6UbL%3B!%EDkeJR{tQhhgyS+$vIqPRd zTN&m(a@#n5)PlQn(`&!|;(G@2c9PghVv0#Fu>3>SKK_0thN&^m){EVItS;DqEfPXJ zbS&L$cD?1cys3|VGgh2+rR1U#yEeU+PoEY9hLoMabj0(mo96a@suv48dfjkNI0`*! z^a2N~cDQ-k_ENlSPA;PXyDb+R<(e}Jt}_vrcKyb=+N$s!#hdNgdjFSxGqDQ{?J@(g zR^_?yZfX!16R_pJzU={c$+R8i*xZ&kC942XG={6BXYs+bLoSgkq!O}xsCFGG7cpQm z7Cc6IyGbA*!=SQtUUUj<)@>A*wd3%p`{IanjOU;X*8U;0BVta1Gxzo1;mUq>mvnBF zDSP6y({qt-<5RbI%2lno4R{;jL=Ge*#S8(lJ>Z2~t*?qSTj#eZva#B)zhA_&G1nV{U% zdRtXVO5mqcG9E0;2WAi1*>+Da4YJBi4yH;2Z5qn*MS>7I53?oYv19l~o>_`T_Mi~s z%Cll4E4zHaWqD?0nY7S<3)(4x)#e>Z@<@SR)N}YVuiUNRyB9pg((J3eV--m_Ri}BJ ztK>|NjA$Qc)igw` zd#f`Z_@^RDI+V2w%YU-<12Xcn_>-zixoN&r(oZwxcx#=5Q<|I72c|1F(rk+9s>m&q z^S12h)Q=PR4@4Yi!D5>+DD)Bd5F zoA0#z`x~Q3=_yiuDu+ye@Kp9J* zMis;=_A_U_sR79B7525SjF}~wZntIm__y$&^*6QyiFldJPb`E1hxB+qv^_Gahv~QH zv`V$(?+*bZFC)F&b>lo?PtoLhLXT2Dhb0H*6(kPRv&o-#Nxi5q!B1mM+cL}1#Bku} zE{95n@e!+baLEj;&6Y0pUp3;*kmt0#yQ*EAa{Xn?jjuL#U^(X`pmb#lIaZ0jH~IB; z{~>zz;W;WV0H8Dbfhy}JPGQ|mX?U|!EQw!qOa#r4VG2LyJ**bMTb%@3GO2TW%I@fl zft^wdr5wGF`Kbj4*WUngw;@n@dvuk^7dlQ&#l`xB#pwT9UH!3o1xV< z1}koJs`ZM0j%V_~{=+$b!wimD>%l_$pfpv5A6^v)Or=gO>M+97*QTf*^WTp8apGnO z6J7(5z=&S$YuN-HP1Kh9HK(*zha6wYLV9T@_0L;i0lN4;Ctd$7d@WPKxA;GZ*9`*D zF@s9*&Sw==&vOgaBt!;&o}eWL=IJY}u}k;t0{M~lwM3XmS}P#Q5wAg9EMcdHWu4<# zL1E^t!ugYeiD_tlhVCD-*(Jia)J$z4Ow@C%#v1{ z%H07fNI~o>n_+nIwOzwO*5gt7hGqFbGcz9d?2wFV?uf1WG);2O%oG(k0lBlzjhE&+ zM>)2v>7fvx$|72^6-CIGwbBGR`>sec4VR+BseDfXb!H3^7MQBINU_1(liao0Hix3G z99%@Ckd?Gv#i-qXjq7Kquz?HOTc5bv?yo96HdAfY4xCleLBF-4Q6ai#)qI$gu6@3m zUh-%Ec|`kJa0FmeMYGhOG!(U@AjR2A< zoAIvZqE4|(I^|~}%n_EZkI;@#l-aOD9J=@3+1VDH+0X?gI^!9}{D97lMBqm6*#-$MfQ;eg?(|UiQHPe4quV;QdfvHe-vl#&MpPVQI zB5IPKm>KwEk*WnZO4RuZmk~Trq;0L~m0^xlWh_cBc;!xbC}X={@V4kB4sc}h5%`X0 zhn{D@J;SN8@Hqjbl~p~`a!$vsM_l(!u>QACN~1`3qHBIa_EmDB$LNvI2%TmlU@UXS zyQV3#nVZ3MkYT*{^r{5uIDa?&Nj?Q@tT4{a2sZi$WA-#YsiYUVO!(&Z<<_ae7gT*Wa5x+q{6C(~I;`pUi~Dqlw20*3D~L!bp+jI&(w)+hLqO?f zbPCeVV04#+2$CWV8zCS{*G5TfbUhz`&vQM00@rqpeeV0*=e*DBeQwT^isK%mCjnH8 zY@(@CI(Vz5$Cc;*B`Z`Vb5HOR7oNDYsE|iCJa~s}5&)q7YpDsgZuD=w9t_ca@%nWq zXw37<;aO>jmyS94J53J#@>L}+wWR5*M4_-VlP+0G9S@z-Sgb5mSR@f{f^}cIzx`@QNk5}1;0bpP2JF$sp;1&8TRmijuEmul}k$MuKC(hDCZ3an%uo3 z8=7WRc`(kJ26Sl8`HSa#k}1jIys;2B;xVVFk%f(*h3eDRJr?BCF1G4CS$(|YUs$LE zW|;VWaBrs5;C>!DQorg2NHadnREfvN5|23Q8m@iy5&*H0^SiFUH#-9_N(YT!mPou= zeY*2Eb5rPC7+xjq7dL2m#*GjheBVuKdoA`yYfJs&b!)D7bR6@B!2+ih`XEl#!)lOm zBH>4LbEIG42g-9UX*x+;bFQ#5v1!7|<7&>2YwyOdz-mtvD1FT*IGB;GS~=#QzfOG> zOTSQGcW1Z%DzJ`I+Q(5yq#P4t6mx%l90o59?GHaW%w-QlD^Ii&ZUo@tuxR?p-%oYF3MmQry%U7Uk4C5vTh&g>&>w5J;qIY3XRQsBSS0M!i=Ie$?++!s_~kqty_tBNvi|+5Mgsm!E(5|#9 z*=?J|^E=BpmpiGW&@lT{jCtEks>=zA01ETX|yWdEn=IJ&j}2H%W|WV)b$F6 z4$sPM4ORpc>c7)3G?880kRo>S@$o6;`pqu-Q!)ddg`!B57nU|}21Y-r#q4Poekz!! zCetL9lz3nwVATA8U*RV@YgHg;Q+=dqNo0dKQ5QBOxc9JSrK7vOq<;>a$_tUn%pf+J z&9BB&_GruOOg|>~9TViF_b**GPD&z&=t6j2`ZJ#;99GHPXX`=)e)Eg^H863Z%lrBr zCHn2`)|Rf-bs4xZ3ip!nTf~fll^n4IPaAiW@u;g59c^dKZTr&>qrg)n^kWfIJaYeM zB7m`rM5?}wG5v%p#hYMyOv+3ZkEa@T&td*WxQ%E~ssoW#Vf?dr$O2V>$4vA3RI|e2 zV6e!fd^AIWy3W?<&Zb1i<8X{YfkWV6hK$R}Nn=*yDii2ke|CU)vEzxsBUM7^UX=f~ z(6tx`?4hM_HWL#+g z_0@Zxm)~B>b4`%g`6ZUU>^sw^k&ta?yotszsVtoDwLvY3I12|_Wy~{wWl(j}N0;$8`r*brOkdyOwiI-95IFW<0H z+iLox!j7|U)ne5o5og_G(o8+PuNm3+3D}8)+fPwn$wo!kDY0>93SYX`SD#wefKrYh zsiVaG7}C&MM*b7UIf8oSkNRvEPj&YfPgc2Sj4SYM%dc-X?yKJ1Etnnc+Ndldm`QL` z@@R~+=FO9{JN9d1j9?;+apu(AFNicZcU`cW&HhmqMcJ$-RskB0;hxkGeYHNq5m;sz zhN(4*(x6r}G?!#RerAG-x(jBv-A%$4$!do9R@UrXLF^wW9>%ao-sFom7rd4PahSw1 z{Cr*mTm9Pn19S&`UtB*}yTTq6{+gFkEa~8eNts7bhkVYKo&3_ftO;tF$61UxaUa=>GwU(2-H)9?N=TVl zT@T#`h@5V7POmK6pqB~14pSZScxun$uG{7Y^qRC zvTEdv$1(Q8pVf|-y1kK0eaPEqo6eEqa-n8h!a4*EU!uf&xqFzqM)ZypPujY+HI+Z0lkA$Cdsv|p zS6yp^!zzjKV3sIxIY$ar7O%ZzMDA=ss&9t7Tj(v#)&rNA6io5*3Y{%Ie;4?tih#Ff z*yccdNW!(r$VY#j&+TpH_Y96?;GZ{{-mEJ16Ees~r&EJRFB%MwK7Xa)<`R=&P$BDy z&w3WW77>$90oTR0)4T=R3k&@`T8XmqjJ&b`B$IXRF1DzEJHtCFBfCDIQasgGYM3=^ zG6vnVRERUH%}UhF;4&wKFhS37*Gk9*5T57xaR0JpEkv3$XkI*|>fHrKgswf~e4G6u zKvb99;ls}2{axKm(X~Nkm{yM9z34|{U7J!9q$@@TQg5(s`Az*QCCU|G6l27j%0dg&Es~K#b~B5M8@% zxEI3!pY5{&eRvFnH6SoflOb27QvR7SkEeXvx0i#-xdY?3;^G+oOU5wdW?6}cU9OM- zry0)!%*$aq#YJ~H|4jR5nA-quToBzJeYqA$9H0H-m1;1~LoKZ{j1scW=xQYBnR;vo`E7?4(ztSl% z7=F|6>(^BN`Dfo{>)Z56ZabUhI7lRSG??u3fFdu2Yht7PIRiJKDnj&R>GmEt4PG54 z>c&+`P4*a--mPk35r-;s*m2kKS^yb2RrXTnnu_z?qXd!<79?BP0K}VHX!iQgzAXMv zd)jif2PUm2vuJ$G?YzLhhZ0bKi+w(>sQA_tzo@O-dE>VQg2>iSea6-UPQBgux>P6W zN!1e4+H9rD)C6gmLzo~}+;UelYNX({5yf>JWH+yYL2Q@~+uDOBb60I!6I@MOz_RSu z<;S0P+Q-WqN%;*LM6KAcp*6k3am}+HQJ5_5<(Xh0$^^f9}_16k57QkF?J7 zZxKqeV2`cf%v_o4PaI#>FeC@>S?=`nY?84Tt3Q~+1urpoZL(r%{rrQMedEmbf3}3c z^o)nHFtMDBrJ6F$Gr1;jW4V)*KR`bnIQX&RGG|}d+US{TIFuLPGVaE4Pk`Yw6{YUrBJ{65K(_M1KEV6G)V29ji z^kN{VEk+e97x^m%x@x^==Dgn+$}%JULn&K`DO;}m`EADxw_dp+-yf9!+%@8Ljc!uZ zlg-pbIeXn<8yv9XQ-RC7mf&NhWT070AOKa|nTr0HVQr^}crGC*hrf`QTJ~n+Zw2a_}$6r|$Dc=AVYoas0#~t56 zlSUKJ&uQbu6lJQ@KP^R>4Kf_ID`9p^(qKT_fSr@XBbbG=4x@$}65VZk&oW1Mqh=IN z6iAf{GfX}|=YIckz$?!8=4qKUj&UyBTt%}OoR>UblUbZwm%|Xl|7atMGwu-6s(41Op)Y>fa)rHGu-L<@t#)q>b=mFUrLOYngRe%y1 zff)OlhrZvPyf5td@E2QlvpbCLT4wPP(Ho59&-x}31^fWNUs@x2z;1@nFEZU4I@J1w z_=jGldKHCx^esYwuI$Gp`De_m*!xBrd=vxct`_@xv9>-eAlIX~lScRnHTyOwNs-FF*tinoHd+0t(7P=k3J$F z%s{QSR{w0L;CmHCbKSDIS=_)yAho^|rd9fRKI_$*+PcHbeZoYu0=0y&_9bFHtjuCU zsPF>QQK#kRn)qJEX*1`3-L7_N#_{8+9S#c$xlWflEkwY%Qw2Kpajjv-*?c0!F3Zjx zWy47o7hzXD381dr7S7X>wb8SP)K!UwAF)+u+mA?dgA3*d&JOE`MJM2v+zN%S8wG0< zcDX16e+k*`P3EI`WDuRt9CzAy*4W7 z&v$}4pS%Llv#Z+i- zSC8V5l!^AD0?T{%(tB~v370+hk{G!_AILW@{N<*aa4(=Tr-Gw`I}wtSd`4#e;?GLY zqQ0Ax%(apSq?0wQuXhJOyrFlA-lPPZJwwjk)eP9|l?Iuu82nLGBv2*8`V&%h+Isg5 zq~Rk!ChR)k!yeJO!z#BPe!~{`2?{7Q>D9lHsN)G*e|{x*UQn7!cpfJTZN%IHjU(EN zjc=Rn9`#QqfSGfWS_^pK+JbyLBlhF=X~pl~qIf0Octr@ z{90l^M}Q>V$nkXHMp|*ZZQp8W|8&1Z_gl8+@_7 zSZyixMX-%#dXqqQ(>+S`+|yN8gBy#(djlG}vl8kGwq1KBoQ*9o1@54W33&XWo`j5T zQZUKLZj=Y(#hYSc`TGQeg~Ywfse<@Zf3o4}U_m zAPgr}k}Bq?Nt8_AUX}_uf{;CAe<@;OtcJuJ^-6!`#?q-A82!~5$8zW+^H_+fhtS9Z zPYFSrz*y;!Yz*_zMD&(3^a z$~j-1uBPndpLbaLB#4A(I~0twpTsJ-CD&J;&y&)`DHNioX7nnxDd(7RzNLQ@-xt3d z4?s)?{K){KC;NtUSUXqC^E+aL34KRm$l2g+SH%Zq+t~DL)Ux$x5X}W}7H@$K>Y0=n ziNhjE!yt;Xf+r-Ed?A&Zf;afBU&|B)|zeqcob(L2uUdX)j={CSh}rncQr-Z zKTq~ou?)7R&Yl}u2)Wp$D_5|u!b`%wmFsLj}X^T(~#U;gK>Y$_$ z;O#yzvRY1aH72N3?G>#Ra{s^$$+tQeRXvkp0yQ`CgqdQ1I3A5`79Zzej-5=AiO2m@ zQD5hO_n`voTtM=ZWwJT0898>;CyP#OCa*Q>G)b7!ZjXz=G0!7h@z@pwTr<6q_XMU< zfa0Wtj4aqJY~gBCaRE3HJrGk;WP6ZNzlans)dY{_t6e+GQIUxN+u4^X&h7z!SN{$UKKHLEFmC-K z;`xK$PimP%|8&miV;Rs<+5~mSpDjGPce-p`fAvH3>TSIU_8E|@$jS5ylx9XUkJa(6 z9_lPohz77K+(d~e+DZsfN>9sDLF2cdJAmDP`o;FG0O8($Yc(U$$lt)ca4qZ=^)avN zfQBnSr*(T!edZ6>w3DVEOz~Hz*AW>=fmZ0$#;WXvW>EE}t__9jb@)ktvPy@3>? zUCsYxD>az$0TmRyJb?|XRBiD$%uoMSu^po_R<85zs<)rmp#CbBDwq9#CAaRz$CRPe zkG?AeU?~U`m+0w94QKPi6p1wEm6j#^ZSZ{ppBn(u7T^@~$gL>m3w3ZDC8U|3ePx6; zT+D5Drxfrz*8&OE895^w_%jc8siizMT-%B0w*qN2H$5$Y_4!18(=^-pQh2a+)pJzkM<93Uz zE?>pT`$9IY5m;c0tm7T1%|pE@wZ=fAT-l@8c*WOwfqHVAMnN}6S|Gky`6{It`=iON zmxzl~%1GEda=NXT3g5izuo4~2NbQ0#HBoi1pr7gc?zK2aTgigrWDLl%)87iMLSI9B zK{u*6^gY*jCNeR$E6Q}x=v6+zca}by*!ezhUugKT#KFj!6c%~%jD_-tf%O&oEl84^ z20&2;-MfQWRa^{qumK0tAd*{P{<%Hha3 z%N|hfYae$|tl8}KYaxC!>yANYrG57ZZ^EqW%Lp|B0+m6NB7(Cw=H8%P@is$@qeb7; zC+`T;yT{M4IU8l!utmHZcP?op-t9SY>XA^$ork8!?{*Zz-M6gGuof6kKFVXJAaf;P z0vpkUl}KHV!^yex!Tp7;%@1}j9oP3J>FIJG^m}DeQ$3WWv*z3-v|rj zj}Fq4uh}aU8dag5bMD7Lr`bEueaI;c(zvb#3eW%z_5M)Bd0l zZxJA3%F8}GBgwcdk+qLYC{m=^iP1e=40_@;cC6t62AlF!HqAba{epi{4)n>^I9+(6 zH^lwFpQP4(_Df&ar)&`wCDarCZ^IqP>-%fOEshk~X^mC4GailYNkgs`%FS7Krx**od+uH3sP;ud7Mu#ktEdXuw2B>$sE6tLP{)xPZGuhpGi^vFRF(pa_4`gG+ zR?ISu6m_SC=3iu52NcV9-2uDBzd3lk`Jh=W>Fz^)_%|!$JmJeOe5)-}%FiBojQ!Pg zFuwNIr_`Yyn+#z{w@9b%BVQvhG89vxP4J=6$Y5uu+#GIsjo$dh?}C!8bK z7k|YfJwJE*jcoLNa`0%`>7e0!bC0Ca#;Q*_BXO?o)T?T2vs<_24aqviwaZ_v zm!nqLD8om~+LjR*`LJIfb)UZpW+iAazYBH@t!}*& zA+#k!D}^6ftsjGg{Vn2Aw#a_?jBRNQTfZ7*Ejd(`GK*2@#3sx2%rTl)nDWfps;yU-2e{ zs>jD*lri-7|FQ6Ee+zDUuVfEK)f+*6yd&Gu!M*J@B1u&MO|3gB$z;P*&YM7B8=dV2zHqrAk@heh2`NQ=|EK!+u!v=I#wc)D4B(~3 z6Z!~4mS~IsDu{-Sr?s5Z8yL9!$pJEc@YpBs@7?2tOC`Z73s^!?16`e4Im*X(rTlob zC4n*Of}}AIu`|?eyJjkM&w=K)E8=aCxjBWb!1%(jhPV`@EDZN27{%j%AWnSNKK*)R zy11)>6ww%tD%Zjcl&{)1?lO`fDZ-EsG)pzFFWzrXshl=zQdxE?x!{nTaxfJ~HR8*! z{y^Imv)1CKPd4#oS%CDp%cU^<1;Ki*v?q93k_e0-9{eC((ioDbcHtO&2ilTB2(QCi ze+K0}ZXz^JoV9uPgjJ`{NopPcU~5=?vI%?&gx z1eh$kMlt_A{(7gplLDSVN*2$&KoS^z-o|QkT){{$;cg0TF@qafmnY2iRzI8GZ4^KI zb&luT%vf$Jz<5iTSQG5v*xduki9Z4V#(^)vIjc-aq;zw(2-AS&L9a;2ot?J`zlqa( z{)R&yf%{sBRo*x>wT9T`DDh(G^<&BDL!W#_}xM*M@ z`bv`e>c9~ooi6}fJXfN1TMNNc!w4KQEIpUrG z3gz%Zo#(*p5w2ZmvJRn56h8VBUMR$(eyG_kYB#G$wx%=NK92yP%m$56b+}@%yaj2j zz%rSe7%NBwG?rNFv$=%##0<7RboLf>M4Q;j_7jXQFkExD8LW6+=q}mo3#EoY2|@Gc zlP@4%0x-`mw%Kz^DV#V1Zlc^9IeZkc!9Kv5)wRsEHrYj-s7U~Y*Ub|G&c*zK-5fk5 zB&9Ye6IsMTf#!R5BT_pwaYmjOhn@J}cP6CHc4E{NYG{1YL7i2o)FR!774RvoW0;j17!^5(l0rLa|p!#Gn=+tVl%(=BBh>j zdY+n`6XJ7JSL{DH2ZERQBCMJo4-LaR|4+*@=my-~a{|>|zLLwocrG0XkUl2UA?Z`j z1VjkGSHy4%{G%nLL2bXUey|g@9*Bh1wLE{t2u}uS@9Q*|xNWr@7x>`M%m12wU38<# z2N~mQmnGKZq&+EJ%$YdKS15zA6v6quRvm7GRp%V^X3ojx&!!B@*6QzafdfNW7u3I>I=At zU@}3*!%XEkVCp?EmP@hFqGA``Izd<#fGK6F{!7EJ71#u~p|Yb>wscFmMA zPe0Z!m(keifpSvzz*Dq4^ggymUcF=M@GVrDE;?}rw!112*zoSKFA@6FYy zl=Z9}++d%dlv{{M<(vDnve#{_ZrWe3Ja%RiOhlmYg@Wg~51W;1N|Z-Jjl37dT9}fv z7eL(M7&E4UJ^14`*g-(kvz~uH3Iv%9N=&p{VY0WM1h;dhQqi7x z{Sf>9G2UD3zWs4h`AttH-7)vh&Px$zJmwo>@a-L!gS|%zYdl9SEE#E-Wx&iZr{n49 z2TpT|++(EB+d!W1tWgurRXtqLkG%A>D7))tFRDzZ5K9@>GN&j%*VvaeH`x0kHg z+IKr@WFxT&6c3R$+LlW~$C%5S>7p)Ic|qH;*p1a(ag!pZWK6-l1F%Yd9>`k@GJh#l z6REn}bJGufR52S4do>!70BdgTHrLg5Ez5~T_?9-nxPGrtjQSr_H*;cTCu_F>=OLG| ze5bw}AMCbk)-&py?WpS!aJ4a;)Z>aw&)tsj!Pch*lsuEyjAF=7A=DF&4FJ`|kTO1y z&c-uQB6EK`1@o69*2tf#8Iq@pu0DOwEc$Ae_f9W|K;-2%;c|zwKw_z)e!f~u>gDo0 z$z;+UF?sm;k08k`r0PfK$2I^9t=tsXq~wRD+3YA#Y*NQK(&nb{!argz@y_w31@Q)3 z2@Z=dXkd6podfP{y{c%kU{;F6)0hxA608}AdKH4&wnAiMea9;=Z~er!%EGhxu19yV z@ehF^F1-4M)FfmRALk%NM+qy{tboEzMN?>tC){{m-dXFO0fDM^I>2AcK-mPUT|GSg zD2-l8%2Z#w8iZ{|J%9{;zrO{43oQ(Dr_#$ivbS8`tSAl_T zQ1K)uszIr^u|y2*D}&fses8tK!8f5lelt(&Hhksq_*4SljlAiq>t3$ZJHhBunZ5oF zJta@b`YK^Eg=W8ao`G_cyS+cXz>~>otL#*VI8V62Kj&kiiS3W(aGDyzlZlCaX7q>0 zx$Y$idTSUmD7j@I^}$4R5w}L+Ls`Mhx;t=s0W!F&*YcZ#^uAE&qc&l*-p}EaiForG zYKXT1DUzVvO$O&wkCNy?LLwi_iBMBYsBU);Q#u3Dx6ugrTz191$^g3e3@(|TB_Gs}58tBYZ~1b+&_Uyt zlKoqezTtvt6|@~wqKT+CDP_qj7ljnl0me_zQ;CKRqtCe!@*ql2Qd^@UZJket%&mEC z)$-^_ zO0(~X2gPNd?tj$YvEDx;@kagly?(pnIX(}66MR<(Pgui3DR-*l^&Z`SWqRRX;(cR- z!+7SEC8L(LW75g?9we!4>ZS7>J-Dg-wi$cQfA|a)v*AIWc+(J<@5dLMKK!J3^)V_|0O5nMccHLCc&m*KZt@ zaJN9I?M#JYE5s6}{V*VQ40x8>VAH7NKshAQ)URmV2=K6ALjrVe0g(_sYw=6rH*pvu zjB54Wm(B!xD4o_+{nyj=RYHfe2VDR8DIS;?GLpZ4e{Ld2KHL_X?_SsvIhmxJPJoa{ zQJO2_K6XbjiM8|NxTC4)Z)rEx8yd#vYK_r-VUU>GL2-TI#ssR%G`*O>dmQrnL`VX% z29E_pfW=DEGLPXZVn~xeA#0#p8QNMRzO2UMKFb)-;4n5V2gE?pGS6&w`Cmkp&Wzy- z%}mQ@nA$>SsuS*$QN1E2o{7Mt|JgcO>sP3Htd6{{Qeoucb5BpX;aDX_HE}bTp343< z;g7)3xzb{*z{@L7LAqSV-=IQqtbfXm+`WqEFFs#q2K;!f@?0-5`^NVt^!8PsT3;tW zs%(%vd0*YbzZd6=xC0}f8iui}LKBuzF;o=q39yO;@uLKCysy}QqvbX6ruVxm_k~5C zt5B`yoc}p+@?$$VjCk_5ikN=IGGG|rFJjRB(JOBt=(rP1OGG{0r{(aH)ryhxFd6K7 zAjDFxGtfTG7EhQ+T3^5I}@7=Q*+PAMA z-R>9_N`k78h`v`XBwjag1-fiU;gm4CO+&GR`_S+Ylma=D-&VE`e0&0F7dQhPj8yS$ z-UZu#s;amWUwb+7)xKK>W2GreO$UPaq!W5!HH_;0ILJ*NAM;jZ*GYyUjXW{tm;sCnq zXM@aZ$Gs+hT&ksk!(E{N3j8ybIFyn;m(#1ZqSW>YTF%3}Ut4kmPPP;g<-GI0N-V&{ zaA~4=QC2j-#2MCWtXQLLo)hW3(=3L|GLb9&{pZ5l!;hm(#(hDhUk4YJ@viVRLVLV+ znjb{&JT6~m!;Xx?e8cNG7J%Z%*M2;-Vg7)R+NiPQ_vQ(9B2OH7%P{=o%nAE;m&b{6 z4Nq|=_Jc+`Mb}i7jG(Vm1vTtA2Vv58`wSCs+Tn#LiU&`$DB8@o!1jhaX4?;t6pr(+ zzhibp-d_j3rv!c0F8}Rj|7pT0zd$Uq)3WyM`_X3wLINVfbGkSy@YnR?JD3z9p1lOX z?(lltVCU{&Imgz3i@^vNm9mFrsoUVsKN5`aF)&AjCHT$_HvppJ*#54Zmsh!3chTe)roIHFS0UKGvXnwZl+N_KWs_R>*@=uKLLy%TM?QGC`jMU4fyI2s-I1CSgwGOqU$mW0wEeD= zj|?@X=iiE%gIadxZDUvHhmyuH`!`3H=E8tU+vtJJwlVdUoX`Dg&fZjRsL>t>OuvWE z_dahB`@6El;W0Fx2-)Dqa5l=D*<6k8-d)L+NryATm)KHuY-yz)xIIDL>&~KHbo@dL z^SHIH0B}YTP+DO_|C#%d*;>HXoLIP$rX`nI8>($oXn15fv)};ai`Rf2 zHq+hmwtl5z6~ztO{PSAQauLuiJ{8ULP_!4TG&vwM=pIi$7;!@eyZZ&xbg)!&B6YNB z7w9>Jr`V3Ti~inzY#ld5>&O){0v-M;!f@aCx4tp2AHj)hl(T`FNy+;uVZ-cst~o=Q z2FbZBzUbf*-Vryi48Snv%{Jz8#BC<5_*_mOOl$pMss;y!0 zYE3;htU#>(O#n;z%|N))Iv)Q{@?)|l zV_KJMxhF8`0wPA{SAu4&T_*RFH9UxsK@Uv9qCa{u)sB~r!SAZTP&_2B!8*70v{m`7$%anN@k%uml z-av(>-+_iFVLx=A<6%zO@xU1;i8q9Uyl#0U@N2!&=ZsPK8i>=>{VHGRQN?; zY%46qf-kn&vgyhj$c$UUJBcPV@>eqQy2J83l=e~gG+uk;rMeA8+2i{Zz7tIY@F!gY2KTtep6W{?$I9j(XjQU_8kzKZ z%GN~BzL1Z;u!GUBt9lLO;sT)|8z(&d)Jggk`KU+dfc=Byh-nQ)f{dXehdIqTGUb(- zIV&>~v#7*9tjBK4fHu=)E@XO;@(Ahxn^XEX#|b@^tK`cahIfhu>%fR#fM=r9WT;bP zx%##7NE|?aytV7y7mLn>7*#rr;;^vxqwBsgS;FUv-ytW)%u=7aRyCc@5YxI zqqTZo4U=ZB!JqiZQ|RZTzA9UFD)~PH+3q=nC}P>fN=w~$zevlP%O`Wh zaI-1s)bsO#dHQ$N$Jr`H4`*ohM5hyfRa%R($Fwk&umA80#<15WH_AKQ0XLx7Tvk6= z6($fp*_?_{3I69krMsz2PP-jt>@{k`V_tvYqd7;mc<%;Z?=0Pe022nkY%klTo~*-g zcA)%+Yr6sN znYf+zEm3<+-8bYvEUl%=5O8&h=2%uEx465J!sdjr|=c~N$}BU{AOB>plu<|sgZAA9qL8CR1Ft>(G2HG ze*aAUldfcnC;Y#SVj4S;fL^}LOp=940Vsm&;u(hC+e-w+Qf?o>mUNYLPL?xHfC(z$ zXKAVBwAhs?36QKn8t^%i_1D6yA7pxepK^FS;^b`m%>M`Nf=MLUF(JD;vxGi2;o~=S zBy+m?X#xeJt^H@Dr=$CNy5}E97~V#e&o=7e7`CbS`>#B+&kFlUNjUXH6+Yc13-FWJ ze+ZiRofOOlfv+3vCgn{LH*_>(_ocQimv5&+K4KgNxgC~-C&Vcc7AL?qwQRY>K{5T3 zs(V0Z!cSMh?&Da9fb+`hj*-kpJ;N%u#*9Y#;N7Z!wHu!Rn44@NUw_P`AGTCb$J-* z93o9)Z!|#d4+{yP3Dv7qudxKNt~oW`6vqebE=@A`G9f0JKS+IZ z@TFa#uwH-_{hfsyhAj}GvokmU*udyGzJBDWwd4HGlnxUCO-qaOT)bK4cht2cS>fe%9SMpr}dROUrjVWbA^Oeq?sa%XC zHI3xZ21RJmPzBfhLi}v!4gL;r{dP+?R#>%&-Th zszz+RI9T~;`n4@V@0ni>?hq7Fxxrm4-0uG$a^d{E_RPQ>i$THf9jU$iwvH(f%T9iA z%VgYJ*)z`Uw>@rulPTs=Jz2e0GuBv~W44A9pHe(knGFa~F!#->))x+y({bOMm2CP657ub8Yer1NUPT?#vFpC}WkOWJ z_rOGAl9ZxXjc=>GE}lW?r(BH24}4ZIL*ObLb0{B|HX199Hi;P0-3p+1b9}dcyDwkl zuhBOoLweN)ftVz*=+CU|vdIIl@dKNQ`eG{x7|4N4Gi^C8z=Pk*(3?kIrf!eEH=YFI z;L+~$OMAWrkH*_Y_V4FO#00X4?!Kf%x7>b2<-T4Q`1X@$qV5MXx4Z_3O58S37ib!7 z+QgL?t9bb4|M#0_7n_q9JGXW9ZIXF(APg3HW6dsNf8~pLRU+nsx_M%miefh}Nr++M z9(xn5Qh)TZ;gKo=60kd`zv=O{rQ=IvmxPZmt(jG%zI349D&|FAvG`}~3&kIXEHY~i z5MER%bA$LO@71eu7mejGbj}rFIeTgb%6O)k<687VQJ$;sE1KdiXyj%Gj!3+RNns+2 z2azry@OM}GvK*Rjpzfjf_qH5CxjyBY{b$!z*a>tgnWBl5((-HdbbJ`=B6oW}U4TOL zqmCV~6`?Z@APLeF?|}}u**B-vcfoGPr0wcg3P8ziG|ES^C0`Y>_-zys$0#*9Z_ZOtBo8Cl7x}Ce=eIC6$lCgxk zFxWkS#cY!8*Cx1baa3o_OUF#Cq-N-N?!AfO8R6P?KWeaPnoPuSxo@ z9YbS(oB$vqUT0Q+DsESa{_%kNA;akYU8$(rq#;lg?dzCHdOvlu&s!JpsScx=mg(~c z2l|b9Fvrf!CA@w6L->b6M7UqJJ@PH3otdiX?=n7zRjcvYml7_23%BVVwfA&Y2YfY0 zFCE4o{df}#2n;16{HK%1M%~tLLG~T>zY@gOT{6dvsO{X@-RWAHLGW*fJVijw?)H~H z$XYWo(3g7a0XO@a^X^@^BIo{tzRxHp4y4-?^zR}h8Bm=HMR|qU`wzWSo@U?^>ik5v zz+e}_W#DW7qRnc9jaWqS_CoQRpW}kDiEbpqTcHpHc;LqJujfE+m9LFAQ^o)GsCkfU z)v%ODe;^Z2OMi}0!%5Ynx(FE>|6v(2Oi3h{lK0%6R9*hlMo2ED z!Ku8H@?rCCcD-Ehb9ut52^0Bhf|*5o=a@EwT^>5D+L73MAR{>qY9)9Ct6<7L)ut^4rFasIO4r=gtWy8ZQW!V9*`hRU za_%u!Kg!N?aC1+EbPr2<_<6E2rgOrYWIM|m0d2T-`mb5pG3HT~t4Vn0;|aQPlqu5{ z8Y^pY`3v>^Omc#>_p$oy-JhO4hA~tjH&{Cv5Yt0~t=Y(%MmR6c+0!m!zY)a|3F9mZ zW(&oI---`wXTh>`xp31GdZf*-FKxjo9JzCsrqv|||M{C(?D-he4J7{*R` zxtsUCh_MkBlNg(|^~ya2#*Tl9J4DQ$)T8daML=%CPQ=Ah8%Celv9#$A_%v+KR<|T< zDyR64uoS$o2j)+%aID`D*nkxYZ8YuAJ+B5*wD-7L03$%6Q0xYSV!<8dV*grI))?8l zkS}tjbU)#B4_I_>-=z#W?B{MjUJet{%E6~PS#%|FFd3Xp`mYnosE~PnZ*Wrgo$3D8 z#`oLVs+GGVBTiN`nV&cG(<^Ywz*bT!1kPTSqT_V1n?q!|iCpbnSdRQixHI)z1zL(|S$WxWXv?@cDBcHBaZq;yFOtz38i%zi0$i*aQZ+xw;1)o&) z(yw=S`xd(H0!Cr?SV*=hqPBfs8*dsSe;GN+nf)*oSwBBr_+fgkVxEOGQ3y-4wHyU> zJ~JaGbp0JF2d=%~DE4l&3D8!8Z3cUhZW*2=E=rNEho_%HCicDYw_sixE96~%Du%%I zY9CPWg#jn_uAk%oIc(o%>p?ed*S~FI2gVhK)ck^)C;D z*#G%-p(yc*EM0C+MJgaqVn%_y>E8FNC+9P_VoWyN=Or}PkGW{`R{dDk%}xn2^yEL! zicWyn=|{fRAx=$cV7j8X;`0Xg79gW0>1p4Axb{sXtSS|E;`KBZMi(Nlhs_?48u@$= zb#hS#=H_K@55I@{{=F27M`7=?>rrLK`Boe9&m~IQO54=d#Ojj>&1} zVm}jrmV2NbxpJ|DbWYd$h|AxQmSPtC2DKGEh2x#LqIrg7Oeeo;E1ymE8mqoc1+FK$ zNS=b1P#MsYXP!!9Yv5UZ2l^UW&Ll`xBGzUPb#CYkX(iz3fXH(?C9ClGv2wTri<|h; zr>d596k-^5n-2>FiKglnr-c;X=D_Nqo%Wk60o55bfVKRDL#pQ;qr3&7;}s>x%gtrL z73_nz8+L=`_@$`qNGU|d{F{F4P!AYMR@EZTTRakk#D`Ro+X+xy^FT7bU7>aABhhC1 zIDN*=*g$Ti=+<{T;k*0snTG2CEVh zo$mU7fhX*K!OJaz#8{cx1&R&QNsp6q8f&WNz6V9EQLYb=H6>o}7VR{v0E3GDCGF;w z!%7Z&VSHTBQrMO9`bAtK#Bv0F(uitUw*IUZbMXrev&;JqKvP*rwM%ZrYr)W|b5|BBY+k10b)(Tgl&)bYa4x*;g9gG9LB*HO&0iXisVQ#d3Zgumn zzJDCD%`10lzaT^1rKZs3c!?S2i)cD_Tm%M1$U|kWFCahbatJ}<8$>f^cMZ*(z1VBp zb#5m`6E$ZSZ&!w^`X%QeFQv-wJ34arD;yjv#TUJou3l&iwAIdvtxfZPX{fUXzvFuf zuZ#fH0N|yn_BxI<3rqjN-$=eX6~}+?DKI4BUjmOW^l!*+5UYm0G&V5z6=v;V83Tm{ z+?^52-2-NYu$q>{@e!R6A<+Z~lx?&_KAir2E~lS188*Z7KQ5k>8}eVhN0z}?1R4>) zs9fNhd{RJrs8UUQc9O?#datLl3~T~qw_YTJ1_atbR$thGPiF|B*;>R`2Y44%LeV9{ z^K`Pdx6?k4;;RoxW6h`y7RdhJ+dw`fSHHyR^S$AlDyaK1!;#Hzv9Qzgi@=_GCdJr% zQ?#VaP>x0sZR)@p=jKuYkObhhkM^c5SeBld-=&};zmE24AU~#WumVb0K0Q%5i)GDk zExE?IU7KDA?N$(Zr(dT;g6SADCX4aT^#5!@tpZEUG&nWi4f4EJdY?`G(k-q!n z_{7w)=|i{WqF(04ZBJev|BCiR)2gGSJT=ztHr+&^^asY6X$NRf}i) zr=&(Pf2n+V+Jx3qeUF2CubApl<3Xd=B%fYUUzas0;6QU_yfmp)(JL2 z1_33^Yp9`9`>Fz#!g z((^#icXI|_M-TbluAE&bc-j8ANg(DqQd~cvBpbF@w39BC1%o``*KG2t4=5DFVr6;9 zAcwn8e5qtxok?#*5aS7(3CdmfuXq4@k0(FXkQCw=VaoNJdp-1A>j3dS1gkCge~9|( zu&BOpYr4C;yPKhg8bVOIQxv46L%O@W92mMoK|z#88V6|!k#y+pyvN^nzvuq5A2={) z?>VveTI+q^wVxa{)HT&c$@aazeUdme&a6iZ#Xp#^(CI#mxMhdy`A6)RV-c15gtZ{m z-U`V4MallIguNvo(}#v5!(J2G4v{ z_I-0Z0RRUxrOcd^a~LO?+~3BS$Y5^9Qr7*-8;RlE4_lbf12nzdZ>r2jV$lGw-a`N( znhEM6k5kB)K}3P3)S<&%=wdl^&o*r%JhhSe$`)jfk}~&&2GQVIcMHVxTSq_y>7qq| zcfuO7(kDvyB3dRTv7+a5*A4eV!yh`vIo>2wn_HRn-3pt2d*+{=W_r{r7}k|_NcouKg)>1gL8TKN(G7su;aGcCK0Phn0e z;y9<7#4)LvgyrL_2tFHH)ni*%ULD~kL5F|Lsh_C|N5F36u@Wi$gt6F+ca_MJ4uJ5o z7=>Gq?Bf*J_KL#5eX5Q$$r0{;i_Z?m2DRja1zu@DLMdf#}nhlJc^z( z9-+#9eo`Oz@~MH=8-<8n%M!zrAI0bC*$rxiAM1m1bF{s&uJ6V2-VtFEx{Rrm5Rg2O z?e6TBgxH9+LNKEPc$~XY8ULa+%rPoAWO>yKfj?iO=z__^!({rb%-p&dzZM7eVru`2M9_SU@SDviEQWYit5rXp`&PkP3`8^CU z2`+(b(4$Zp4`(UCLlrkOZ&S)GJAW8e_p_KXUAjGyLYXY%QkjyFXC8({OvI=tQQLAo zK$_3og~Dg=o*n56Cb&8KSAw7ojbj)&vi-*}m&qPzExDDq! z451C%1@qGa&nzh>qAzb?C0$oC7ptZ}FRds~1r9`i+1G@zdbtz~Kmkw@5&Y^8NEhZ` z7r%g@n5=01rKboBxojstO?f;E=*iCuUdKC1I)?#i@QdcYg+DuH3xNpGU!PdyO(ut% zHe@3_DWpXAq%d52T6i|_^k$l|E0E}Fp3U>u!2h%@8mafj3hLFkO<}T?W0w90=^r`bHD)QT#j2Q zg#7&D@Ik~JTeiyPazP0cT=s|_S^!u!(Ji&4I5i zwG;g0IkZt!<`pDh!5`Cq{$sD+*A7Y~xN-`U@$82&@S%Kd#kx8lXvZ)|nh+tU>5PZ? zq3K+$J-gB;3zc*;9~QX7o3{k)cK9m}2wULq=fRhKNa@>Orf#`YILf3|8MN3Tm(T3z zqIuxcfh!$9YT)mki)5`K%6HX1%cSc`%#)$fRqmx{y`imWPyV1^?Ytak)AlPJpHt!Q z2_J?!R08^@el#?!$;q`*5qG)ZBYw~w9@$feQ2i^A>s?lh&zT5iWl|j>es}x zwVfOD5}F^38y#N=I=5`o%$X^x`rfWK+4#P!E}{1DZC;xw$(lfu?-(sqYs`6ft}MHB zJ`o{TAg?MeEKle3tF;r-Qim@bVYlWz?V>`AxJI$Hsw&!1h+L)Py>00lnq5gGHvP!w z{ousEhv#4{EOHD0p!{t3zD<8(iRAH4Dkc`^#z2TnGjCMlHXGUPx5=_2H&#aH_)xS`kn>S(T7uptrSka)!Uqs z^XBDAuqgagMmax4DR{_%Bsk#u`Z5+8J2mF1b~g?hbHm;Wq09QFig%3T%0IOc!aKin zq0ohXAnVDXAvi{VAiBZ#r)uzkU@OEf)e}~K3(m5-9kc~rv9E`g#mjdc9;?_KRPXHm z{{nK~tW&9C_$Z&0`J7@l5LfjQ&6Jp_DMviB2+v;5Pd@nbzXa12?6h|mtJ7*QN+ejJ z0*qbZwiYR$@R|-ONFuh%zW48wjuk3Ze<-Enz3cK4Cw~R9c)&r@FhpJ zLRY|fnDX8idR7$HLbuvM%tVMh`zowOe)XQr{kQ?`iu)et`YjH7HO(A_40mqLl929q z8}Re%EQ9ziRtY}lalse|+AB;M&F>*U5wDBSu58fZIK^ig#S^cKCxDhcOq6qV+zd>p z)J2gtRRe5~y1m@Srvd)b{IvmjHwg8CCE0Idgy~QEQo+RdIq(HwFv17>j*Cpo&X(m+ zjX&z=iL3mtJFH`>jq}w;Vv1@HUX%+P%2LBSBlJBvzG5@&(ul*WL?Hg$jDPDCjZWN$ zZ}0h6iO2;Ds1fhN&fbM}C=C3yZ70e~OJua;nKk#|8`OL@a1RYyT2~jHGZ|-2aWmd} z{mqB2k*IV-;j0+GB4gFhI$dT+0qjIf4(fq+V*|SWWcFD>1Uj#LumYBUPd4jrgv_&f zc2%mAjC03tJ~1mI0!`KEl^JJhiwyP-P2lYJPybg0&VX$7B!s2MpIM_Ss#W@hGtu-v zhsU724c9CPkEI8cF!>0}nR!e}0L)KTK*u?W`7e@~T|3wgI@1O0(O%1Hx3=gf1D>rZ z(2u3^UV1#GJLbwy;!p>CmbTh44SdE3;vc0{j~g_hCNH;SA`g>M$*k z*eU@EBHbqc@uHd2BE07Ke}f8$2;+==yZJ925fdpQ2t0*q-@*Vox-lL%R?NECL6t(@ z-AU*@g-{~fLaJ4xJ45)6u8=k@du}MF9KLF)ewJ}xnI|5UI>HAvq0$Al1X2N(cm}@^ zLwR2xy>(tq`y)X;E-qjRL)p46NOHUU#f0tdhqT}7g)gfR4mm~JoJ3bUzf0a}d=SiV zFm{;jMrrS92X>ofkunwd%l{P}BVIgroBWi@sMH8yqR$p!m9w($5VV+)uzeL#_9R0v zDVvcBR-g$DsKBpln${Q!c%U*s6F(%rt%$eX4Qp02IEnld!aA34D_PDEM7; z0Q1S7(vNIWnS`Mm1?s7la6)n2-%h5xv_(LTTg&qbok%*e-IpwXV0$3QFP31+4mg5xUK$_^r-PjgO4&@p|@ zM=r2kCKsEbAq3RxRALeZn>W?4*t0mA@jxMqPTbiX(`q~#Kh+M*Jae`Wk*l1aYu|7g zR~W#tFZ)|Bojo?UDl_1G$T7Tep0yV{qq#Q`Od5b=m6LF%v)v8wD(cz~oY)3=GuClN zo9HpX)!?{X#R-|}bU}b;Vaf7)R&*LV#B|CCC~A8$4Yw3w-^CB_+sKeTa>FAk4Mg@# zvbFO66oivC7z4poFl8c0$!CR&=;i*itdP_=nIY<|yD)vWTg+J&fVtff;=oSA&kqHp zHh_s*ZhqV3EZ-%7=--eo`Z?&aB2rJx7{h`=l#^hbS~o zevYa9&t?>nF@&2yDKWQwsi2)HfuqZQ8YB_7XhjN5TPxQSQ^uOev%sP8_;M(yM%|bn z+Oj|MZ-+L8_3ndO5Q$=iUl`41i(<~XfC$29E@ZIuM#7ZV zmIs|0!b;_*7q^Uozm?>tmR7e;RA?@yfZ@-oLyt`q^!}Q`?=NHVvN*+I_3%vU2n~AE z5`BlO<%s&TZ1s8F`LyKTy%6px@z4STinF=3-8 z$GvUwSIRJ>{G6MNQS|noZSf?Wlff*S%moIF`?{nJA9P-bE9EBK~TRC5a3!i31iEQfpsKL0#fP_80Nc~)ojrqG^ zyhtXAn}+SM?1Tu!@#|f8m;J%Te(>d$?1bFaJ6NFQmDJst)U>qoqH~j6QRfwQS_R?8 z`f4ZUF|ogQz6gc!uDhx}O!P@FhYdmA?kRG`v3l`h?Cel_B!nCNL`A%<<#63Zfv?aD zy3izfVsfC;KEKAe&43X=8bQI9oHx4N_VznI$WTrLl_xe%R?BE0Fe<9$6Y{R;byUxv z8h^aaVG}2IF!g&ANq$XfQFs9kO6tjVeYWA(pE{fG3{FuC&elY1oQ~y#k>;;g;iyd6 z4$QhU*IY}UaF*&n?}-lMt!X`a=%LELaNC6Q`1nb1OlcZWP~cM8!w+bRjNS2>;}4kU8ojcL=~f*V~}1D?-Wfj52ry;2ZU(tK6p$02bB=2d_3n^8sRM~ z1=%e$c%N2*g&_Jcvucpt!3vgQL(>uWa7_t9qTeq0ra!nlRr9y@-Bn*8+G>=$Ua%Xd z!YBNfgcl1;uJ~bieMLJ)^zk6WADG$%JB?mih9fc2!Af8F2Y+AG*v4;n31}{T@}uro zXmXL6E3$T-XSs#{`NVTKMuDsR&~=Tbsz|(iY8= zGOKds*PL!URCz69X})*QeDO~IOngIySH-yWtfs8R`SxSsxUu&9oXR0TbC4qA+6$~> z7?EG=Zp{o&kAwCLk{U?L>5%R)CiYb70o%m>kngfw{mkx=Z-!gka?PM(<9D0O-fCS^ z;IDa@yNVf3y9lO^iV0vVyTfjY;87XlowB#>DX4 z_%rq>FOIeQD5h>stS(P!Ud^kOA)q!D-zsqF&cqca0QRks$+P-V3*%_&-^WrDg`&3D zct>`qaMp=?e}}q5ig)#Dx_*;H=o^->p;tdPlw$#Ll_* zh0&d;$KFXHkhQ9I6G*P;L z8Q2@Ty+H&0qy=Rk)1W0CN_eEC_(1g+-cif4V_~GcPmA98V$^rT9-JPUsC5Ca@s2?{ zX>SXQ`Visis`tQ_!liT+&hS-Wbw`8(K{5BU$slVqkwRP-Ys{9>ugBE>6{6xp&AF{atV&SDuynQ=mgHMRzUrQxFbJO`9VT>k~6m*fky~aAa z0%D}|(MlV0I2>&pu&5_8Vy%0uU)3McP$}58C}Vk{49fC6G@a4^331)jD2zAz{z`zm z+jl3Rlnd7v@_cBD`iOX(=4?S38O_&o}_x@JM0m@xMJUJf~-hjB%6W-f(4+X$wvYvtYVxs zZFI&vCz$?@^$nS5Y#g7y&W;oA>8yFRTeRTaiyddmpuc*_1t&N*hNVvPrjxs2e(|!3 zQ%#k!!&_&~;thpK6Cr3f&b+Y7;6|DNft*Cq9WW*CGXDCJhl>&&CvP*07S_XPN|yd@ zHvKW#RZfVV+BA(>lKAM?)~16+ByKZnjhW(tFWK}kbZ7h`tL$Z(3RLNz{g*!+29lcdYO`(c|YTkQApp{JXk97Jkj_R1G?g09a}Mc#OmRD}-`V!mxL zV!FwHO_1T$xJl>q+D(Q102i015Z`K;MNb(f zQ8C-5`RD-4veH%s@X=WNasM(6bG@3sy-J3U4e_)_BbOd$)PN%yirh(M{nM-;^Ou-x ziwY3N+0@@E9=X`-PD{)S^g0PyD%)%&BN|hUW`cJloe?}yE-d6$RGZ7yCCWVhRwXt| z>I19{g?rT*|p)laM8}#ERE4dUbWY)wLKOJa!kU1t8$TD5t z=uRVo>5zVgGh_qK_sXkt+)WKg1QBN+4F_Kg&xxz1v?xWbqU&gg9XyM>Oeqj+O`Vyok3ec+IN&MZ9QLncH#+VhAK4w9E5v(%C%fII1R-Ke~zY3 zxb|=nd^(%ZwZ0HwQyeZ+&C{NI?Jh${#-PCN*~PFLj--xdJbUGfQl2qEMwD($-VFKD z8Bn(_CdFzMwkiZ~BZ6Dw!aER=2ito*;i)rsQ)0}IQFUuUO{>t?AT0vXS^=UbIF^OZ zP70~15&Cyu(ZN8pjikpEIT<$A1W+j3UDzu&hQWm^@jhAw664t8(H|0t4-w}h3CYzH z<1Nfan5QdE9qJ8@wOGpR^Xv3G}8k2u0{}6%5*D2s=5GVXFA`CXx9(-ERH?Q zie92Za$GuFZU}FSDMMvY=~%9PW^Y2SO@Q57Ayb~=Tj*8WDmO89YWhw-(n%O^cdwzG zxk@Cpb_XLl`buP$)%GS9*Od8ftuzw_*3YURlA{#q$%lVE<}a?%?bAD*i$-c)x{!H_ z!zs)6y7^fc6_6dz@T)wbL|u_fR0^|yX zh#2CUEnpfU;Y(D{`vtnfyMc|{Yo~GHE^~e=Y|m(v7-gl(pNy4UlkIPGvy1-&)|1!KhzW z%MSx&^ge~uR?q$#I?kwR4_Z{9_9ej_)eS#(kF1SH3`uJ#RLmlv?}W@7UMaf5NhcsV z+7vE*Nlv>EuOUy66cfI}?kuH+Wi=%YLD2GY6p?KHW${t^$$UR4RDJaGcC?0!N*}Wc z-#-1g$fT;#5D9+v`6lYz$S@z)c*r#f(~P4)kp+6rX)ZQYSf70v5~c2?e0@e+xVjT8jO z_kyb)#W3;Prk)RId??OrccAb00N$Qlx)zgQ^DV>s{CD|pEIaI@JInhB!eZ1=!}7o< za*%eD&#cA|vJS4+6@8pi&Z3P^6$8){a+6$FO1WG))y`o#Cz*(#I3{h9D38{oFfYtC z=zDytyx6AN$fA@tX}|Avn=lpZ?I%MyqUH$Q?ByolDIDj?aT^(sj84Yrm;a|$Qcqnuo+ItULT5z(Q` z%z%fGZT^LbJIgJEG^u_jTw6_S!R$93V7zcj8;VP~^1kI+!+WB9Y1~r|Q-=mJisI%6 z2QZE`cu@E!hH|3hnBujxBn>j}*9DTo^U!NG7;)u|*0uY8v$Sq7P)8-ik98O%6 z0*_CYAf;yN(_-_SQM^9Gtg+jY-}_Fb30uRq-=(p1dT*9r&r$SMNE72ZU~+3Or=Lm&cSZ`l{PDB~YJ z^cuE7BX9y6)7QGxmGf1Pn?ZSJCP4~o1`lAN4`t?Me=s?&@Xe9eLL`3D{w|9QFn3V? zYryheNAqYJ4rv;+uTqbu#E=4w)rJ`~tN#P|M?)@nJ;YMsw~+V5v%+X=laZv=$-$hT zKTeJ@=;ni--*$iEoQ;B3J#Z)xg^~7s6SI=iVnLL$(7GG;=-=QXCdX{c@#l1cos3gk2*icZVa)kXN zQLe?VkHLV0Pd|w>?%YnviUV44`O#jGJ*P@!EzZQ1sRA>=;M9^cK8>g~#lR04N+eLV z$edQ69nOGSea4?x(X7EBwm|{t*0v$YOCA0OYl+`k**8&qTC>1IomZM zU13!)!rIe6a1ZEJv%l6qICfx`i;_ts7EKq_J1FkM-MOL&TD+|9;<~;6bgu{dcyRoNK{bYqMbp;qi}a{3J;eGaM?1pCt;=&8 zj#Tm7sj><1DGs9etJ(5vYfy2}bat$!(aD?E8a9~+(8vjN;`xkpqCZIA>?+pkB-eA6 znOPt8pdzOc<#1h(8$KauKp;F!-uC0DmubF*`2BVVtEuT4Xg9b)?qS-uOU=qW-1B`= z?#|^X;A*8`Xl=qsdf4Y9%q;t{9h|@DAp>PKxAA4G9R&V;grzYIxamI(0j4sdNB5> zOGHrkwX6n6c5g!x;)AD~-9YAYM@*ohi^(Dx|8_4G$j7$E7U3L{yE05yDJ?{f7UvnM zWE?zIORO$^{_|BmK|Br!Nec4)YV%ndf>t-?YznIveM;G+EG%wWEzP>NDZ+UjTJl{i zn5T=8aOkRsnh-%g1s6N)-D|B%sjhGoJ$F5;xmJ=H0felj0IagfhBew|N1a@$my-2-wQ>R ziM&IHFtRcZ5#KPmzD7zJ7OZ(_!O*3DMpUHc&GI?ws5Ix8vkcB4n9TgyQ(moM`9O@N z*u560#%RR$C;aRgS~j(Zwd)96%5QaBUOeggtV-+m@!jH5d#(~QmFNf#*gIlGCLk&< z>z1d?g#HHh36NuMb7Jr0WP^qJ=3xIR7b&?n{-SQpVL~lbEr`%b^~o=-C%y6IOV+RP z`9#SYfDR*)NHp-XN#jjCt;%>x<16A7NzDmic&-eL&~paUFXl`$qNAD%q0*&PvCaJ7 zEM`t-kPTJ)+&^a^LuY^8Oou`BZQ7<^@q%>fm5a>QXP;xl6u8DGONFivo1!+u5DMB z3@Lp_EU^vOjgUTCjdQ)HY2?2)5UZ-oNGRVhH)jxhkjJW+|3N$ih^R#@=qiT>9DYZj zui&HS|FJyvSRYM6>00%lNX@gBt#2Xe|A)?d z@TN7dn~tQ9TqUJ$GxN5Y`?tN1w4utL$|Gr?2gu15Cg`f62yzRKxZW;Oe6Ymi%LWX zAQ#P`Db1`D8N!&z-ws|pg}h3)77!vtIPY~FbPl;nHHouq7o}G#<|h%d0W;M6&k}Z; zhLF*!!p3+=H5WRZ|NG4pR=Dg&lI6_t|D&l7lnRRMK+YDuHq#DNuuBn?1~~P)KLtQ|uU9CpHpe0il3OB)q@ zq1fz%HWO8hQ!>LDB|1{OU-ym-#2mDcJz2LiJy7ecQk$+m`)2ls^lH{eqTY^Wb*c*w z)3Ab=N3i0`Gb20e-}0=Y;85=RlCtknk(a5c$-CGQLgcE7irM>Rk#qPkLYpxl61Yki z5h|r&uyX4e_n0ZB$3CoqZcknKZ5@=|BYLt)^l+<6V?}?%W!5k;6DFvJr6ryVA}>aj zQ@RENQ+D}}nE&O|EUt#RhO>_0oUz{XQdPCK{e57UP;PpK&s6(eiEhhOE?7!s0dY+G zw5xbH4%oFC3>^59DMuG12jgAHJF9C!kPo9@uub2)2Zgd#Ii2C_D0=ptv{C>aEe8GL z$e+>ZrvUDr9Hnoj{Jo*zJlybPGmXgNt1^!x&>irBhvnbHN7;0kv#+RT<^{Swre$u= zR>QeKZKnOk@s%c72eYm6zna|?0vM)SyR#ZKyH4bF5p0EH_&Uh^Yu6gc3YY<(ZesMM z2(C_sT4MAUx|80Yc-C20%C0t2CKk(}NVSbnBX`%9 zm?OdTSK%MGED%H;^yn{&wap7rcBBm7!!^_0jzRo)7}ZQtj(%R7s9fj&t43(`x!@Jk zOwjl_gDS)!fCR#LiJx2KzUTu;5&b@+F3|%#H&`UBF^a(l^X+W3mwOfkU6W0zW5KUQ zn!I-Ahqj7-Hr4%T@c2ea6YyEOLQvB4?)hKOGHb@%Kok*;l{cEyL*^bBBOZEtD;{r= zqC7mY&TQ0|uJfG5_)UA9*FjqfZW-_}lvl`PTI^H6c^ksra9-S57@S*pV>pIq?(Z8l z*ut-eDw9!~W&>|pbfJ(k_|oEN2K4^9+iXG|loTSD`H0_U|$RL>P}=>iM* z_vNb`5+K*D9W(rcMS}B$fnjX5?;kUrbXMbD4p;=20T$Y8sby)|*#8Z6u_;v)Q}~J6 zmH&A+@ae3>*VgroyYoXOc>OluIpE89Spdhcl0$+m4r#$Ki7k3q$w6AYxtt|j+W8K* z-~F?4x1PwAyOn65vTJ#nUcKZ*MgG0A5nIemlnn)KKecu zX?31%3c+EO&4*QJQpf&Uq6LSa+}1YN&R#bI5vb+~=qSRVd4gGa!Cq_2OJu>`mg`Pd z<~qq?GQ3~oz2`vNu#ER+LwpN=aP-3S?mi?;O@&Tjj$^0mP#+^u#NyYnG^xF?Cjd@!2U5Az>qjg8TIQ zZhVEUe?MMEU!|0_4GfnbR2H30lG{jU3svFR^j8(o>`IHQd$}QFBmYtK1ZUr)Ws<$7 z+BSUpeCth}=!NSX^?@?j##F4bc}UaP)6SB~o#N&wB6S!rirCCM?7^I!`A}8VJ1b@E ziR3>PJ8UjSqGEYtb(*7$Z7&~j{g_s(aGv8lY~EVocK}&gZ&@zX#zLr>a$9X#U9}zx zrhXfqjvj#I#FhPlt8L<)=CozB$?9Im45Om6MQz2N=HTKuM;-4d*;`_(uQYd7)&O(* zG{E2q|1tPei=Vmt$9NM*+2?keuJ~E}IbI!BA=I;wI&!-#IBLn%wT^wM@mQqWf3U9Q zv+Ws@I{QRw5E44Xw&M0JXJoO}<;%3HSJVW6lOHR%n`PL>fDo*7vD*6&+`)4lAEK+! z7C!&d6-B$h;2x^k&BlE(tf3OjdULN0_kS?TR`%tui5zD77oU zU4r?CGTO1%`WBur^xFQ=me`lG@eJtG+Qh!o*IM9N?~z%PZnvw zIA%oq2QZ9_5|)bCIG5fsD>iZr-x_e#n{H~Pdu8Ex^w~@a7K_+C;~`y9w?i@eAO+Kq zC=)?$;q#<>*dxuxj@8dcS_;EKrinRz*=sK_saPLzCTzg*Y0si18LA>+Sj%Ax=OTSn zC_0|*i)sC)QY6ii+3uS+@%!bBWFvpj^Y7gPy-vsDtxwFiyT%_xkAgW}UklaZW_b~u z1b#yqGSXgZLFnIef5Bc0Y4nxDrCUMd%txKxUa=2{8l{Q~7l#k*O+*9Iuz8pMN40gE z6SGPn9`c86?`<}^6qf2%D1nbU));xv;^R`vjaga>5||kn8Y_e@{oUOL*Y|nX$lRSW zMmZ;Z`YD(0Eqq*f_A=4UYP83t&u8quCl>^L_%Bi&rLUlk4kz81#QW+GCBqyV5g`8# zApVrJ6W;;QWNko(eaK;Cg(p%NeC@{f@9Q2WCE|3575dpfw}={nn%4T%`WmL!p@yV| zbs#;DMO+2+^oLA(Z#Z5_VQY#LPWs_>2Ie+j^MBJ@zduSYc6XIuC&Z3Pi$TybS5C3W zozmm4$eQ$Nl=(2J5DWeR(aYo&QYU?g^R|P{`Evyu#v1b%7s*9{^gdCtw_wdGUtd@qM$E{wG;p zf9Ly{Z5l0nb2VV+6OG1FiA@?YjAnJkia*;mx#!dgcNqh5rsB7P7v&SK=rD~hJ|_m@ ztbI>oj~e%?M<*oyA}Mewwu>`5y%A594keSO4<#u=X@!D(ugpfs#gt!qxEQhIJfrA( zu{y%ceBU&P+sj-)k?>mRtePl9dohO|Z3TcYGeGJ7hW$EUATYdKI6;C9Rfj+7) z392zbqt`ZRf3*k?>7J{v8L;5~#6n1_!%i8srClwODz)%oOogEU>)K358S1)_6qn5p z+QD^FuE|{5 z_TXDj*0w>Yh3iaoWKn$0rr}P5 z?TI25Px965B%lodT9fX1MHq-wRChystG;4G-v@=LL9NY?v5{NwKH1}H&0wT+Dh2jaIUdqE&e}5U zzB6RsN|7~{taq0Q(t#c4ca+)Kp-Ju7UlsdnZ1j0ZLyV3c-xIpGU=_Th^ zIh=8+1H;$5{4*UYw=B9e75ECHN`H`Y)IR?r6F`rq zlyk^r3_Gw*TOl2n=i}!{BR-+ho{`%a^v6!d6yr6d%M6Nlg}C4X56m2 z7RlOAPg~2i58Zv4;z~V|kU(FzH932s+b9y;SpO{jRi`x5gF3)AFH|fgxIM<@i549l z;8Tsf-0Kz2CpdHurDm&9A2_H6UQP)LxZf|a+Fa|qt%mBp__!9gV$@g?GO07p@qJzf$tlQ6dUW3B zZ|e!l=)$A|d$YP&*_mSt&tEpyq}a%~_0EmB`vhD5vLiXX`#0xKX`~~yUDk9&_=q9; z`UF1(O1fgFyFXk3zJTZrHcG2r?MyHNlIGe=)#=fR;hNGW0^>mye!Zlg>R7+zrz3a9Mei4C7Z>W4 z*Y{=Jf%|PmskpKB!BCLhnX6d+YWPc69=t8naLl6S+cR60c-@OL_6)c4Gxp`WamibM zqjuou`f-_C&oUS+ACVXm^2QZ^e?Y918a;L^YsAo1%sK7#1`rU)NK8y0Arh*?IU;`f zkqE5$hnleX#BjW$_8Cj|F#972$26YlLAGu)e_~v=Zs#nDdvP06r8FSPtR0ZF?zZLo zxI%xpiXIZgNl8}h_?MsAzbarwB|Klv#?8Jj8burLKtV^ThIHxwd&CHd%3=1|_lW#_ z`)t_~%>$vkT?$ltsa_$^RV zeu4+U*n^gbw0UE(Mn!Gskgwx?Th#A>lPnMY-Sju2&<5fahUY{omz7;BKujvH%h#oZ zwD>)glMtpq0{+7=3ijfGAuq%}?M)7Z{xtx1Fp6LLUcM~jwcI~@yXl2`pdI*C&7z6o z9Yg4&K(bC}cF=R&)>23Ss>fi_SBB?vrUndM`ZvYC12!P=9aa{`jfzA~KhqNjSd)Zt zzha}e8mu5~pF(6;s4NXrZ)OUHm~IHc`EZ>RJ2-5e!t#57j#u@y|Exfe*5* zEQ9t*PCv>M!+b`DIHru(UV!+l)T*C0(=<}1Eb^7daqxt{0J|BgN})Ob){x(X29le; z)-2t9m0TD{I8|CR0oht6n2T z((};wyS>q!lPIB<0lf413uHLf2a|tE1~plEbw!TU;lv1B+x}#F#imu()AfI-^rb{4 zDt@0h>)gu}2-|AZ@t*(;G)$%reWOJm3v0EV*mOQCY^=A0t9UEiRBU6F>1S7QEg|0g z>)Btvfbni@F+@ydr%&nDgLoAmp9lG}Luav1y-Hufn>gv_j*-{Ln;Tidvf5v85!25o zoWBT-e9az37&hBVjQvrD#?ISA#CvR@CEBE=v>(ZcS}}&!b*64WTN}N$h5u>&{xgG* z?B9o8f?(5MB*h_xxMT|9`XeEJNkEhYOjm;>HtZ1{;}Uq+A#n;U@3Ad-7+@Acy?{dX z8ZTtUzq7NW3`rBC3+KU+%ax)2&g=WDfEERHBm3xJ?(rdQSGJT4l$0=^UElQ4Vg2RY zr$o`(-!1DCOq_D2>mc;Tm<=Kzy)t77hc@Pezp$D*TAe&}>iVswuhlRyi#_p^xogJ7 zy^{SytnNqqxWh|2m%QqGb8Iw)04zdE=aCZ*^y#!G_2D{5DnLe{zaWY9)q5v$RQCHD zlTjAhX;)GaR>`}3`KYj_~dLTL?yV`p+HG50UImuhCbnU#kZ;&l( zqyQPEP{(?T^Mq>HMn|*^ml>#j3|`4lVYrQ3CiNNYRCKNU@+UD@m{sxquq%Y*7&l*t+a}gjwt~apa!Gl*N0qHBN zdEW=_pHsj=UoOE_GXNZ)9^|Q zeVGg+;Qb~@E8&}R5{fo5QOXvN( zSZBR6;tnqEe&wX}p^b3!3*W<@hOXdgI~YLwoujJ zikx9~uKQQG`>)fkONpJccguq>r*c`rb$T6-G-HfJG_&U16j%0IZ$L0+c?<4Qn)o0d zDWTnUAl;fB(C$)kO>fnu-3*Q=Lj(rat(wn-zavB<_*D5nX^zm`5s?Q7G-jA?hy_DB z=mGeJ2692mU*0oR!?So*bD(`FA5Q^9Qp|DT%zQPMjvCz`dWaYF_~*Xc-0=}R zn>a`TcVR{U@W*280FW>()^9>o6z;{BEM==jDFsvb*aKU(EFD=tfqBI{6_su=HD`-X z)}8pv4eWLF8LQ;5@U)7ilJ7q=kY~dGqXvq#{VRmutzjVR8Q5`39UrSpuTs>GezK4$ z+d{{PYx7$j{cyEj=3>BNhbZ0i?{h5sH2aXkayRv&8=1a^OtWihrpncPVTxL4Rm~#N zB0GL;=A+>$OrMzz!$f(L)V4oToTA$q8D9ieY8M!ZkFY5N1}w<%<%rnWK$W;g$v+4 zosn*Wf%WUsZoX4UmTcLSWU;KDOvS3jVttf`Kpn|1&eTAxx5}-*UYib5*?NpiAzrSs zx%It|FLpW|c^xjv?VWou_G=zhuxwF}73S6W{my8WknTYcZ&sxLYVLhA6Km}F{z`xV zui^7Bf?^GNK=mG;(RK5L%07Jy9tFRB0S*v>S8d1gVp7{WH}9f)^hS7?hlGw-Je0Oc-W^RwGBg+TGTHGhy2HyBWrsw-!B4*d z-ySYa3EFfTT{60u{ta5Is<6y82WII4Qlw|Et5QfKu51duX#Thlj~{j`6YOoI)i%tD zM(Eb=>$(x^KeSQKiY(6XoHh^9U#mvT5Mr$976=%uhWo8iC5pPsj>qwxhsjdG3Tvu* ztcCtSaT2PTpLj>R$HKmObVa@WLaQhwSynSq_iQdRMQGF?yVNXs-G}zV+vh(sV5~h)(xe1!)0km{D2~5CIYC2I=nZ z?v6paySp1kx-+oapEGODy?5?eYfkOw*?XUqJB{?1luKxIhHB`fRCXYu zke+pYmS9hcYHVWEive1=DKWj+wHs$FSRijZe%ChtjkO%MYVp|lA zdMJ2Bm8_2A6`;gv|m(A1PHd1`r6AbMLhH{rcXVtjW zzf6FsCI$g=^yhe`;VNGO$0+4W@Ndkn zgod)^bbIBAyxOX<0X@oky3j)?Nvrw&k8mC*mEtqX{-CP`Wj8N5%1nI}pG=sXNrXba zPW(1OlGYFhuopPxif~gBG$Q2B+iNAdQWzn7!X-$TVSp(9BjDd+iZQl6LG)tgDBzMH zk*WPv-X16M0_Z+j zjJc`dFHtuvr_}#qpj(elmGs{K6kBdPzqAI2GKMdDE<=Vt%#dp5Q`wT9LQ;DbiK2)^ zQ;0wXu?TbD!TI0-Egiear>A|a6}m?~+5yf3;LL#T8hd%xNm+js-6hsSkXgUbpdJN_ zaj#IH2Vtu2xA6>|u8tFamA4?!2?4bQ-umO%gtu<2pA)Ble7IV-GQd|{UEta;eL@FW z8YI8nEC3>+aaAG8NW(FG#fWk=AhqMb7yEWFHQ-YBsK%7nbm)VsUManq2FW2QjS=H!xlj`pXxZv|tZbV01R!#XuK-tWTCZ2ghR_YvqbX^{0QnIp!S zDH+R@i*ag^ElAA|l~aYTDCbkn`O4UmKI1Q-p`cvt*~0X%;oBQso4}(>uld}w9R`X) zs%2Wgc7H>i|GNb3-RqPrtg6d@QXPBQE^?8~k2BAq3|JeH`obA4e;YCSH>V;uCkJGy z25j&gGgPWI?kmYNV+m8oS{ZGD?ZY97m9lc<`Dz0vJ|j7b_;do-*$U^h-w+gez7J&6VHGH zsI3MFUC6Y4SxdjoQxWUb!j)srsk+E&e_$+O#qAang%DUI8yqEZK2gCEl28Mf2Yy4U zs88+p{Xlpfzh;ZmV>V(kqh?SMeLcbHWU#rkKS*k{UEaN2ELvarP+B+~8d`g8pq~Ac zJu=k$g>u4So-zJE8eFan|Mn_RYc&sgX$eMvQV6B&B)HtsawC3Ik*>hB84dGa=4I)B zSkBy`RT~$EigRtbMe_^zXF5 zZ0;%s*x4H{eqnKeX+$bXgyU^Ps!e=nJfVA0$|QOzdZARZsnKJ>`|{-Ltgwv0(^Tp0 zbQU$%9hsnD4OMGAUHtP=oM*tui8am?<+n2w)AU=+eBe3NkN3qdk>%M0rC(yGxW?f} zy_5gFjOZW_12%V7ZGc82=T}v76fRwCCt*s(KQ^jnziHa%tAFGa4D?N$yT|ccuK^=Cirx_;2 zhBn(Dyea^-un^Hi+|jL@D3wgqW9!D1;#hEEsQQZ=LLHyVZ#6I2}~q>1bF`RRMtOOAAPcx&JP#$iCd)alqC?ReblXdRWdfl6}QqE!!pK55EP|7sIi$}uvMI?!S1Sn?E0s}@6jq_<;*`lpujxZA1 zFhN>{{&Ber4d{i{5V7J!muY9%W92cO=ozl8PnJx2v}`5fE~<}vyZz2|DXABpjt9rC zzh{Q|0Dc0{k57lv-)3$C z`pDTADs_h9x{y%)d-v{z%v&ASalg;n@f+h_OS)FN+79J_T@i|54>BJ897ctH$E$eO z?45=k)UmlH&Ob-wuP)y3VjS|hM-$uF)K@3I->)xTz^?U&7?n5E!)YA4Eh z)~w&ZG2{2Ap!~ZJ zc!oTiYzO-)S1U+v%^M_#1^UECd&oxlFTwJpDfU~+zb3JMad!rj?Tngsk=x2`X|F{` z?*wVK=Hb8|_xbW{p(>Yo;~Sn=C`=J$DG>QueUrm-!x`8xt`d1V45&$1Oa7a71QrI? zHlL-}d*RTnbP;#m#+&}chBHGON65n!XI*mfH?0rsJ(LWUX$W`h9(&jv8+#-#uqH%J zVCFL6`CZn4Y~k}Bcqzg)Ajhop=!?0X6rWKqXX-(Lcucq&O_S6WaEIBcM)2m~Kx0Fn z2h?*`%5nxMiZ{>e0~!ptNW-plgmTqX>o*_mUzw(r0+-S}mi3I3glV5&?izb^zA&c= z0$!s-gYGN~K0xEOUi()|jsR0chOhIc^!@u@9tD1He&PXUa}qXT4?fq zIwd*&THD_oFRr}HMgPKfh3FEjYO08F4-xfRBc?_z4Oxu0&#Tc6lzK(|jkU(|1bcWb zX$WUwGlKi`y-hLpo+6-#UY&c5JSE>$0&g!6t;ZXmJ2Uq88@z&N2|Boblv(3a^^iC2i*mx`&mDB5Bn zEAd$k@&-%&74iKP$?~l!7Dh~-PuwD(C&D!ugvxWEJ8SZOePKjYpA|JY+LN*oQi|<(h5WD|9v|od zNFsmRVFkrjB$_$1Fq!oeP#^y-Su1#XI5M-WiqC4RpEr` zOhdsDn*oyh;KMKcnBO|!U$ZyVj!)=8QHl@~@HW~~qldUhl~4;O*m}OfjXx8v&P+T!ty~Tg<(bF0}*2U z!N0P(Kf`!TTaOL87Xp4|Ywyh`v6z0>j40HS!9op^@WZy^lez%cf$XIU-r#U3n{;S9vZmzG~c*& z|5-3eA{|2_L)^rW&bpxAN}|Zz3P3POUeQ%ua-@qWjsGW)_M+(WgV z6lu8TUHna*{X->{V?Ur6`S0Cv7LCMLYTov2EmQ)T`4_A8s{|sI`br*Z`V!lP^QdIJ zq47hud74KjM0iPT^V^d>S?x^)(i|~qjDza6^Y2>w@)&VjfEgSfZ|Ho&Ca195*4Ii6 zs?Z)9g3%Pj99=Mx9!hHydr2!R3ES-vS1%&7>_u@K;?`S(UsntVir~Ud{OufBA!psz zcpUAp&zJl%Tff$)K;|h)6Azq{OWDw#z@s}mS8BC zK@Tdr&ysdrmLT^AmpeqwlMCLDr|jk_*=8v|=GSvr^X*a$=%zaBivL6FEfnQ%KAn+4 zinb5i$w&TfeIOskSfiC*fD+$*sPlILO{#|AN3qdV*nIjIf)Xn3OO0j=j*1!PNaSzYXC@Km^5t zl`W!e(IvND6&rGWyyT?TozH9q=3n8U4W0_euW2@GtV%C9XS`&-wR)=D77xaXJJ1g4 zp+3o*%G`8hJbAX4;9mB3`TAythV*`y{z)k_hi=n-~4PA=}?&wJJdM9=Posr4U02*x9ov0<#kLfg+`2%O6o z1KxPZ4hx0Xm|t=h8r++|s_1l`Y?%9c!NH_eu#6Bf*T&yA_v?m-06* z?@n}*81aLPjv2+y1S?KeV)B4=xMUyimQ6?dDrZef-@PkigXGRv{hf^V)z60c(%L6m zv%3cwXLeItMNZ#m${UjyzE5tLzM;n6`1-N>nLIFkvAz8J7AuorvFI=Z0&MGRa16v} zx??VNgUvFnAK4UFvCc+rDvwW<|4ly>=8e?d^dS9j=@NqFsZw)vG_Y)}K3}0lG%MDm zs~tZ6<&a|tdV$|_=Y=kiUg(}g{nfX4jYd7>~aLVO3$peR>?fsXb1>+?l>@sN|Z|itB9!%ZT=e&$C$T4IiGg8nVKy zIZ;jC!!S8)m_czzyQs1@1J>|N>*%ys&R0a;V?~dY;nfx#578e2-+YAh6o*O*k@ren z0{$FI2tc@T@D<^p*Cn+jr{-YD>+Wk!l1(@#QG_IyzsFPd%FvpZ+A1*UIVlWu`RQ*> zIHPUOi7?TwT?kBrUwTYhJNVunlDEDu(fbaFJ0tbua8VNEpjR+u?-zi`!0A2K`k8cs zb*saEbbX4gQ#8mt!VpI&%;eikUX9T9=~Jf?3KOG26{0sD&$d5hF7PL%s`N$F*D?D9 z0ZTcge!)Jk!9SV@qMtgBUk{`c%#u7PR3hd(7mk@sK%wR=7e93E+d#52!sl543a0(vVl%|y?n3*iKBA1j^?flTHq4ql;FUoi zL=oZrK{(X95*o|RS8Nt?ffxC{7#e}D7IS-Btdd0z9A{+A=X*--uP;3tGzc;ygcxE6 zIgz_W^K(c?ukww)X|sCZ*bb{3Qg0pbAvNO6^k`#OJOgQ}@kY4wGT_66cSW+^h>W1e z;GxCJpTGU-XZLLJ#ec1A+@|{WdG>LryJO!7ps6*A z(3GaRj^L7$0FgFr=%uGX^Od!iKUTl|n%i=dMP!VGtOSJ&&tbe_o_Yo?r?p${)H3ET zrH$%4qv5rp1Kq>~YVzB8bdhU`r8jL4S#-FC{Brh?{7}z7+Wn^h+eOSBVm(hz8KxSG zE70bgiM9yu)2>MxhVhmcxnT|V>l(+u-*l6&N>6G_M*lx-Gbl;b8lbP3 zSVFg4Ef}FUT(!HwcJPAcIEM2-r1fcI^x*J6d}JKWI$LS(5wa|6=uB!wrUl8j`Uqh_ zFNLKPb za(e$kD&l1nTt1DrE!a<0a-2#_%^4+zq(*U2;xg5nNDxTlGpRCMOi z=kgtRoN8hQxXf;Fhu~9Es1QQ1cZ*o&w(`SGQSdMw8(nw@2YdI&U2t^%~~rqEnh z>M~J4pK628w6^I6(mD$l+|Ku;QX3|vy+p>T=V)VQoXa;j{Nczxhb6^t)?e;_srb&P z`H>%A2{BV4_9c_)ikXcsXQA@ny9tN_D-KYm6(>oFjg8M6#-VIjKFSp1{E? z37H4Q8B>u#)AtaJH@I3M%W#EZIa+t`pWX1U$VL7Q=g1NR4t+&P4Cc~xDbk$R8aG{r z&7Px2P^+_s_|8SI#AJ<9VTC- z;-3KNWl(a^T5nUxt?*}kn>3TDE)}kEq`w)_lVfk?^RVtf+SV_dk$@?OEym{o_OsA$ z05xTCMRe;Du!ms2Qvtw=(*g30oLZ2Sg0yl2DWHavB!zq^`bE59`c?bx3Q(NO3+h5)A#e;LTwE?mQNNPq9GLSf$$U)nnV~7g7$oAX3b|B;@;R zZbPak^x6pCyM2oQdy5)}(;4WuXU942sfi~eDz_0y`W{WG!?1P@9w-2tM^tKp5^60e zG|~v-IlPs*3KB~cl&BiWMhX1X{LJPfC_hyMUPu(%b!f(4&onbu$+7f?GNXUC?HB3SNxsDW`E<+b@u7 zgcW9H3q}GFb+i4K6(jUC|DhZ*leR+!^4+n@>lDZ$c3Z<%=7L+8OUm7R%b+@FL%vY; zZ!o1ollM)Y3w{Ed)QcHhbQi0!HF<`EFU;!g`O5U6zCG5wzpJ;C0Cdw%Y$%X0i>F+_ zth7tijag)Vr{qIl{TkT#hYAG@Cmw|^ml?ja(egHTW?O$yPuiugX3}b3iV_poaP<`~ z_=mQ}BmW0&jU>jC(0MF;6OuThFjWxk990jbC_rR#mO=XmZd#<%UGmsY9G!_~R_3L! zn$Ux6GNZ14YP=HF13Umc3%nxkKAcU2f1BR9VPaclOfQWNo=o~)%n(W9fcuX`!BnL( zO(uh99jhL)zesM$*fTUQUi_9W!EFAT#wF>P|DJJK^uF?XUC~SnGBT?Z)$F5}s=~yX z&q6RRVNfMBsLuPH`CpPD25)tP`%OmilGhgGwb}?%FEFp&QOt<95>3n23{uQwOSpzC z%iF+EF!qD&tJGYyuAcY-3E{-Pdxo2!hxdl+@}My;ZnVHFP1~H;8mCSKKq3tOq*+O6XsMm)B=- zjr)Mp>8ExW_6tIYvHpIrB&QGHts>=L*ofX%03!@oH2>eRke3fnaKz&AGhS z_C3WjwaWee*ozM)fLfy+A287%umVWyp}(pafYAGS3=v=$#Wvj2^U><^gd&D{ol+u4 zWSe@hxguu~6G$Z6vVKN2E;fbO0VW+;Yd?Ja-v6QZKiYQ_di-sOtPkr;U{*t?=~KUW zdSyB{rHVIb;viwVHX)ah_%G>0f{={>s{#7ZAfTuI|FL)GDbcOhv61PM@t&f+HXh6i z6##gWq9@k+2qTDOy(}^S#i|^iGM&HQ&Hy}Gh1p#n+jz#GkIQ`stOqMneI&S4N}avs z7#2Dpnpvysc`o}V?2I+vj!vapAoEgL8b-7;3;4J{w>kG# zwtVV$IvxiZsSaH?2q6-AFsP=5QLVxvrVygTLTSb_srKVSjHKiJJVG>q*2N}!i|3Mq=lW>R=gF;)4_w0$5v9s+Rl)%()blk?DI_gpB?X^u&#R#jydv@xU#ADW6EfQtv6h{S9tS7>2N5 z8>=vT)v|DtvyyP+pTqio^@K#XgA%}u;lqv;T5`OU6F;^l#Tw&>Y3k=Krio4tIAkSc zx7U9~4=H&iXh(|Q3gtBaQhf4$JZ5XXf-cyLv>!*7%Kc>AL(Bgn%d?d&mxHPU=KBv) zz=moOQFRZJYu6FP7woC`)?ZZF&P|t4A84{BlLzogvnnQ&Qfz+k_IA#T z0PRJ0$5r?Zc91c;NRmC$c&ijR%haxx0hhTg@VQ48fQr(ciz`n7YnQ#;k(4j38i1Z23V^T zhCQeK_IuT=Um5-4pp*DYl%lN%l0b!e-D1jdHKA1^G$dUn{E_SV?-Zp zL~&ZW*Axyrk)SH=62M5rR7IDzs*#+H2_-LA+*}&6-$_0%ebuj9(w@oBO{4AhL^%%G z_X?-C7vPZwP4gbZ=9-jJtN@Rnr+YAgt<_1W-C)@S)UNV@>-%bo@C9Y#*zBB8lNDtS zKK&VBivgS4zOI5(PRW<3U1)!?Vag6hn7pE5+nDwCWtm)_V-TR*zRQ zm4ah2dO!yje&;X}hq}B{9rmLm{c5oa#aFl!HAau|aF&!xx>H0vG#jYIczSADMzAA+ zw~VmO0!10XCB46MXzKe{@VKIYS;jDMYwHYRW&+-~EpHzbo0i;2dD;qHN)Mgt18G{% zgVc?PG)iG`-Rg&;#kEiP6hZ5y#^ah0T;Gn+FX(uv9+O7rt9ISb21VTIxHLlyjjtfG z5XH40v8|Telc!AkX&hhpNr}d}URJPtCaEx4g;>8Q9q}=$QoRK%V7gmO&xG4f2qE|c zG)PPmX!2sZ-mn*;V2m}Mv-}GqK~(Cc8cUkEAwSEnL_0yh(yf2y346UTpGM0kg@JK| z5`zH?B!z(&`uE_8JhGH^zamUbg33|*yGN%t_Yhm95Md_i875FJZm;{ zejdT8rC{dH?*#tBsp3aG32`*M{B_T&5Iykjc$ASo)P45Nnsc)KarXKq5W66+W({0c zSaov>G;r6Fnf;-GX-HYt!dlSjz*@Xw-(9#F5wLkOu-U^;VE`TmxJSY|)sipCqGj5X zaM>Qecrsv5G2A38St08#v&SUwj5a=|LoiG513-%D0DDgY@S5~DSl|_XDASCvBQ434 za$$rSxUb$M<=dNlu#hg#IdjqMUwkb*)skM55wlySxLv7+ulAmzns1M0=p`3cl4r|{ z@t*;6dzSkKGa}fw0%tB12`Ou%U##w})3zj(iy;!#x2V^o1bElh7dq9q6dp}s?Sl+& zJlHo559&Kt_#vCVlC)mG1uZEyghkV%=+ZT@j1`z?jHw||MY7Dtddp7v%B$&mRk#a5 z4xSv_H>xj)p?})r4v7luCq=UrB}1%5oH>SE1wMUk(ulMwNfKwb~_v{9d^ok79XDYlN z>i@zJA#7GkF+=z=j6-qhM5lY#kO6G&&rQ5}G{5aml@s#j z4}>dE4kCeF{Xxy|&Olu89`YGB2GFd@E#q;&BnPsF(IV!2E~z?Df`5^miKt6$%u!Jz zh|s%^7KAm`NMIb9aSH3X5kv&5o1Pkn0Po~Q1zLlI9O7B_Moj4QxA{rm6EJ9YN>wy` zF80G?`oe5}G~*Q$yL0g>LecdKx1fQ(JQglU*2O+Nl0-d8ue0JtehI^fp5 zZI@#U!U?%h?iNV2ZWm|6)LOsAxPQ{uf`9gEvFDA4ek@YWS3}wc#ovcWn5Gj0anL-yv1iRAF2^de@uejQ<2qmZMYm~!wfEEpzctA0QN ztdTcHa#!!n3}q@(24K3?PfwhM!F|f$>6fNmRXQ0#X1Le-OgmgV7lfb?oZ-o`l_+NNYtQ7g#&oY`l>1`dY z8{Mbkw`1X>C$EYBd);qAma<^oxX?Ejhr9MUx`aV`mcBOyCCYPQBnSS;){n{XPOG(L zZ*SVOJ$hptwR{L?N_@Qo^#H$ZkW50#uPF?%&E6>4nq4B|fzAcg2ChWm@4=ZimkPpg zjj4efQqHDx z@;!$Gt7Kvs?HH0NbLJW=$xsvA-@XbrjZZdyux)j|y2MgDq?-(yv*j8eqGIj8_-M5K zbKEJm4=~TNvpmsn;&GPznsn7o;grnN>zFwjZCE|191{K zO|{orS_K?!5@qAe#H~`eujv|vDJ1zIU_{Zm`q9kGo3%-keckE$JNCL~&iy0x)AhQb zlv9&CVw1$b?H@{hhXtZ?trlAGdqh*5YZQ_ka$52Ic!k3_{)Q2W<7)pk=+<9$p$Wt^ zZ8Ijtg%yxoDR1<+ecku8RrrHqLb-j`VDo@-N&5qVDxK2=13t zI9M=BaDJope7!pOd8LJvua*wwP~rHn{+0Ld4y-UU_%s1iUd5G ztv@=f;7xMEBgC;_lS&Qy&(Q>;x|Z=z({cN3YwD}#I)L3e!!P4vwI;qNP-g8U88%B7 zt;Ep6WK8XkY|ybnl_6Bt9m6`M%;ee^HYm{7Gt}1g_K@g%7xbZfOYcZZ;yix9Moe1+ z=CpT>+p?byy$nx;#e_{s^9f(A;v@~cb&fRq?mzIO zay%+-TYF<-x}+~&>6D?mUsx=np>kW5ZVyEVff4==*{Gpb%bZJfSdd_YcT!jk3ZfEN zp*8tQ3}%<`xs=bN+%Dx;)}S?YP{Tg((Ra@BmB|L2@J0h^kn(ybzce<4fO=*7+rgLE zx*T~8$KxGE#(%bOw)BceV*GQ2cHyt zgw9{Wa0}g!2L@O_jjF=Ws+P&Qt=vm#3dW9>y*LKTI9WPKFE?wOwvRkrX%YMumQ|kV zybb;A(~j&BQvQ&l|(>5b7 z{MBv9(vpvTc6?YR1f3?LofcsoXs0L^nl~9P`Oi>P-Zvu7sqUF~*1)i0dv~n)!2m?* zB=4jZ(j#cMOgfgWn^|d(Nns?;xuOPJM}~L0Gbk)~h-%#ojsDS3SQjE1$E&q8^ORA# zCRqhP=rUK`{1)8i>%D{cUubsUk@sEdb>F=#6PVm%lOXGZ2Px$7NVPJQ$U?W*40>>- z?~So-IrI7^40_hDbvl9#&f4A0dvb;XHD@hW0F5}}&x_e}o&P<^RzJNR>W|0a$Jdf} zsYH=}%+wMlMOatww-gRc09}wa>XE{<_N&OZBT%WL9v0GZz{nJ;)V*yQQ$6R zSYH=3y8#;*;wxnJGq!qRj^D}UlAJ4{hdqK|@oHBHga-umqFyIE6tq^aMO1HvW_F5T zAkFY^bX{noN*4i}n9q~Iz6rAkQ)C$;Rqgwx-`Ant+u+RoN>y>SDW$Y(^(#W7UO1{B zDpuX7Dx~PXlYfF?rnqdCyQ1I7J_vFZAE_B9josFksje=gr-M9yD|+8?lq^?jxC*qt zf0*igyz~J&QLij>n$#Y_McVLTy9u)ujrnG`sUP)^H0t-~#?B742X6u<9W8JJjn&Z6 zauj@fHaTI_6X7gtd8c-#5a-#tol@-%UX4|62W}|q;sHg)%TLqj8ylKVMKx>{Ed#~u z?tr?j8Ne>u`}cXd39ulH8qN~I`;|Fvl@WQT$u3DYR|~kXaC4KTjk-4x`RCd_j)2R8 zoEdCFY{(B#1 zp6FRz;SHSwrFiK}ZsZbEq$#6q_WtGvXB-hw=?CWu(mT^JZ5QUu4pal30OCm~8o5xo z7MRB5{XI9&v2%k;Zcqeu`QAVS#p(4Q{rwMzOT_qs?nOJsuDW#?I_lzW)?g=+ld3g! zN@7hhPb+t5h&x}|-Ory?BvU%1SRo&9m@}7lIVSo!!mWJjf0c!uHPmt=8>BQIj^?I3 zWFXM4k zu^a4lllGS%Fg`gm9CJv$cDpDzVIqRoWI~tDJt@pv&mZks`!2q?-!%?bM5Rg{aUsrG z%k`7q8UgtZ93v^mPR$JT`{z{VNvxZGq?z*fGGhL7H%3WSBiWRE9egfhT*H@wN_V0` zvN>9nxI16Pz@3_^9-2!d?dLz*PCv^*OlNSWv@N$?VK*c}MlQr26|>*A_}J=Kas$aA zE(N;ky-g9QH99YK+W9Xn#}5~cql0Zj93$GfOXI23!9DV7>1$sLVkXS<#tWj(?L6>t ztx=TKA$Rk9S5&JxtWs*B=dhWdI!^-62N36+7|v98RBckoI|w<{fI1JFbtf&S-+Fc< z-nm-f^5?Gf$AxaoBdABEn%9p-dT^J5!^YPf_aLfP_kp&}^;JXpyvDSLIm5G(2^IAz zGzEqmj9~&>R92(bTx-53i><|UpMe@kRK08SvHHDG5L02Iu2%z}P=w~%_Wjn4(Z$*R zrwqI=j@4AR;nzy{)MwRPO#Ld5$98VrbEm>B-8yRlS07VXN-)jC;o!oFbx6fzFuysi zhmVu~9ApPBRix>ClSQ2s5H6vvvkR=^i#34_-~F4|vpnSdHS=%A@rCYuuaB{MJc{h( zN~~MqA}{Fns{{LsaGu*>t}1XX-iRk3_}DJlDV zGmBUKVB^1!nOxVfs9VW|Joh!TaBb`HSd|iB>nA!nbIe}jgMUn99Ihua$no&G&9X2^ z4gQN?vl?l2z;eVtwVIZxayifnoy!-x{-QK(T3>?~ao^qVCe^aJdeq*r>ej}~y_y3F zNykbdDSZ&(n4Or7`Y_M&^0Biwtz+<7t#1F)y{nvn^ya71jz7m2_hySnpt!cr)0iGI zbFk&#I-3W#jr+lk*_xPCHc^k(Y8{ih*r$!>jg1a2#HTTjIvqV&L7NTSX{J8>2^IpK zr!cl&Y=l|ES*`Bok{#wYAgrFbrzo$(x63$ptFfwCG1*?)7{=VwF-+;o;<)YjhCfI4 z{;y_2pZ?W-Ss%Xf-v?0zL(h+z4e5)NCyIPsJKk-LvtEtO&U6Q)yAE~audS3isg3u1 z_^om<4I^nay zE^ogc1RlTUS@Y!h@x$T3yg>nqKc?+(eZ?CsW7HTp@Y+P+Unb8u2cNv}r=Zs!Ii>YXKDH*ojB zjl((mzYjkg>WfsaiEoUB-yWCtqpfA=31?l{a9U2`pwXb`4qQf{rJ(8}NG&Mt5UsNu z*O)5NNv0=%&PmTtsjX~K4cIPLtt5k6%x1WW$go~pjO%kG$e`!x6kn#n(KLk_!eSXq z!$_s2%sk45V(ie`#X_lN?%l6fC=d-~&llXrZ(M}XWt?}TZ3{Z$BnhbP6lq`3OUGGr z^ue6xdl_hkq`EP|Xyh0pa~}nJlv?%=T!ycG{-r*hGj+4FXi}B`C8u!2TyACZB*>bBsa-rmgpW2|T<#9nh(Cr=r5N$Bxj$?J zfAC#{kLeAnltYk}dQ10MB8AlGdR}M?k>#f`p9jUEi(}$=jlTg$KmUc&G}`DG>1@BH z4(7r`n9yiX3^cRtZquI{A)E*g3iL`T_E6?zOF?BuTW;u!w9-)vHk33rX@fTBDP2~o zWnXnVGN#jPFv$k?I9U(dhB1+tpmBT$l3TfxTTW*UfsorSNvz7bQWU$+(Qnm(6Fd`H z-BGfmVBIC@DX}F7c0gR8(=e3W=-3kBx0)Lg@m+9ovHQnOA|oVtGw0RK^$Azcm?4j+ zl?rIEprVxc{k+)~8HnG*PTv@>qeZ`6%427H(E5z0gH8nRVY3`6u$cyHqJL5O-ZI`EOXOjCD>dNwq z7+K+6zL?Du?&1-^A}Co=a|gZUwFj7FBx2|k@#HA;?bSzQqbh!U9M2bv_pGG{%bWG} zBc#U0&hai%R_ri-+cJBd4a*GnFgvD23@Chg*B3 zm!46!)odK2$Da6z%2l$X&&zHtukIe5?G1?Zt2_PjT;o-+mh7iuP-=P%xv=31$8SX8 z&S_@~Anrn_t&$th9*3P|q^iYu6*zgmFz;wGIyWX_8_uGy_zSvf) z`CM&EDCr<2JD?ov-5O*MX?5b2=;ek=t9m%FNI2W^=$w16iiZTVoV|v^(8h<6>NIOR z>B|rBYw`O}koF@4^?csQD~yNQ5ohreC{)W!OEqJCVY=VHVobWlnKmy71y*154?YR6 z)mKOrN8r!@s?Iy1hJI|>OJP27YpTi5+&dCxIW)hJ;{B?h1M~Bo#5)sOZ51Tzp4$eM zahzJ3rC;K~ElzwTtM&dO?Y$lBU)}JrP?aN30)_UgyH?qN&48OkyiNXU4g>nq(v@>2 zbPOv9!bw}4IqH^Qu zJp;2FO=MXNY+&D<^rCLT&Dm8}iWt04lt1^wdg>@iaSfNt!;9)_g>~~vJ>V|=NadFX;SvS( zR>%DDnJ5IOst-}J&%X#?%BBIw4|VZlol;k9|3C`;G>z6?g>2XH73MtQodt96gxWaM zZCbdA>{D(GQnZ(|9^9!m(s@08iW2qgTJ{Qhj5q(@*`k*FiP^rfBLX;6^XK2RGuh3C zJGhG1mO^L!B$^{aBAnn zr>@?q7(CccpOOS4xgA}EJe;T4VHOp|9mO>tjYn_%#*Qa@ZW@1r`Z3#sot*a9O)K3= zI4bCu_R~VblJfRWuOjkdB=e|8dVk_V`A$`My!4?KaIfege~;w?9mWnC8p}N%cM+rN zf(DIr$2Ma}epP*R1V{O%er1HZ&b%LX{U>TWx0S3%o%P?=x~Pt=lt2RDPTmQ$%7>1( z9h1h+MCPEDnTFFd#HGn>R8L+{?SWWLQ`EXaY7U(^+X{JwiBcTPNc zY^b48_n}1nr+S-!*A}Ov<}Y`eVfS5}y7upq7TGt2Ijd!N3rmaIGw7IyaXeMOwU3rhxmxb&tv+g78u&wm?Hv$DdJ{`|OkIAj&vb@#A zhlVsSe6eIGjRpmRL#4-?S((xN%42hq?;sTiLZdgC5>6e*@cWfx(CNXR=USP)LWZEj z{&bO&owWLIv1|mWVx12uHuFcbt!(9>yy+;SyX^8|{FY^^Kr>@@TPyN&{2ER(|01GH za-9rbsp~v9fp}6n*UWMIJHxe$Vsm9@!omj`E;vCGwSb9uJnHf5LL+TpLne@ZW!`>Y zW3{0a9DPFuccyv^DVu9Z8TY97e7k)#*qZb#kVj}gV_N5MIT3^DhTvjtsrtNk&%p`P@k=0-xD zvTiJGo(9^Kxw&6xnoGG)^78=O_4aNsi)Zcs?q`u*I&weBY({%YawClkvmME*6|> zH+7A6%7z_Y(S&%L7%Q2#ws3!xb2<+3Wk2)Rk8kw-NQ5aH)PGXS7zowUIy%(E%B_Ke(=7+{sR6k+{@7KIEaC z;!+AJoH;ACS+;%qizoAA2bkihlfJU5>5xX7LjAaakLRaafCo!bLoY!>kf+~qq^A;v zhS`$dPFPa7K>AG?7Mx@1^alm%Z(39wX(yO*33I-~Zuzla1a!(}RV#NypDPcR%eGK2 zXdBs`?tOj5MRlL6*|IBDir5vZ^NFm-&K7o$9uG7TYhOS4*8F1Da@BE4MN_KfDsj@m zuMSRqG^^;~lIJSxP#adKuEn%s@_h5zLvU3dZ|j8PuUz$6XOcZvi!l2%E0y}4fLrQ} zdD{&3GV|q}sZagQU|RQ6jsD2Xt`RN@&xI^I;=Qlq8rML-Ur6mDrT1{Gj=UD>firR3 zUJ4y*Z^J%mDcJWw-!|Vi7m^`5q3rm@f0g3r@YWhepwdq0cUfd>su|@UBE2J4>62fv zF70<%*xOK{pLpdWHtX-9Y3;kN&oeWEy}p=za;w6l$bdp3-maqE$57~c7P$6ZS^roReukdBR39^5btaD~2r?X|**7=Jk!ofv9czF)i zlveTu{qEMa6-)N-7G7zSm&yXOEr_bth5!%p+MI>^XNb9D(D#--cg?v21baop47^@G z$wi0hKp196ABRXfEA~|2M4oY>a=PBTj8a*IQX>63>Y{Rp$m^CgD+6^~*99KRbVs~` zB7_F;8hFv*wF&F<0*QEg>UV}Tx*-o9IU*@W`wdz&_XbVXG=_3F@cO3Knjc#Ki>339 zXS@CWe_N|Hs_Jg3t=j6cN~sl9Ej3D8w_Os{s*qdlktkZD_Dzi%p^COvQmbNwnypO{ z(j;m{2ti0B5q`Np-`^wuyx;lb{kU@FI@kN0=Xss$P@+}>+sk!t^he5yybRA<>y>)b zE;kVK2TK@+1AqNSEn}<>li*w`s;8G|Nrc}te zq4JCi7+o$uw5x1?n&w3=-H&Q;FUOe80Ty7Tmr9xAyF(kuqh zBfcD*e(b3myn7LMe`-8A!a2T0_R5;nQiOSj5Uvd}R;P9FG#G8;P)t4cylL+IZ@L@m zPe#^5B5`yr+rJucDQwhZBaY%3IVWxxw=lS^H1flSA=T)6Loz$a-`aO(WoA8SvjF!~ z%vXO7ACZ50ae(3m`~_(UCv})-BKNWb@Um2{E(=M?at5`4#`noz0Rzn3vFv zqI=GMa$?PU0J|)s=&A-bM#YZFZcMKSwTLX09gu%%@$GeVucmDC=Fcx* z_*(#Yx`9F*@Ax(AuzeG|Zeg$`6*XLPBn+q6G!?XuoUaaxt|rV=V{e-}PeT@w9pF%B z5fV6U1@rAk*om(WtgEQLvDw=m&d2fFGtte%Q3tp~Zk{LY! zZ^EWetq*kgOITfv`u_D%TQR`31--UX)^xMqa6dcM?)U6Xfh#|Ahv~DwW)>h<&?V-h z@;d?@r#tW=`P1nDn*?m6p_R`{cO3n7>gO4fDy777tRokuFUsinBngLL`Wau$fS;41 znpX$2=Sb#T?R7Yt(^I`f0p?nC-hol{+TkzTp0#;{SK$&H$!tn?P|^4%^9C(?D88>f zFx4720@Ha;&Kbgjfs&-TB;4a*oq^oz^vNoJVC%9FHnQ#g@0u*<&(4gqB13ya$kUTIvP`-?BBzg!-htUjz3yWc#?^X5p93 zxOz%$^E#?pjA=MTTxPU6qr%X=hgR#*4YoC_H0*qmXeQ zmGo7N^;_MRb&WZ}US?i~80?z7(%ld5(CFQpJw)CEdEO+!0(i zOey;^gDzV0^fotGsd*3UwskR}g=_io!s(6>_$S7#wc&L~gL)D%Do&YgDpnooRo|6N z+1O4`eIDiPZ^qRT3I^5RUO^ruyvZ)jIF}fm{A`~MUZjŠGoPFp{{Mqm#=gX|i>X8*YEWAH zRZJTVKTt(lQKS`qq~_t)?+zgGSv5*!)DTC$x6Z!-VU&JJjUTA3i#^5X$OcIyXf#2d zmT8QNGX67aE6(Ki(0uQQ{)tu}*3;~j$~o!Pok6>rlgz*pBsuwPOH2BsadCD@6QO5Wt2Wd> zT1dmLhXBi3gtou^VfasHYvABDH`@YNk`G1_g-;4b5?zY15;9q|&cmdjJa>I*H-%%7 zW(c07E6_u2aISdUSIc zS$jhEB4)e?U?u3ct!ulE0W=)d(+tt1!YNW)+>TiwsOTqlWG*perA4b>L3?~(Jn&!W zZRTGA7RH2dUMIdC>9}Z%e${E%ruF>%fVGpLbe(m1+4P zuCva#cj!1@TJOntb5)Ef*r&ie@$y98parPJKy_C-;;$gs??Xe#AFX2T!x!lB=$Z*{ zJZ+K)tE(%{Ch@ml2)fzZ(1J89DD+paXmvy=33B<}g1*#2vtC4wNUv5_-8?=4Ho93k zy5};zudsfR==#c$@CKIc4$YZXm7BT0YO>nzRUP{HD19SNrWZ0V?kkz4@`{~;!E&>% zsZHe7*Om}pN*N@XDR^Z8(LE(DjJk#k^KH!sodwl;C(CUN@Qu#1 zmK!kXc@qnF-0omkNQcRogrw5K`kKd4=&2Poh`?s;@g{f!B}K%(qW8!_+ma(n@OFQP zQr=$^WWAcU#`uHwOO%Ix?7u;e6FR?wN5sAP1p|{R<3>(d>^(jxVoky@*hRM1tuX>c z_gJDr%@GV3=dTU*^0}1`@qngW@2ulxb>S!`-)D|o!?`+-vrHd?B!dA4-n`gs<*;l2B#4sxv{Aj zp4dlMG;I*3J!_8m!Z)GRdefO7k^8MhDwvL;$Q+RG*-7e95lv zQmKDmU5=LUV8ONEzx827{Z6S`LqAH`jES7^B%5(`2A>+tdd;}wZ*AE4jO_CM6f_n4 zxj8h}rbRK9X5%$8K!dl`Sggj=-U_+MTD6J<6)Ai+09p0VJV3lVJ%}w&JN50lrKXEZc5!uKc z=X{a`Qv9xRU>yEw(WPq|fsVl`Vdi=s*AgE0Y$3*qn;K+rg;ys! zHsaQF>{VfL@Z?oHIzTS{l`H!Xsl@0+oZc4f+brxI(~R}VBbj=2EwugC8bye$#!Eo} z?ngh5w3Es0?BZLj=X)1Ueq;*>BdS#q7@sX!_sZyYhUwlw7VKqkC87C8G#~#FvHf5t`C3KXD5wS!sk9q3{IJ)&k9OJRG==J=EMWzRXJ>}jmp_3w<;^38T! zrv*R313nT|v-u8nQ+#s}`j{dEW8vIZ+ebA);ClENx+qZQ6YE zXTB+C-@SQBfC2)s7+MLtw3^^vU8Z*W5B8XYaCH$h_+y*}sj|)c#v#`*Dl-A4DXVE9 zQkcZW<|WYO(`&9n^;JEm%i0Q8+anr09!Rn>yvB2~cO1UlJX*UaHc-`UFYDU20g75| zB5$Q4>n)8%$2>wy1rCN|mhE}NRpwu~6+A@2&tNSOl8Jlu2K;@y-baFX71P{(-UvWiM01wEXbD@fX}ZQ7LcqlOBnJRosaDnmc3=UJKZhcrxkDts{_Z zb{b*cC>O|h20TYRS7uqRT=y9kfbxYRF=ik)GEyo)LwkqJT!LLd- zm;n&AW@II2dHkjE)!Z1C6GNE`ry}f5n%s_)cr+~Wm`#uzU>=~HaBDP`ov`m4BSRo5rYZ0 zwU<7vujO?=!G|#{N)H0bqI+p7MdJxq-bzxv_wReM|I!Fg(zw72e_Y+FRtK2gAECnb z4~5bwz#^z9RHiGBl>?1}b-kAnpWaWC_ORd=JUo+DWC{klg{2*Jj`BHPc*sXy@9A4x zPoyy%ZLoC1CgF}ue9qH?0Dta1D^HY5W8JTu5<+#PHxw<%UXM(6Pj!uJ+v0lwrpVdJ(tf_G(-OlCA$y1@Ck0*l`R{7k-Yi~D6(urIuc*@ zfd8>%aj}^V+p--AT}L7mhjO&ye%)q3`xlyG`VOzIKlW)hpL%MuJiBXg{mgm~uxWF- z1&97ZJyCV*^aRHyih>_R0#{F(WBT`U6;pt(lx~V2_Vwr3)~y~TZyLO|w-IC^vDi_UL*=(pP;Zs%Cm-L}Q7>N0~b*!00j7g&d0(4BgsI8@$8&Ms}C zEjSTW9x3re4S00R3w<2FBy8H)1@cIko-O;lr>1RsXwj z&P3L#8Etvz;~<3_`yLZER}w#bMO?FagdSWPiTBq_(r(I9KbEZZtsS_tqHdKi_x$yR zQ-KqQw65ycgn*S(4FgQuLdH)W^TKd0>04I2NBL-Q)qds=U5w zzlVy*325JD)tj9!DfQ0u9o5j8b6Y)ApHSVY++8>BwJ5FD#>2X$e@Ewfc-NUPeU6mj#4_}Rg{ir(F`L^o&M=blP?&0}WswF!%7Pw{bGR0OKSZ;3~1?$b1!s^W*6!19-Cm*IB20QUiKijvzLyt$_CAO(W zM%27h&xLNKe$yIr_OfqD92W-ju~I2Oj)N`p;#WR=0yFRJ!)#LY0><8hw>=Jb7#RC_Rd%L;RI@f5V)SyxI>HZRvvb3W!Lo<$i zS_mm3AldcMjeY*8ON{+b;9vsKH!4{2_?_ zCNmZpOBELPStd9rhk?BZ&ZX@6FNI0nSOk_I9 z$w?uD?&kYx2C0tp<-xwiJc!-6N0xBQuz>+@(@NMl4vn2V5og6bpc(3_G~SZJ&i*_e z4+|ov(zFnShp5K9&Euxc{F68p3^vJG5ku=y76Y5O<(@Tyrk^o-X4$}l$?P$s_e#GQ z)x$l>;UgI+VeFEimI#H!cRMiKiEzBtSuVC^6XQ%UH~Xo?4ffq;1u|C$q&#_OP|ex~ zA(hZuWRVnJ(}`7(+UPqsF(nk$vnfnfjLlhT5LkE2&X z$qsz?Bn&k`#rM)bScTRDc;drnf@`G75K!)g6_W92??9{in1HzFpZB)Bjs;C@Ya_*%=)b2i7*A#%*bztvTx8IChL(e~b!H5(jHsrO0<_ z%7tWsbVXb35$#Du=7P(552|Z@rGIB7g88PtcJ9CZfmx9QcOY%*iHw$Ho4|P5k3KU=8#bxV zY6Aakn;j|cXTW;0VUcI3$S=FPj&seLlb`jr4%tN?srKOVAWrpse^9#&qO$_#xtkdanpAX|gf}D)ouCB({+)yOOTp}h zv_-K%_o28Zisc2YRp5^5a9l>2th?;z=OeK>$L)hHn1!yQq<<`!A*a zL+?AarFjqDj3nQm`Ffwz8#zbl@OP6 zD`O{a9YB^?upa^vHKX)5X$hfRy!wAp)R7%lElRmxmBu@=`&M*qqnWPH(tc+RMRJ*o zi@N<4%U2qz1Dp;|7oePozL)~vBgX+dHyZ+YhA5YKL$r{M8}!wn^^+kc^3p-P*m4ofa%6o zPKCdCbCwS}t~`9Uq9Alh=(#x=O$)#yc%vPXm=C%U~~2VhSh z*f7QR`cUJ(SG5U5-CH@R+LjN~VA;nDC#2K;52i{*-NE!Mf@#BSR4iET?)fyLLwwc` zNm=mf@o?Dw6=XX93JD;OIb{)=2Qm@4QFNJ=_mR4TR}qhT-BsyGN$U9k^|Tgq6yj$i z;vc}Zbj{-!a_ijfj}n@uX6uAD3O?=m?x*Ib{^(N((>mx|^NoHPjeya`UZS}ht(EQ` zM$UzuiMY5FQ&%c;w%Qu)@J^+MoGdyH7!o+_z>!N^TvB!phCF>J^&hJa5{7;p`7q># zPoJ-T+dk^*C+p4G+#F4!Z#O^;(z?8XUS5B5vKs>i^g+nwqq)6teD=Z1(svuTus$U; zx_(~mJ2r->RU{S>;4eqByW(<`%zih`x&Z(%osT4|qDVcm)1x)>%8I#_Zi!r96Q=6234y4ea~Pc4Tec)P8fVej|g1x(mQ>UX7s0k3n()dRsnSx z4z+hrj{4W53v!b2t8QNvK-Hg7$m|SR8|O{eT^Q9EN$NNG!Sc|qNGCes*9>*p`TaKx z^YQ1~W(HM=5ew~4pU%Nv{2eW=0Nu%d!rt=;5840Z^`256wF2Eq-0Q8{vrBWxqTe;> zk1C?sNfQJvwa(!M2zNwZ6#)-6cMFg0Glez3m58M%@jj|h+l9k^CO5&R=LFvemmOt; zoy*y+E*R7YC2iZ|yPSh4Vrhm)wuWNa)N462Du>(Nft3Ju249`l#n?1${8JgCT}WbS zVZt5!cQM#j)Sq#5u)#W_rcuOw;pL)Hu88iLRtp5aKkp~Z%Su8-EjczPGC!8=7aZN; zUDd0f1A8IeS`|&=XRtgMk8hnAQGdnv3g<%+1}m{%CB*cGr(|ntpg?Wj&te}X*)#$X zcLtNG;tHhSn=s=ZyN5m*i#PURhSD22OnP;lK48=usCs;bdX!vvgeGKYJ1AlZ_~W-W z1-qX`lb{FPV6Qv4EAsp2HhnhkJB`&+lQwQ(9C~PCWLD4NBZQIPMWgWHg?k^;%JMhm z>TSZ>`$cs>#&|f&cn5PUd5wDqr3{yNtTfLL-gN44br;b416bR4Ca4wfxvU0j4iyv* z50U`Scg~VPTE?eWhw_A9mMrFY(-3+ikMKAB%33|dDaN^udhZ)6u^h*%34Sm9RJA2CXK~00GSapu zS)^tF!uN~!%<2sQL3c#?s)L&2D|B;7G-KNp{d9~=Y>@}oiRBI7?|6^4%f16_onkbX z5JE-sJSxf@KSNs3xT_sU>*(TJ-X0%~ItF;Nna;Q|@~9#xYy9{Qzs79-j+y&RuG{R; za8M07${%8V#B?8IkUa-DPS zEKr-9f5|Xnwx_kU*%Q~CMA)yZW%CyF*H1&dfj0`q?MGkOEn`W6W(|;~LcM8wiEd-` z^^pblsBbk5FPJvtfD|Y4xj(vK<2Wz%d+6!eD~a2Gkgp^BvV3NmaemD4j!ez65@4ui zdI@k$c&BdD%j;S6>4NEp?3RY;P2`6TclH`>0X3-tc3i=Fgq&M7i}O^EjoNN)?yj&& zlNZsfLH0kC42qPV?zz*NIjVSH>MB;kZ=l~W6>HOf=drkZoH&7>5}e3*+TE1O<~uk+ z`?ihoW*ICf9k)jRf+d^031ua;bK}e2hUs2~SWob5D?Gl1Fie$=31#`TL3Zo5yX1O| zVx+=;>|a<oa7;h`GVhtN65zsJS0Qaa=prKFO<-K-ih{6#4;nWu64}P7 zl3n#KO~$_Zg6B%n-^oV(L0_jmRy)7e)}KsM>Op?#s0l_ve*4XjcZ|?5YnEt?ky1Z0 zS-%rcKF-`v)UWRP-TjjNC5>fLAnS~NqNXUP6{rjMgV!2xdV=DF@)a9+tO_H$#! ziB?{rkL@evuly`+Qb~0AKBuuTS^k&W6jQCjvFA~>GOtHdqmj&ATjSp?nHu7+zxn6Y ztxGivN6`ti z+KCIWtB-;|pg$eWdTXb#Zxg6~jp%jO>xdli@yUd{la(7>(x;ZgE&e2MOaA)yA`D27 z>2{!Hoa!oe@t>lP>&?@xhPm$cQ>Yo!uf!p^1;;Z(BChwS{c)n zH|;)1dLLLFa5>ViUJ%aO1Unj{OJrAs3H3?aSX_RMPO-z?qiNjA`Hl6FmDWppXq`SD zuA?b!e}@JY*0tBe6C*Imy6;U%t$#|y+;QLJklSBdrl5X~bXstINMGw8G{VbEB4ujD zHWdFUk`)_TpCZ&!FcJOqwDiqDt0MXw>W}kMZ~n8C(ZtBy2}fhT$6P@dUVrlrOHZU~ zeG8C6dg`dtq+D9k%OwlK$+Iab?h~8E-3v?T+!QgWu)+eU{8#Ox=-LwCb=(nFnf={U zCIF!-j2g=SrOG)Y$x(}L)jSvtfVq#lE**%#CYmZffYCN9egDm?eI;yVjIu7tccZTC zbOXIN%%@LI`k zF*mF*%jzL2vEb~sQm0W-A6MrcS>NB;p2RfWOiA`LmlOh+zL|Y=7oBJ|iQSfDc3~Fv zf-lZ|DG40N%}}WowS0ZZN$9)8-FBjDOak2w`u1xE_idbx(^k3)Fu5iy)e#_e|J?+z zrGwiRbyPbNzHPa$a!~SJ_{GJj098*N{niVMN~7nffd}7}|9q{VtZz_WyeA`Ap_jOw z({Ir;_3#u^s4u*}eotQb7CPvJw0CAz_Q_hQ z?9KzQ;tJJEeQEY|6iu9pyFME7NB!5E(Fe4y$TA@&c{w*wpDvN+Rb>ybqWA&*f7P3B zPMk!U$Na7Doy9#SN0}UX7P8KOs4f+ZGT@zv0d$&eKLT6|M|TC4 zQ@IizqNw?s8?nwNf<$ne(jDKph;d+=voTnpt5z|-9$38T=(Z}>e^)rWxdmi!vBHmW z8`&sHdI<+bi#G_ten#p33%`|m`ZkPT;zHJ6{m;e*mS_Ky+ZyQh6%AfR9|?Iq@KQ(J zllfB{km5cNCW}=O>An+8)^^+a5g@oD9R8%(efVdb-fmDv)DPrltdPPJQ8UHz(uin^C z*`m_r0&M7bt@pa^b*+Hl%fIrHa(PvxT?KhK$0~Mo?j8#26cAZMpS%=*)WBF15;6C|q>35Vn*F<$h=17c&4y&E~Q88dnR6=@0$VWZa!6z?Af@@iE(M?7h08Y89 z9&J!J3YS(GJzyWqZto1OQ@t4M9X+;(ufV@<_+5tHwAi&?=Ee2zgW{08kQX&#@teQj z8#{y^CXS1ionG7&rp5f-L+R7-VqQ2F{MsJWEf0H!wDJuCgPT3+f4K(&{`-|AArWK9``ridwi&>H*!p_5jsvhYbw#^O-di|)au`Pr zbRv`hi|+;8gc!P+yUm|rDG^)ykBc~^kz}re#yCuG$3n|U6;t_`q7>Vnis_AQ&$4eC zC(_@v%ZAte8-%etMw2^qWlb;sj=VYfM`T)LAJ99YAPuwn#gtf=_;u6ZV53yE4zNJGq_vQeHYW`G0&&9&^0M@|++bcK$Y9KCbXtY~GG}61+;>NyXIZS>M{7 z9Uaeys3{CU+Qe7HnptB>9t|`6iDFze|NF{jZ&1zi%1OpEpFvh0-8WXr!h%rtw#8&H z5Tz%#r-Q!gEM?93s)ntMYCMJXE!TtJa7@krF;o@!)9j-<4Om2@xT4&-byF~zu`SP9 zrGJXB{P-rF##3_}JEvVyw&B3RAi8;Y)mhdXjtZjH2;tcjP!p=K(*9&8XY(>4o>cp9 zkUyWw?BJ9p;7#~wW*h5_t`j2k3@ZjixfD_Xx*=-qB7lGNSsKq19goj`suf|a_0mt_ z=h@V9l#<%JjaHExq(|4W&WgXn5t|WSMXPj`kAbU?u90*=PbQWxSU?I1_`77&F0+W& zbc&#<-9$o!8l@Ecy?wdZ4O&^TCsr8hhS%h_~&eK-X zy<9V&24$Xi{IGLld@cyscKayCeB`ir?46s6cDa#6Tc#g{qablcB&3EPzf$Ewb`^GN zx6g_lSxayN!smzh##gdyz0h5NwM8x(|E>qUV}|{Z*hbMzIK^*oayJ2t9zjbEM*Pgg zB#Z*8O2!|2H)#Dg`u#?CTp9+LphshSftnq(J2e}Gi%+z+yb4F{T`F?-u z#QnAfcA<69?^d@bLDjG?B?N#WdWrZl4z>dKjvefu4ucz=J{-JNZG9Mbv*+;k>PZ7L zc-9~DQ)G^IA`X+lL#2wzijtpKuu4FLOEi(L4r6i_heTKZI*;>;t#fYBo|IeF^A$de z+OzJnn&}Z60VTzZ9V_B zcO&|Z=DC(MwKbS+7kzm_%FwL+j>%(1{SR5{_jRA3C!i+`qE8j!iN*xD^mBc1+iF5^ zKD;sz$OGDHf9<~xJKdRf#nw^%-87{9c3v$ZO8?Wk61^_DX~@yHL>6W`2mgNiCL-yM zdrAZw{Ji0Exzz>z-@Gop8SOK4hACG*D zU$Ii9oceE@*sHisJK3NIr+YnYkGqSuFYttC>C|Pp`@N_4>K_J6&&2IH)D!QF!M`?M z8)44bxu-InD?oS-M~(7tzt$vDB9Chf+5qT(3fM}rn*G+0vdV(IOh@^lI_D+ z0{#t13krfNB9%5}bKT8}^?L9{-A+I}%XOa0gFQEQOwglCWtK4L4rHmPTGx2U1M4wk zxZdcIjRR23q2-NAH8P}(^k=j%&G2X_a5Lez&S3~;wN9<4h~7rXP5?Y|@lH7E8XXJn zTzBzQKQwr@FRRuc4EAPqXskJ?>wK^vPATM#lIq;?gS%cj_<8fRCd^FLPFV9n9fjDy z&-8+>*emFvMD&Pi|16RZtJ&|qY*KgHvt9ZhCQ|7EhcYiD7sA{M>-@^3sJsZ+QtB|L z&*RU?eTV(TLHN`9uw$##jW}4UZl~&bOzvl~dhdZ3pc#n)Vye6hHHe`7eCwlH;iQ;qLpv5A>T!R_)dZdhZz z^sn$Ra&Nzj9xbsS2BqdS((U+`UPNbF?FE+iTg6zb2rX1Qkg*kjn}7Z zN$G``fPjj$fG*2RA!pQ<7#-){1|GE*92bwcsEYRTQ|TIUM?txD3+ze7jiII}^}J2} zSZF$i#m$1amAB6HNht7A{)E;+?~S;@K?2-u6no0c`ijeyK4bU;^qYz@%141YV!&Tf z@TUWX**fpE5gvs;vI8>dQzyuUr`59E7n(}ZceU>Q=!}xRJv^yg6HXL7n_iW)-9^1lwe^h6f5 z{ERLHC@u2_>92ZT32|we5k=#)s&VxrB2_=#hF-cHW6vek@yr=jo|^N*{#%?XOTl>B z7u-Mik{zOU7qbxNAJZ0E%a^Pb$}vMB(olhDP-23oFY=i-r3HT#*oOPg8c^v?Ne8T=Cu9I7Yi zbd3&vaoyhJNBYfGt(R8%3#qoU5RHA6@{K#Zbs`s5?0Z_JTjEbL>}a|v4EOWSW@?@` zvgr)1^4_a~#pm)DgGh=@A*Z%At*-=_3cKkN(uAkrK3Sy8%$ImBZ`06}h_s@J4F!+8 z^xsXUKk~_7&203F97Q}oSZ_X1s^g1OHWb6~z+R;qZX(;V+Df-4;(&+Rwz9Ox&2pTd z&CNWXdV1L;xhetH_Sy&UZy>x z$^=3wl|ShtOjqSDwdS>-+B>ZHE1e#g#vub ziYGtI9dkcJiG0iQH%=4UpnQW|y}T@pE+Exqw+t^m45jNYw|?pHd?^rkdtqi#>=!%{ z2no4f#81qpeqd3ned zVSjRzb$qS=4Otiz5IufV!a@v1c5OIbQ*r7%U2IuAreyHJJuzZw31J_HjzdZu%xE9E z%I;b9)z9Nx_J@MM5}P@mAaPhzKBT5mGVm(Cd+}+bj;_8fyjzC>=4F2;O1R&Ssu60_?#}B#KO*@(MqdYJ8f_J zm2~Wu9;?gF{RcfK$x7c<{&aKBpU9)PCP`W=%JzBg)YS%1VqtOJ(SM920p%H$B9+(5 zVaet^EOqeVSmx;_NcBccFEsC3Z&AvMHF)S13%;N{kquB@RM>n@KCm<%$gRUFKDU%{ zYH#B^54+$s#|M2p1bLMLj-3%;!09Y) znDZL`*UOa;JOdLdYua0t@haP~=%oEcBNf@g!%? zMDYqVUw8ERs57iaBrqBJFJzXlX-4>m!xZGqjUskBJ3Bj8xl2V{eWUN|N!Tga1uIz0 zi<1U_4FvvHhzE8q$lR>wx&29mo*1LGAXA+^t)a8O{|y@?Gv<+cqA3m3#GM@3^Ds_l zLU{ec?^7o)R2^Qn=@A>-K_RXB^mXdVJ4Kk#|0X_#8m>K}X!y_MX{{`^^DZaugEwRK z#Fw_QL%;_fd2pZ9NQ(s-(V^VtpLw%6?kgOip%4-S3P?9KYu}~+hd=dIgH!7!hNFI& zA9A?ukt?S$U!rlX%DM)u8+iG^1_9uYes@TZP6Ox7bm_QI;Mib(XYQ}KF7C3yU% z%v6Gs{@Me4Vz16Or2RNrBw5GpN$ay17b5agoK}Qrx6BS~S6`^}9$t%X@>ctL`M1b& zviaFIk+ztY>ZN{rK78W0OCq`4%&8eN7eL5hZmSG>JN(??2M=wTpi?N&P=oIzKWF+* z!G18~S%>%dwBPGIOeu2!j2q^HS??3Wa%`~OC}cT15I-xac3BId7I*u%yOeIT2F-4# z-g%?Bjv@#7ZO1QuP`Sm{VYMB+w2W?L^ER24rDXpDnFNQpabZqd)a_jYBuAJ94Ms&~ z_=UyL0_XTzJ;g_(uRskW30S6p8u^_L?`&dQ$&oi$rpx6yO6!bKu|7F&2kkzZA%;PV zvLIb}6EZ7y!-|{`Tl7N6B%Jl$swy}1Jd%DgL<0GrYl3Ozp$1XTfz91t{tC#H25;$v z$aC0|u1KpH!Y|QTF)vq(15@%h&AOT{hctqmI9QB*3l6>8-)|}gNQu6%kefsQy2VM^TdgHI7t3`7Bt>&FW;+NuwSm8LdCbvCvGCM$Y%9o(?%u$9*zqqzWAMMfpCzxw`Wf99OB>z<+4& z6UBhg`dWr(B#wq%zxF`y0K8s8HM7E3--fMr-T;(=Qj-0Y175KX&-w#(g-H z=hQx#T2?H5Z4{upHmkNnM*L531Yf8p)&##A52>SEYQnkHZ3}MfdI8-4(G?p9-#>ZH zjf|#7gfwI`@}G>Rz4JOe?LyezA!j^cSY~^{rS{0r!$VZzEdVvqIul3qEdBy}el#s3 zic^b8z8bzlA&xf4au8X5M|Wx2+{>qBLqkS*ld(62399f9&{|n(e^OCG8pz?0Tr~k8 zszg`p(wkW7<>+P{{90vAR8>|tJc8+8Wh)*sz`ww4*2$_+QCt;8pJTVZf)+X zWKUU;*ahBpK8$it?O$Lgw{=bCmC9BeQz+WyDk;PRHOPZ4#x%zInS!OSQPR|Mnwyr| zv%*y}bwb>vea%p<`Tp-e#-*iR5))`rN8Y|f(I3*anRw)hdfHxPj*l!@QW`(x|Lm?i z{p31D&$L-=vNXC~r9Im2UDNN;ra}c$g=!tGoT%?KvC$oLqh2qneH1W87el^uCPaUi zImQWOD-)HjVl>?Ee8l3yCaIQ+O_d;`D3@>~ikkRHge>J&zBjCU2`8Mt=^R^R2#)UR zu(FsjCMZ<+7F#E>3zpc*NV;gffoM>~nBe+DN}0V$MUZ1mMaO21Bc?`ZkGc4X@{5LS&73p zg4+gkWO8v#(naMt~R~i z5HB6fVj&FC5>r7G2sx&P8P_kGbnrpnnQXsAY9r)`y+4fCP}*YQ|^*7c~UJVb@( z`}=%e6qzoikEwhQia^sP6G?gb>Gnx8FqOTIOj7Y#jsnNr%n9b?J^0dsp0O1CpBaq? zsdGCO*|)aQOoFcV_y4Oo^T$e`x)!zf>0?1p&`(L-dc4Uc9KVH@&77T%itd~6cXcjC zH^T-t;UY{P;qqU~!ZlVhsQatUVn`}CHXTEXZ7;z1#J1;RuEn;)G0i+IIV6OCW#{hl z`%%cKDTad4-#pw!^Q`Y`UJ+O+vHY4SOdx9h~5` zT@$G~(+Wh`B;gb>FM2l5{U34HMCx)oCFJ#$xa4B|{`Kc_GZ5qG(V9=LY*#_f4pHRW zT@cOclwgjXkR7|skacuVop#ZwMLYP7aC4TbOqEVwkePbti>1b?AC)?G8ct5Vd6u6w zDvU<`fuqxmmp(f{)9B9;0F=W%jBC0I#ZS)7=-n96zuf*&V^lBko;KdrO&V#-$+hpn zw#FXnPN-H-p~gR(htz-B^C~ieO5f%(g1ZxtEFLO@K6+7Mr6T3(aN`&3ceL=Va@LNS zZUY7&brm@xX3wjGWh z&iu2;#UsbM{3hMX%!aw&nL?8mZNs=Z^F7zp;cCS_7e?$I7ioQiF^*-onW9oJF15eI%>BE0+HIYGg@XJ7qO0N7VsS>*e?vaE-M&n5e$&+UP>}k5 z5@NP!M;qtjMJKB(N&lKhSjQKgje5L?H~L}Oa)MWrL9yGd^bUoa)7^2+pO(CKPJ97L zvlpz-YF1=wR%~4}{lPx-rAb;Sxki_qeQmf`+r*jp!=_F z(WfuY8yw$_OTFm*-skZHi?<~{GPyAP4R6O$%(Xm&c~K#le#Tc(%uEvWZ&mWR0)5y>^2+psiTpe_|wRn!3O?Dtj+4(rLK8|;7L?{2NEPQeWP^r$t zG2;Nwe?Cepj|h(Fz~@qh|15s@g#i#^uup4&5?;JsMb?}B`myt+nO}Xx$*tw=nmg*= z+leLQf6`S(1S>QQ_Qa+N7KT7(wd*)}Dg+a1{Z>8hT5o<=c9hDN!v$G^$2r*}sT^GI z7vBIm*HmY@-aL^{^(`OP{W@IE3C}O%EGac5cXXYL|T^8f4WJ%F0}!meNa3!>5l z6i~V}krF@z=?a3<6{JgO0@6ilC;@{~qzXttnkYqSp|?OVQk4<}sUe|vNGOs3A>`(8VuzUGU@_uR84luon zR)H&^T<1%Ini}6_$#Vp5--$J(bG=WB&fL)+pG1pc$7=WD6*Z^j(1;z?`w^#J6~W~L z^=)_!&?d+$EI7F6`Spk>g$57>bj%UXK~;X0I}a6Db?b=i>n+7=E;)A<@J;l=A-{;+ z*EbLUP`%&D7Qnt1_3NMf}7$JS+*2>rDo9dQpcAJ1&fwmQOkmvIB(9H!MV;g6dpe5 zyC(QOsP2esGs;3ufyr&QH9Jzc(Yi~q^R8*64moK%2BC%EF>Lqo`-N-m#ax($haT0v zB^m(Aul8dVntTNclr>S+6gjt8o8$dbJD4u$^);WUZ6Ux!OQ+A#_wq##iIR-b(0g8* zXk(z`7ur}jD9WLfJ*>kaHcR{5ba0mU$FLlXV`~U2QquOtRqA`w(r_2452pi1Y6}jn zpl6NyNPC9yk3Qre`-})dOxcrHOCwzdS1{-$|^yZ z&Ycq|=ZYuPG4>Kg|5f^1=tiNSJO?oNsLD(-sR#(zfRJ^N&CF>-&8*IAQl2GizlK5jD!` z7D%2v39`=~_HZ1MKx?D5g<@-^2ycCL`U+FExqWXx;6xZ(^ZHw_Y0o94Y70*c6`Cof z+~Ezrnv}@F;|A@4u84=+47uQMGJ}MV2n*7AUy_vd!92a!MJhoBRXLc&txt8TOcbyT@7X>4MKX`1@WPr)nRlsk@=1fk(wzapO zp-V2SKCJ!}q3ttb+d;K0L%)T?fe^~oeUlh{)CKyS<_ng z>CxZ~lg0coYq#6SzYAnTZjv+#g#+uz*VL{6M+W&82;NdtKY{g`8QWRB7;#~fhqI$>N1Q2AtAb~ukTxs3qyCJSHiqX49?A(F ziIcp@WT5R3M^6ZboMRxt5CY6Q$dv-uYmJFshk2WJ2>qRcmW^ZCZ|y9(ys@u26g8Vgeg+TquguD13am0bE_Sx zrLNPyt4+&Hqi(&IOq4qyD30aq{Z>uY7NFrkH0#R~hwz1!hlxo(y>2t>EH=sQnt{CG z+0=!IprXH}{d|#`%fG!!p_>q#T5XtiSFD#g|bqK%mj!JK<6Klo}>qm}k> z_rgm3hc!fr`+Y*=rr(x6f5qMWy6=6;`#s;w z&slo93o0;j^hZ`_8gn9|?7DW(0*hdPJdmylRE`zGZ7W93VHibmRffSW4pf+EpK*qNFm=~>2azq_{m9^G8=c3b_U%+`p9k~bXb5%xvYEg% zeSA0dH{i?J+j%K;9Ww_c?|+t{w=b?&=`}?9J%~n_9+xG4>DKE=wHzzpVuoDZ7hIuU zlgDd;i|fT*hTdwysqs29Z}44OGQe));Vh8CEQZm&qWfce9$7~l2Sx7dsNAa#cb7v~ zELR>VWZrQ?2CsEZEs=;S>%IHiXl)5;iN&=h-iIER)*qc^okTK-+!uf`{$ple@N_=B zo_#y(Ium;@e+%1YX=2N@!>gLj8uz_ykn~bUq zWtXsDN&WPad0%iz>#e_IwOHQrdOT$5J|f+nWr>UlBC!3ZiF;0)d$#D~x*Ao*wDn(I zG05sSHk@U& zJFMsmP-?FJD>Wz=fyDT8-Yg7=vlJD8!Vi+KNns4SQKL=Y34NXccfb7CK;lrem|Nf= z12in0uP{XqF%OQc60b-~#k|=6;pOjcViw_w6SK0J*f`yAk|OGTbAIB)dZAIVCL3mS zLlGp#(n!=9b-6bRB!1)#$zK5yz;lA@37KcMr)`7dcJ^e0X>W4ZwA}<)5Yn#JJ(FS) zF&5;)=Rwhon*41F?l@#Qk0`#-VQhA#V|Z^&?m z2rq0EDg53&M`zSj5;-ym_%&$YMs73FzNgLOZ@e#sl{j_~1;IHiXU4Yz?*C-+T9C#!}N8%vPX zj~z=HiexPBC0ecz)gKo7@7M!B?DGA1R{#N2;pNANt8XG%yyoW%(noY>5>OZ6w)-d8 zf8AlWw!5l0ybS&c690#qxWjf)fGu&%ai8rXs(>36yEZknbh+{DL=Efn8`S`|^xx!h zRNVjV4vE+I^41Gxs0pOsCtI0UQ?h&l(F7rjvZkl_k7?v8EnBdNVr)^4Q^);L#;xqp zzq%!i)1_DHL8JG29d;u0h5Su7p03<$x;y&Z_|Vz)`haZcJ$#-a;yVjqi$)I7X(cxmrwLO!`?6R&;H yj8I!aS1<-F zYT^e3ZW9CBZVeIh4LIQ^OmOln#`XwRqoPl@%nuB+>uH{e1Aq_X)L z%M{-&7@@72{Sdf)BIoGl-7COxdIRp)v2~Bq0~W1r2FVtuC$VI4X~+a9zqgv9Szsu$ z-;sXyE{XO#_f;3U7Q-wlb3XXdyF;%5(F90=J=|h|MPP1e;U(HOxn2e9Q9dQcb`wM0 zkDW9{Ct+P+&aFFL{BC?Vec3XD-vEwb|Zu6fD}DQLTwv>s0{(IRkceT)u?)c zos!@{Gkvs5Bz^jW{BM)`dMQGDA1@6g&+@N$IRbpza%JD%P-+0tsTE0Qn?A__14t^k zDc;r!@191$8J5b4;DV1?(+Ek1WJU>JmrSy{9+|%cX;d8;PX$+x0Z7{~Z0<6j^g4@U zYd;-Rh7Ee z=nSz>3$S-+1t6jOTb%#$ek~bI>iv|@MU)$(c_08(^x*{b697hh>16r8S-t(!VU4}r zC)YcKgiSA9dvDqoml0#GdoTQC9RccKqCt_#YgZ$oYj?hX@-I?AQd1&tlVHWs_+4cK z1)hSu7oitNu$GHc!X)gzv}cxvDsOf+(ZJ%HhN?||MChM7=jEwFK)eNEH12Fn#V5HP zsr88;Z9zOajAQ2VR_a)%9d3-_H9I6vgP5j%yGBlc>X?MFn(dN=K5anCC90^*S{4o3 z^O~2S%16=tYWMe)`A&Z{4%D|&`P!sUxBAmC*ab{Iux0P*R-Z1a4NS{!;D~fOrK5fq z*8tMTafr<(dEWJsi;WC&no6)XBpdS4$hH;}=|7M!gOjJ8Ey2ll2p$k7j|)Hti3fdi zP-O?Y2UJRaU1XQ=70(R*;DEhsYldGWh!Op2mT=jSiPSc-Jh3Is$F~IVd!9RSU5Yul z@a`3ko;u&!?_t^-VYG4cV7V-fd@8qM_ZG5yAlYxb9D31ZVV{B0j5t})Wp(JRjiiX7 z5cttU?nReVt+iUk(LvUdxfU2#R#m{n)=ZiY3+c$t6mKI%grZM!e|Wbm3G}dAESx@u5b9*dIhY~xdENy_LgB+GA8`q z2oTVmb~OnutY5bC>X(D@{S)vr1xc)EHRI36sFROCAC(+yYCa{DY0` z_?Gw&CwT$ST8ZoFrufeTlqiRxQV70Z1CgFp)m6%BfOp9vJV9HCS==Gwr2@UsDpi1e z;iSY_&2EwzQkhY8CC8>WF@=@yHnL7SZ>MPAeCOlTauFzc!nEw;#OZzIZ>tDSF=FO( zGxucB&ypZWlL*4*=TNMKb3+7_HS1TQ=n?<1kFQ|K>PSy0rg(g_enZz)Q$~$Id@>^a zmudJ`IO~{nzVBz-7vPTQHW94tx}aw*zN7ycMP+aJV2@v>z?Wm-AZ?Q_Otn8u?^jOj z^0ziJ@NI5%R4H_{V^&&PRk$Vy5{C6#`!}AhnX@y=i0d8zFr%gPCizb;hi}-8s zFL4@1Z<{npg;nZrX`sV3jmc}{POYk5RWaBKJBQc|a9~_a7W4?h+8(8)v_jHk-(H5IgyS0TG ze|PWC?{c~LGY^>#^vfAvrBZJ!WtiX~y`th`9Aekw11&i0KW4ji$2L}muq5!~f zf2jjn({N(6QmL#Ajg-v7{xH~sxc{dAh?}U|%%`_{xPDp#u0ZS8+HH%r*Eh@njcTI< zj>`MClqKqjsR5g^I2`N<3T$Jczgc@^1^&5Gn1!hPQ?;OY#g5!uxqRL|pC{%SK_NUP>b~?DPSndO%axbT)jMwuB*N#n@cukpsY;{D zU|9>`djDs!G1@n03|(#AGHQJ$IO)?h!^I-Mh80V_hh^S67f-k4+JA1VGAfRmXeEKu zO(T?Ofm0r~B^M#1*T-cXYCS-?LE?dBkMLo<#AkyOzwPO3}Oj(_GYR^Eg<_=-KfIM;?_ zyIR;$;(#rqAO6;M_uA=S-{z)D2u`52zQ8n%t++ia2qW^;CCuCP%ZtFOPszK5Wf}~_ zDT?pX-xlEDG&*#y$%o)*qS;>a3pANi`0-(}y_f&?9#s}w6m|_HLYg*hz1Q=b|BrOZ z#TC-7BZ(uijwI3kC}PiXJ_+2D%BYFn;pie+@7U{Co)qC&N^5b>FM`-N3+=r&ivzuL z8%(}&`7tk6MCkjCLz}7YPTOgwN|e#!tm}R~5~3*`8s-7M_V5o|dj#S4G$=M(Pfr-e1Ugd$Tqz0t)!cB7V5myZ@*~Y_ zDgJkI7v*R5NKsRC>Wa)>w+*IFn|mE0AN@R~^n^+1pO3yH2Uu$B82iX-1wsXyVKGNr zBRz8mSGHrNk?%na(-Qczq z76Bd4b|nH}uI{OaWWzPOfM?YTY>-)w@GYAayMo7)qiiB=|a(YUSXUCuUFl^i`M0Rh!C8NXgvb>bvbOXhM&SGB=6Yu?sd zOV=}b**ay4;~d?{-dNt(N3{Vg2jmzehWr4lyQroUnO7Re9n>gp+))!Jq?jewr3SV` z%5~_w>HjtKn$cDussD8(B{9v`Tklr=Wm@^~kNX0DR>lt{>G07{v%M`J{`^_27p`T3YbcnWTm6z zdyCz`U8&P-rSiM)URw#gR~K?il+O;6JSLa_F8}RJl41&DMM^s+C(pg({s{A{0m}|s zp+k*g(fQ}!xsCZvL=RD#i{E&K7s;~8RAG8Xl!?R=b*KA~1;vW$h3IMut9XSD$znNj zep1qm3JJ#%N^W8dZ;99>9JMU~qu!x1zdy6evf_MFAk20S#AsM<1@Ki{;-{S^5H%RNR|+a1^6wN^l_Cl^bi@ou=4pO&%}Y~ImxetwE@@U8i7lLd;Dn7sARrMu%qW^BAwL-{x3 zwS!gaY#F1+#e!l1RWIi&vK3|_VJA(k5vMqpIqPN}`kxy}AyQGto@f)59zntv6Iq_<758h}fviyYri?6;PCRMtvX{yRDB?C5Lr z(I3xr&DU3~M1#9Mu7Q*M7+7#F)-Lrc-wgFqLJf67SallpdYcpd;#-{B2>A(q*{0%~ z{mn{Y3smk{WyR+mhre~bW=P~;2)3}U@%o3)ZcQ3@*&MlKj5C_g=J1_x?XiaHtq#uOHw&+}i?b7(Wa%3B7;tRCBMpNVF#Do*bz$v``NtVDS8TTR zKZ0d|<~9}_Dzgt&3MAJ_0NY@1;TL@Oa6@sNr!8|NppZ%^qW*uve`CpCAWqOT(47|S zwqmEfhsVBQEz!sS8~~nc+SgDU&H@HhTARK*aTB{AwdW~kc+PCZ?Z?iKp3wmQNQ|xL zn*AG0ax(k8R~Kuy3ThQNI}_9QE;!S{PxKa^z0_xoep)mp-ze5S8Tu4RA(?MSUtT>} zY|{vl?s3r%Y*!Utz$V&<=eRxSk$G?pKx6zlY{4tFvoB>v+YoN89eQ}>cy*zySp&1Z zRo=!U`Jv5T^_8qJXnf>XN*9EB7r{!I-N?u@$3`r)LETml1eS7}J`Y4EuV4E(#klXX z4$Y#^8AvLI9Hd-k%V5(G2Z?$^>VF=;x~NCKJ9^+e(CJ9xDo8qEKT+~)gw1K%#>Poq z1Smg{xA{Np&gzz$R4Xtz_1Qo-7IYr8#qkmm_2XIaR%Z}_L2Bx^Gxhfr-y$1ALbOS; z_jqDKCqC~b_&D}k#0!q({W!Q8K7|!{vD^uhb*JA2cEPz~R_pu*nh4DSsJ-v!aBEYq zGbwj!#D_rZV29Qb{{4T8m|qQLRJAfx-P-wixVIi009syKm1jLymB$I+z+#g&3E?)a z`$bnZI}#4~g}pWYg>j^HrGT6193=xrG`r48i%TU-7?qqb{=0czv71(6Ru?aZPWWfe z-JNI;PkGV~TPx-8wl`BB2&@y=vA4}2?nXIya^y%%ia3czoH*)D^i$~%y791Wq{Vct zXid;Ik7!w4m{ao(Ge$Nq*OW0_M_qw(U{mQEbgt zd~+OP*g+zn_2$%5&{dnjMN6Wa7C#Jje;UyjYH+GyRtzR{Nf(daHR*5yg3Jszhx{9T zSACbyU0YRNR1;rmYWN!50~u_ zW1=|qu5penMJFINWSJh|`3L}>s{UwHPON|4_Lq9$5^Q_HC{O18|48e%lE!M1?{C%Q zx;S6;*EzfxQy_RKctW>$`h4g9&tzpU^3YrR2>t5 ztIDF%Z<~z>g*BWTUUVn6=0X`H)t3`2= z=acLGy|u3W_ORQOt2tgj(dyGgvUO1i`;mOu6A2zQD zCm?IbUz0G_i@Vf}!wX`29U-Jf!rJVs_Se4O`8=2oBuk z!|vq_boOjil1uVlJ7EVbi5+u&-2T`WvpsH>cCZXzmE~`8u3+wHE>2{1s}pzVElpzG z-J*wN>Z;#1(-xln$$c|CDD`SgIEHIay;FYs-!%03ZRLxR^U-mYPA=$Z?nzJxiW3@^ z?_=Nj1g8u$5&{sxFt!|-NkW&5XiUq3H6>}U>|KkzD)xkY-ssf~e@6!i0UP`o5GmsT zy4ZMO^utzQWw)h!8RQ#G;hhAT%NB@XFvEmG*LAVX^AM_d9qHDk4n zB8j$e;UizIHnk;u2q?cz?1Z(b8#9No|D=t7CnA$`h9s?>UZ?{ zc?;A@E7UGHa3OsySL zGq9F+vsL?Em73Qqzf=SR4AZwWrabyD@ZByRmUF|kv+o}r4z2n>tx)RDx70`ZK2Gy2 zu7d?uV|)IcvX*;Nz{ACMb`BEBV9zF(R*Ry^-|utw^Wt2L&!q<`{i5MtRgI z<(ML&J~c|%93T(3vR}6C>U==s;cS;!Q|p(xqk%eq6yA+|Vf!PSam{n`2M_T!*Vj&r zg-*M&Si>mlSDp0yLx6NOG7tNW8xWX($OgS)#rRf0r-A{^kbakDvCPE}y)yssEb5Xy zZg-;K{;E|eT^^bty4L>59Zl9wux)wWj=>v*`5sb1e|22}8id@Xhm}aY@OTw$kX&i8 zs%?O}-X0gt7OoPc!5o>I@u&3bB@J&KGqN1h?AUuhy_1=sVbL|1behGn!A`#vGJgYz zTYh33|EU4*zN;^>5S#SJzcs#m<C_nw2YXy#jQP8$yeHrsu=MT4tsce3aYd|dmI8Yr|`3-VKP zn{?vszWdJa%3>cz2fi@G1RIL-7mqwr^?B*e-@&mC^*H5eH;z57nAY_gyBnzhT}=_& z$mM$OH5PpQhN`etI#=%Flodl&7z&P#RnzTGCt(9$2RZLf{6w*x@cuje@}I@%W%`N4Tz#^4RRu%J8 zK|=Ogs5jvf>&m!3c-chsd;!O)a`lrpk;X?rYZ4wkcW_m9X0-DSViilvlT02zlpN_$ z(8M_fp^9umd|3#0)=$|O8L=b^AQ z2GF!JXZ0d*Wh7gVMckO@dK#H`=lJIFg?)>Ghq%fb21j0C5h8r}*Kp$z8!KpG{(fY( z{a<*z&1g5I@GgjM>dNY@=_QIyg|Zx{m_8>fjQ{-c+ikI)Cy8I;zAaK9ew?Dk&_vP8 z`%fk(1baJCoTNKDXj|^m6ESY=T6xXy**);UAk6#GmK)65aBCH)JUsMalB4c?JXd5f zs`&>py`i8Vn|l$Z!!bbcpD02)hdv=xWy7TV16VIMO4JB2{*O;q-r8no)$7oXr7@g2 zL!*V94ftT>#iMhjx3r+%=s5eYu-<5^zAw=IbBTS z8tLL1HBUPVZxr(_|MXNz&KNDXgzEh;Y31D_wf>9;p6%q`1rr zfx;#~u-h`0yql`N-DOzFr(`;HH?A>Z@VUHze)6fw#7EWX#z#Mb9Cu6uy9di;f~cFX zw#988IH%OO86>}Pr3*}m4LRJ^gj>kU)%V|%He!^YkrL^=vWBa(}OsoKbQ(!K&RZKU=3s#!wjJOhgX_uKi^+}d< z=iEa(Z+b}r+wc>^gQ_B$xM`Ytc^ka=Mux_fBz-T`cvgOyxtN)a_7Ee2;EawFL_BCu z{Qbf>IkC+$`^EUGuHKC4R_MAT)TYW}lVe#?TWnO=)T&|UAQ~iFvlM_2ask-}Kck$0 z_Dnk8{fu2Wuad#iJBbPOH&oPCvAMzOkSbu%>RinGg`uld7RRr4dRu0s^@YRl=w}z~LnsOZ2I2(O86!ZVO$T_TTontRRtY~?vt{0jP5O?ulumyyF zg56*oq-Di53ObF8U;h$QvOl)yldBY%H)t@i`jKy~uaLpBcI~q0L`s9O^S2N7w|H05 zdBQtR{ufyxasGLM=r0fqS#+mFUG@XneHDL(CIgE8_d3c z-??r-x}DJ1y30Q!KK@bp+2?ui)*c#_{bOq2TFqycc(}vyX{Sqp&l$&n zBMuX3bl>bZ5#`oqx{*7pOD9(I=AH3*#$THTI4eH2@SbyH|6b;>`2Nz(^BcNFCsQn` z;B!|nQO?DE=F-X|d{XVHQl9rV51%op4PQj~X$(ZT`mGb*x?N7I_u)vB|4h}x{a5|3IIsU-o*U#j04|fL@xJ?r zMS%Ryq6gOMB?=FI6Ca9m()T1ax)&Y3vmfo>mm)&$9w$*$k!lIF&BPf`=I41Vt>eI{ zlaH>Su+z)TA)epE8Li*rDC=t-6RIG1g|YEP%y(L zCF!LScQ$G1iQPu{q~VDgbN*d{dPI*WrHZ9fBUZ=ZgiuJW;H{Moq(?p^rIruja!~x1 ztYM+<*Qb^B61k+`3|`Rj_^Y;-P&SwSTlqAm)d8#$MpN;-0giUzfL@Ju^JgMN4=?FT z)%{h8GPV8538mB5VrV>_HRYrS|FVYuvN`pb@>8uW?W9{;wMMOSI&0@v4OQS~bJ<4y zXIVH;V`=ZPtTYn&km=I1aNjvMox6C2Tp3Gi0TT$(@UhSeYuWTcw zY;H?i&Bl2xqI|5f>egEAkVHGl@xJ9NPNDH~Gt8mGiAuM|nc7sPwC8WN)G#jQ?C)T!!D?jE=xtQ!XYM`LUf{m4|C;2oN5rR(JyTqc_ z@q0j`)<9lA%)H=j^wQPJH!NAE&E0W}Oi-p&O(S;>SyZERe`eaYMn>rkXkH&YppWG` zo$9YyuD`Whpz&gUmNW%S3sx2?T5~)xHWfd$$zMrG;~8dFuq723zWZ?V_f?H^^C5hXkQF< zS#UgSXF-W-Hna0`0OxGDVV=8ky}B}uSgYIF_RwswvF8TQ!Az4WrR|Ycr(4J3SDO&6 z(TRedOl$OPe1U@HkpAV_tJ1|BsNub;Q);u0E_77SbR~0YS2ke2gL9{OV0t31;RQCl zmYR!SDQWx3Jt7HSZ0E!LKCSX$Q{s45M%GnjO=voA0h1G?pY`=sn7%uL>Ti|vqblw4 zyJq64wIio%1pgYKd@-bHYCR?IHo0^Pds=@L7?V}JkYi;s%CipcAni+1HsE&)TG|j~ z!in~<8jrr@u_NwJCCN&;7Cm@7Li(^; zxKb7>NOr<~1karp%IK9&OU9M;;vqs@?I7D-hQ!p&@nCF``!T)XD@bTAlVv-9+e2;t zReX`e98D93GV}sqvueSya+dHZEDtRjkjDKc;=$`|b8E8YFx%$U$`TQ3_Xq6kA>8ce zU)z|qJ=zH8pNG7pXJ4$S9>c%q-;@j4W=DfbE$E_2#6t+9@tz&};{}sX?j*dljt~tSJgpNf#EaJ+^W_u=s?3xc5mA5y;J>RnR0ac4< zE+=ryYYh_#oo>?($Oy#E?U0QsIxO+Fdn&57Y{0zxGx2vM02| zCl5*#y+uPsBW3OfIgz&AIdK(Y$RIKs;}I$^gN$$$|fc_4L4BIgM-Km5`cQ>XwxbMiG*rnI0Kb&@hM-IJ&(bmBRevo&pMBF(9)0gzY+ z{Dph3F=fr5lBQf%3r#G%`O8#$4#QQUrRqCYdQZR6iZ0D`qLZoI=!XkRYbW+5?1^mx zPA82!F2VQmnoAy*#$YWub6PT`K8g2b1XJzsUe`Af*6w6hms4TPju2CCn+`3Wo@xf7wC%#GzY~0pp0mVBwRL>XxQ9f_ z6D(%T*}-~|4MYnj*48rF%95URB~_or;@sf4G66nZwsiYshXBm8ifqAO|9;Ue#(UgZ9n(@);kz&Hc?mt50DXvB zEl(okmY6r+PeP>$&cViONDdW7l5!>t06c;bNUe zHU9nAVU1UWZU*+rJYZCgV4jogxW0@>nuEB)3d=OE<&d^*ji}e*A^mX1RkwH$Drcm( z<2EESas8uj1}71R!8?I# z5+|?M`W%w&r7o=~gZ16Zzq#z$k+}+_Dh*zfJI*AwnG3*UGE{cA!8jx-L;b9;z0U^C zY*6ClJ@uKx$dF~SdYk$D94(Xv6Y;~12kP{$LM5BQ)^IvpN5iHA)ceiA9)f~l+D4Cl z>*@_u5ozPOZx)!DeP>4WMPn>?JdOGCx0c&gfHXyjwdPB0cNoF|$4ja!DuJ4ZZPf?8 zu1~)UkRy7%`y1Ll%j*fFbSLUsr&BLAVe*s@8)l)9;lW>to+q=IvIy| zgwLl*2`Hx^Sl6&zb0NL{ZfJ<`F0zUca*nU>d71i@$le_3!#8i;Tz}c7;&ok4J-Cm$ zM%U%?xF6pZMAEX~Do@I`lK}~b`~=4IMEiZ-Ab<((L8FJld$*o+>(*?F!F%>PbW85d z;A6eMgr$(N%?7xc=Z!bh0tBwQ!m@LrrXO9`XtS@56ZWtkw&}WzIVoaRzSo~+#H<(m zxG5=+KBRY{xp-DzlAvnR7wl-*hiy-`d9vD^gMDnfI1V%AqUn4)hz8a=R;s-KEcfy5 zoZVS}SLReNN$h3QYI^zg$Kz-8(r!c|HS3yps9|MX6(?v2`l^lTs08>kxo zP!d>5sr*DZ9wb&&5$86G8ygBi#(IfEU9+hY({*aSqn6$4l3SN3Q$3GPN+$1)ZH&LV ziEx`OeziCL`=naxK7iLcxtPt)^YRQ*Ig4aC>xN{y?R&A6V)o6vv9q|2l=oKG*XLWp z;WMiS%Z`ImQ7rdRyu!DScaU3Ou*^`%AZb+7Zb!hIM3)O$6{s#&l5)=lF0dCN)#}yf-|DU32>I@CzP;9lREI!BkFQVygqd z?V0$bQS*B1^FH+u$0I?jGyADo&PR&A&uvB3nETDt>%s{5;aEFe+cPQSarL6@=q*Q( z@{^5AO96X|BzFa@%qvru($;9#nSZvt)@PZ?5$ykNclv~4c3ai%uiD>Un+_O7lM;5{ zzmA6KCo>SXE$Z=AKhFVv{m=(n$=vQeqAFM&J1TZ{n5X>UH`UqMcml;RN6JKG5q!Mn zmUd5*u<)Dar@q-$4enD&Fp~JRdgh32;nm}#$Y7(D=hS=h(+`SvTf0h*C8x6LZ{0MX z&X%kz64GCUZt0ayGV{@91I{A$`Zc(jY;?y4F2CzHf`-P~L}lOJo>q0Qdq~&z=%=kB zz&>`?`Qt)NTprQq998bS`ps508IO@iS08Okdnv%O1<|WYl<9tr6_)I`O=Yh|BFo`r zp$65y+XTy-3(r#{(dJD7(Y<L{!>p%247$5ufN=Jj{?j&pi&Gn3~F zoDAjLIPCVx?6Q*Q(?xK~o-JH9u|C&vsawwG5S3F6ME3LU+W0<)c6Ri#wxQSSKYdcE zvFyy;vETM+i(u)6AsfnU_RZUAw*dsxp^Pp!AFuKKVpX?%7c?yoFR$5{=0VYSPaCg~ z0xnA9N5&)}J!{AGuoR^Xs~P@>DT(weee=pAD4`avYtTjNfZ~fmqvQVf^PiZEb`3)h>NrG8JQnUt`(DfRlHt^M`_timf8IF#Ag&EdgxKPUdLclyHJRhsua3EOxB;`640W3+^y!Hwh%nOJC$$S zj3GC^b@Lx@I7#t!T}8UhI-*5Sr(Gtxy|M>iws>0UfIIk)7u->%Z!n9wwtXgNj?$uq zN}vDnEla*StT`81)hk?u>c8Brxt$+!4dyVI85-UN1W#jjXX14)IoWS~oFzXEfTB=N zYhS(pJ%W%o9fXKTbC$h-zV@L?3^VqB#IHOKvKO6)PipPwkhHPCpC%yfC!lul(6$>l zd#!(@MAMTKX4|s!Z@-*wxYeIchfDYXOuXLCisYD^qy(pRVb5llRb*d5Wz_rja@&L5 z*LcLTuh1%PGoLM6B>Sz`?>fvjoAj#wF8jJQCMzj1%7e3;m{>YO z@c4p?-_D!=t?6<2YTHhoe|_Io^&qd}aG!dAS^kabeV!g|P>4Ta)z>q|`=!aP`YG!v zrPwx9gY>~72-Sncek!%);vr+7T7i#B@a~r*sjsK}!sXXyJ>v3e{bkW{rC?9+`1#B}9wK*|3Ou>h+B5sQs8N zU=>3848{eC*JfUZgsBGsF>RFZHo^OQ1$(zxx;8*vB(B)$^kwrf=FOw7rvfASsBWKk z7m4Y2Fe8TTT-_i3o29Pn2p?TO+Wt1wOY(P?Go0(7TOq7zoi0j2kR`iDAj9!<&$Z|t zwJ8rjlP()e*Pplv=Fk*+!7TJEx3LvB;NfL-h_{QOILSF_&3e`~$Km7NO$XO7mb{w) zt@Z~U*7D9p(M>L&L<6<;`TE&()Hb1)M#t#nC)fl2tbvh@Hex1IOZE^W!Sgf6qEw`eVq3q+8K-C)IHrU2>(Boz+r&=Xf7B5+6oRy3qNBQ7Zdcse#TCLs-mXIfFLzll!Y-IU zZpWSuI`t#CG|z3X>23M7XJHcgHoc@qeP}d<$B)QuM17Z z12Lp#N4K#s;D_yhg>Hw$)se+-J1b9<2q{!fq^hOeSHfZlcQ$lryZ~X9roEc(AwuG2 z=hqRq_5lf>7W+QO=SSW1^D_Pt{(+gO^uq$uzaI$iT_*{zp>DAM%%l$qD_i{abhGE!3Z``D9V(?j8TQmZ@UYgM!~ zw)@qZr_d1f7~3|>tfzRK_sru}+Xh-bzT0HSYBpBRSs)_kPEZ6=HnHcf8;&AqSmwTy=}>2K!D<4}{{ z^v9@FAHwaUP*3VCaoX<7W_BlaD78Hu^+*~-adK*fL_Hq37y>-9wSWw|E~@0&+G@2| z8x0HxDF9`6^6XUa{(;>@rAh5Vg4=5A3fbZWYiV0@|Cc|{kNz;-Esk8g@7$M>c=kk% z*O5^6udk;(;9a+=)VL4X=!~m2tmsFtyHN>lCZ`85g3Sp=rge1bN_n$`r%t) zg}?Y6Q&nWpD6t<4Did_rU!Hh4%koMSlRQL^^T+XKt)ElJay$PPR3{o@&DJsf z3w*(8VM|v+x!{w=R_|YCG1_Gs2nrI8`Uh6AmN`wqTK~-ohHi5=qnOkm%C3g=CI1=hrpRj1nA41IQa( zwPsp7`HQ&C)ML$bQwdtL)%|CV(C~QD-XUxv?A@x@TNLNo-z@Te^^5wm?2zcSlU#wv zRk%*c+g(r6h2n*dK6$>2g^(6L1eus559``+^;)DMAj~m$-U&?Nuu7HUoO^stW)CYW zWo8O3g`RK=`(b)$Dul8N0>*A0jLa9)=q9@Jep5?v9qz#2azP*wXqGQq z5P2-eXy)YYmWC3M8~8IY6v=G1CFt0krf!D(#?bKny<{UeBlUrZ7$LGz6jYq8L?>p9ZI!qCwD(AwCmM27D@=vDx#z za(AhdNiZnFFt&PEcyrD{hhG9=#HedKp38Bj@aXR7_pSHnSJovRe{w3K?WC964Pe5wOBBuev2SU@?v*-aq) zH=iY*RXHAB?3of*Q{CLb@W2g!@<3I4WR)IPd?xoBj1INEsy2 z8B27Wbb7I`Qd%_% zneT8hS3u7pg)4g_THX?CEUC(ODq+EWd7UF-c&|4-D*>_P$5Xf;EAmQ-9_LB ze!@H+{_9e!kzm;kh3GG|;y+|F#$_Dftjb#D!zClh*CY-_gC+0nXXnL6F49qIfr+DLcDH3FCU_d@(d!=L?hX6qb4||ZDBcUIGFOKU-0VH zQRoX>zeXC#jw|&Fov(iMZ~yb0LG)i%kN78*#`X$nBOC-M%$*Zd2JyswwSXDxHRvtA zqJ!x<2($%5BdJf&|6XP0jMgNnsJgJm}7UY=cj@0Sv;tE-@Lj#ljdU0*kO zGI#>suF1lZvUTZu8Tei$o2ee?&HctS!lSKe)~q!L-7RS_4M}wX_I3P2f8jZH&3-9i zNA9i{4F6DPrh&Z{nhE1D|BX{5I`ZGEI3&nQ!jKSa2+G!Mz6jw=6*J~x0A+AWN}PbJcS3soNA#ltm%eS9Up_0XI4HXd1Z z9~n&bD|t{JCRuWtO|>Vv==&EEpj235Ch`A1`-f&EfvA+q_P}Mw^zXm}ibHu->ciC8 z9PG3Fr;K(>&3>REOJ1zP9-(8r_X!Vi-NH4iQF0>8_M8v;Qw^0W+_M3bp9TPK@zjq+rzY zyVH3etr1>bFr>Hy8uN;L6+jLyz<7)DO_~nN=r4%_Yk|afH*@heToULh^mgAIj$BVV zm7##xH(EH5n4q$anrkimv0vu}ztFha(y!Chx`E9smihuk#rZGr=#D9hZ7)+OeU9{g zXKwuDhiy}zHO>_IIGsV_PAUS+`dI;^2h!U06oaLvB4w1O+Z}SdvK#0A8xKUtYFC1?QY$HulIqK*xiJf@-@_ce!IK~0jnRo*%R0sU_iM`K$QMm;fntsNr@iGV%Bzqa5&7QMG+QG)?!GSaUr6{0 z1S*LeDT!34BU1L2t{`hise^7X(!z%n#r z^2uL)`MsJk$>gHuipX#y||8Kvj_try3fxK{Ce_>l6{)arXAB zxL_ma>DYf=W1Iu!vy z{X|$BnmIoDUuWUizT%KW-Nhf#PpPxR#H+%iqx>CJ-Lj@Mmm8!EkEX5~DWR-I zZ5g>3;KfxTf)-Y3VOfsMtuU8r-!lIdxZ}ltSWcrMn|DijNDkL5bVR;9O^^gjoM$_O z!`XKlNQqpF!8;AvB{Fr`120S*$|7W7fyx7#jU#qI(V=pNRV{gA@qm<;7lUNMdRM|c z4G~O*7VAytk&UX@U1U3aqXi8$#b8G=lG5Vm2`a~8l`0!CqsYonm5l!+(kNumqCgZQ z>kyCNq)Gh>MSdJR)PUSQ$45#KwGZuRc{c1jS{llF6F8sDEkfmdwcavfMno+phhd~L z#j^1qrTE*>j^DST4Lt%uTEoap-MS67!lEuDV1vXJRW_RWpcgbCv zn`TAn2h|4gQfv@Yy#b0sVd*J;-~C!lY!)iQfX?rU_Ys27Qno|Z#DIAk|90I#0ae_3 zp%%EX0J>vQrcCAHd^_KHqgB>DY>0Y`@ye_u#)8zhUfaxb`)~L$Kd}q=Jbcy19$s_t zbpO{!CKI0_>V&m=RUDgP*Q z-Pso;oa69kI#=v1tjIS7Ax_M1^H}$Kx=k89;fnK`VsfzAAVxdjnU-*XANWRyUnv=>S%_?MXs@?m{{Y^6RT$eq~pN6%a z=7`!(Cb#^MV(b#eNs{q3C6QrB!|g;)_mvd=nW# zb4e%_nu>rYPf;%lajAh3fC_}gUC{SYfep!(x$rBy?U%!=bNR)_=VWnKY!!}klK((6 zAV)Uj8rhgy3e&kyafmu1jP|^cg$F6GSm&DV$g?U*tdh*FFht7Q$akJwo#$Nx!I)dN zZWWk2zTu> zF#8MMWAWE3+sN_>Wv6JMO*0-uS^PC zUZT}(Awj>O$ihA+_wz0jag*3gSfA1$bKdJDt04s|ngi>2c!e<-AgE04ge4sR z)V!ZtAa*^%-&|2xB0UFs?do1l6eu5$(Mso7}iMVD$0WAQX z#sQGuWRI4I~&A2yp6*LP5Bm%}BVZyWmM1`SU?8U*_;>GxTd;!InQ ze*RtbrgrZTY1!&ge8q@Kbo+! z1OS)qH91{Kh{3Qs6kwaXzmryY8+U2eFMf_!E3`T+*!+tq%M{T?cz78me1-Z0$B~3D z^vUbyFknx}d{Wn4a_B zXK6GroX-E=%M6CCDWE-J2=g3?a{o?}Urm_)94!@otYs(0&_)kV_Dz?ZGh*G zkO2t6wPo=`#isI*5@K&%RXI?1SVxeb%)?b(!svil_Jb?2o5&OqcWGx)Y zUgTIH>W4M#A{^k6CE^CeYUo@iwz)c$fLASAKNOx2!&=_>OXQx}3uRdXz` zANsB6U@@}*dvqu7c*&58;a_h+LpfnSQCRJ*zdTKDM)El%Z%kF6of~r-Yt0Mnfe>Ly z3}5>;{ZtyUhC9GbQMZZ0XK#h~qhy&jFye$$XO7s$HCPXRGua!KKni;Y{8N&cJ{22l zS%gXri;sMz-r-M;{!t&9G0T_WFVeL5Uni>e>v$Cqj5D{8eOs?%;*W(Pt$^of+bXbd zO0?&2N;9pvs8=`@J3*9c&W3O7=`TD#WlaCFdSrZ{e4WWNzWM>T-We6UJ{=ky?p>5r zx2*_=g`6e+oqXWzQT2@|S8>;}n$7=S_bI(YRYfNv^)w%$6{|T2xkbQrJDcd^7{^U< z zu~$UmZ;nAPJUv9FfzZAxtPv&(GqwLXczUnqV6l*mWWM9LGT>-9J*1rSIl01{%YzwB zTI{4Qk(>FvzQZLN;%42RS412ECz|*`eOW1CzBsHZ6Zxa`pMy`G**88dY)>__5@WhO=dc*^3MLt!$FDJ(;s=c< z$4ag<7*gcq(8N8T(dWP|Gy^gPT3Z$+2~a`1(_9%&&p&g2W*Bz&zrf4P7Ix6;CO z4hIQuJO05_+>MgIIxZv$!Yjza>Bb){z_YcX^Yb0~CL=8896fyJ}Yi;!HGkC+` zpI~dw$g0Jn`1vo?#=-B2dj}hfZ0N%}`gs2(nV{-GneY~A zop?UZ6;ygJwWl&nG;w`pdzc*TivlxJBBa5N!o9FDsae7B2PK4H!`G4)e}$s02aF9< zsAeZMNH?Q(nTo2N80jMlJWfz}rhZPix2&!Z2}2 zx-vg`Y@|51^A+i5#Ia??Eb(qx~EmeB)OD8(MP6<1s-$;ExH4E3|1c2!U)DXe4TSxBVpmY3-gs(lJ<=FDQ>f> zomKO;vYc}BGOk@~+;40$!>`9;tO<0Npq*U?oJYqr=6LpDau-b~5RJI%*Wo2jr3OCn zV$5^@9kJT?_S#SQQC8aijLVrm8z4vzzGYsE-fW|`F?nU=nTi3~34A@*On+2i!aNb7 zC@UGf{`~MPk;%00y*wo3=Eh^AQ|hDcWyKE4FaD|1sQfU@Y^oMKmQZcDyK>93lH~x} zA}h~<;y)Q+gvk85!YO7=&Zb3+cR#u1;-giDByp18HG24P>VS98dfz>&hvldH`R0qO@)rJwC=V5W4-U+Ii5F2nqJv2hOxtK_a*oTcFQ{OL)$ z(Xe&obHGPGlU?@3Doo?DYpwj@6#A~XCpy#7%t5Rns_xbD0=oLi3_Bx(R zdvz`fHE1?g7kvN;G5>(x-% z*2>t!g&wZ%u)|{;=CQd|PXxgnE?kl`l#|IG6%j?k5|ZP~aEgFzeT8Z$`U@2WZRh&yb z!E7;(8VFr%y}ap*ZpGAfADqNeFcl$MoS-fVyGnE92Dk$j7u)qRw02C6Vv3a}uUB>y3G#50G8^&f~ zQxQ;#8zAs^8sytv$@+Q5ggVt@9k@d@|DR1J=EWsVZ9wHzF~-hhDQFp&p>#U@#j@NR zwWjZ+_>-_q0qc1xImNk((;!73>&0ovY^T%pszTl~!VaGM*ERp|_nJ!o%~$8XM}a8j zJzGa5mI&5WEJjwx85a4qhqB(@daDgeLd(dfL6^@|)=_q}J^WEPKzt%pT)PG{#Yxpp z#szVfQ;eroS5W*ze~+p-sjl06s1|EzUmjjc%q8YPQTyk5R^$Bn=g&eDYJQlj8bQIy`|e+! zWmxBvbx0E>q^c+F*aCC{Eh5 zt8u4#5M~gv;LAtP-I{cBuzBX{293iyPr>6PL8_R69EeaHy?u#U~a>*f)3SXZ3OSTeO7-xi4P=s;H!Na32M11hDN-UJ63TZ8{$v zULZU*qOnq3{OYT0@VFhR+H+&$0$VQPbF2Tk5Cvbfo}_}C*ww%I#e%zjP&j2nwH=GV z0TG2#nS#p_QRxy)^YDM>lreolgOQ zZ_xvhadlQ;Bc)NTkeEWgWfi7%SA9g%A4sK-^9KD)w>M-=>eyc%(%h4+v@cFr)rsO7 zQtk0;0b5Jnx>M72z?;0_p{vBqPemK)oPa~GVRvoa?lWRC6_d+5Tv*C*mN>^_v$%=~ z$K`Hp{Evyo#$C#(d7mlXBHh^b8P$=R&BRQ+E=(rcJ?WMKcU+qk+^)LLxc_Cn=YPm@)ppMD|9?3Li5O-}#r~sSE$LwGcU_5%gUZ?QToH+NPHp+RvC`-8zN4 z))J4qs}3oArIMumbBeVCc+dLPmrHN|1CQEZv)pPcbzL>mAY`}Prt5-#YP;n-F7v$L z&2LrVEp&U*tMh246^v`c3!8%I_|}OO92F_b`^U%x=)m{d8H60$ml$R^$dK!Xt~ZTJ zYso?;xtd8KMT^b5Vr1yhj8nh!*T$uZ$$!1eK3a3gl7&a#WvoJ#@!pP3H>w_&nQ|np z#Wn-wa7s7fhl9-k`EX$iv)N8S&W9(nPAWjg$Wg%pG@3+dNJ#5kQu-rq%GlHDQNg`r z-t=z;(n>U3?qS4C`XE8V$J_hXdqxe?x&~Mx%tJSvWx<2>K@{wd_4xUH)UUjkAtznZ z-(vE#xVn!l&`TdNt!}3kQH8k`{c|9~7OuM6Riy!-MTz!H@dpZ4wFD2UPQ%b8W^^@w zv5y|jQURKRO#4KUm3H(ml;}jlKn|ELR!Z}MHbr5FYY7WmWg zI;uY+7w)#7REJ}St+E=u$8LWWdi&0qv#D?JRklKZCyUb(vD4GHd+$-kP?f&N)a!ge zlM=p}-idsgYSb+)SILxp@zvKMDr3&u)eeM0EK;^uB=v$1N(~VeLoL+J5k+6Z`1;EN zqi+1D;$DD6E#ajnfX2DNS8@4tWbOqL4fFe3J!82p+C#_J(rWRE$H&vYW7}I+S*y^c zw~pleh4pM;yaK$#^GlUa;@UOq@|+~`!Tsz)S4SwDUNc7|ogQ36RGqws3m)jV|2lf5 zu@79OHIWWD77q8GymQ-uf?SNlembPYOH&J6_ZL9B$fG$oR~6vJ(tS-%nfme)_BHt8 zxyTm>tAo3cl>iw$7_=g?YnM>0Vv4F6PInTTqXdHk-IpcLruxzBb2aDm7ljYj7~ za^$@fNW)rZH-vz0(di{6LGk7^>u4=%+*IN6<}3|EQuwxSl!IQ?IxPyqGsx@AlHf&qO=C4zh7KR&(4Dt~XrE{@M z>_azEq2++cDV0^xcE~)TZhoV=SLf9-twW{w#gtP}bWNTT`u=z&1kPLr+An{4XxtCd zW^(DQsngk7MxGFmb*AL=#cJ#dH|AW`+gjMd+sP~J%CDs={pXjCqeOqU@e9>b^Ofd}TydI_34> z4=2BP(MA;eLOH5fxwnWnDbn$PQ(6f=Yf1 zTG`Z%TZ@$0?zNq(5)GR>YqwzGk%Sa@>@sCed*Kn*(6!^S|9rv!k$M%nmQ2Tid~E(D zNx9iGGf6~d_pjX$Ql{2(%N(_vvqfPG_l+LzZzg%hSPmOU0o-_wWn?ChWE6VA)|MWc z)Z>8D@TxtaaOx)e_nyH3SGptVcl)-_r3(PaqPK0CE%0NyJ}{Y@dospqZjD4hD>f@} zx~$ffXiR6tK%%LVuV3YJn%yd$;(rykl5E#o?l)J?3B!1xtsa~uF2xHeg!rCOuN?C_ z8zg1{gE1ZTH6xl0k@8~~1&Dg*K?z*Km;>E*yf=Un*yYEddfI0M_(o zQ>TMz${%v4qpzY_<^tF%<3G~020FHbGUfZ@kb09C>6PD8Ez}3CGO6w}iWS=57x(82 zi|+Tj9KF6d>(U{mf0eQSbk)ZmtdzozR>d*n9xkJv$iO2? zk$)pkBj8wT7}Ua6)j#mLlGdXio&2P8S-xgzwPr?-)D3CDaUP4e!6(;NfQF*rG|DD= z`6Bu8gzyR02-vDnDK2vp4LQKc!0x44EU5*c|iHU!s)(wC!`?LUi7P z8+Y2qZ~bY*1hcqH~ zD=?>+y1+{2koQN0i#?^-8K1IH0kU@yAJOci9+i6Czr>#8ss;F2(xowK4C#-^JL0+I zFC~6DqwqK*F0I@%2&!=&x*O)~ghNWFLbN%^-|VBMgct4pwPQ*$p;qC~RmGsw18$-d zi-fW@4)L2COg)b^hY3~r!bI+>qZ|s=^Ve$cXJ6d?<`s4fbcYTpa>{Fbd` z33=3FloG&94Sz8e^kXl_3&{h~mB*1Z0qbNmgK+S zrEyQM#C!?nBxoYwB_=nY8VfQhkO_;s@ut>U{WRwXLJfJN0O*AI64%0=cOgqvVP@y= zx-rp;{m58@TmO{pegcFk)2T&f%nL_fl~%U8u}eSaigEh?Vd*LZ+USC9p*W>D6e&(| zC>|_maVNNyB0&ojD_-1+1b2!%ltOSVr8vRerNJ$@zI^Y!fBPr9_wL-8IcLsfw&`zmTgUb#zdpAlAC+cD|q`y^59yN+2QnZ=+zh~T>PR;%pH##VF zgQM_rVFa}Wz{RFsRHNMgE<9cL4S`L<{U1{=JA z<1Q>atSC$5&61wdsg$2ieM*Zj+GjUxkbz%HLVN~e&=!|=`ZO$EiJHbGZxjwFIu?10 zYXWzJJ`z>3@`EsjA25qj!K)Zl*^u)aN9Sk`Km*MVlqcjpFOWD8!<;HF>VTN-Ik(RA zS#>0zo{!T>d&*ZY9sbBYR)t#1=%=X45Howw4vbzE%a4@tE`ed>ax%~ILjy3GlGE9> zRj8!SX+0;BFyis6sY(gxP)bct4lc2k-*R1K3~v=qi_^#*WOUTgX?qd8-ln7Qf3S_3 zeiMXJ)gBvBDCg0};~zK(W^a!{B~cuY@NB}VkRP(A#~zTM_1V+KpqJ7%!$oUe zFBFt0Qzp_8EEf@v%*ZRqc5S%w3K*|hX~=f9g~fjRctoa(&ZRS7!UER3WTt2A!6+)U;Lsf!BmVOAe~U8Uw29 z{6df$G30kPTwRk))l)gs6i<_xN!DHx=T<5DGnJ_mfYPukyu{fj!DMTd=}FCv_xGr} zzr4o#G87Kk5hw4Gjp$;{t}u>b5f@taYZu4wdJUDn;Q->%BHJIoB#(a6ocTo=mVx+oS2>ARmc8hE@@uR#mUqb zb_;(G3b)&Lp5)vR_J})ETwI_e$%^xc64?X16K&_Y1iCo{b? zMJZaB>Not~d@8kzSFg*<4UR?$?Ra0eOV3BTQQ|lFpnO4?ig!I>3e{M>H@`%+0yc1C zCA5*Vn2GlAWut4wUeW@Tb|rBG34DBfV3yFs&(l78Jf=W3dmiV|nLDyt-SF9wwfwQ! zS@6(0arrx*fb%QS#YPSfV<4MZmmVY@>mk$fA~Dk8a`xug zCD;JvoTI*Qw(K`~r^gDK^i*T(0=&SLQv8mk)O{rRK}U7?sNZI$`Um!p1e+BMRNJrp zUCEDyrSqP&W`&G}-UvaCk97IrHx1j80@I7;&r#F%9!i)FE}!R~i5*s0aF>J$e~}Rp zxP+oRqSvrsOxyuO^zx$DsaS zmq|PxIYPFDtp6Y&BhR1OCzs)$&}klr!&{UVjT?pIj${XOfgd84+2w5dv;7Ubdonct zd4<1Uwr4EM7!9qo?(pJVfQ)E^r^p;?&u({lta`)W0Lv_mqFMWds<3v06c{c8si5Ml zqYT;N52rM3-x!gjfZQYH9Og2=Y{gOTeG(cE>uP#BeB7}w&-TvJmyjQczdFh4lxfLN z9nE!Ju8?Qn;&%-ux-J)o>60ox<^5AR`WJl}@Kc6CF^>BKb@@zKG1Mg$z#nnMC=WkkN24iQK4N#uAmYj%w-STat*&V&eoX##dNxA;l73FIYmsC68F14;1%{P&UI$)M7}UfzaVR*La8Kj+H7)qx&Z_Kmo4OGC4MEUIg6JmH!wM8AUu{a7^CtbSK! zAp6hKCZu_YjlA-@ht(! z_u(UOdKGzlr(|fSjOJVBvhECQyp&{*<{!U5{5xImI;o3-r+(B{M^#}R;L2ZFK(zC&P$T3h#!YL)vC(^p2+|l zxWK0z=OSQnx|blDRzJN1R$C46T{hE2i`x47=a{6kc-jm|YbfEatqV7y-$Msxc+r-p zKZ8{Y)+a=MQ-GpqAuGbZzHa~&K;lqSfAPqkrpt1?UlnDwfj-%cP)-h6 zg+iDEszPIq7y4@>YL;HObP!|SL`cDw=O92_W}r1UCBJY2{yvBwv~EJ@l1m0K#-%=F z*li~L_==s$cD|;Gd}~i{`is_U@pXZ6!g5Y2yczR9Z<>mJZ0e4Gx95`f`0<01+iLL4 zJ^jbx7$SW3gb*!p0SDnJLZ|psrY3pP*fQ9D4sGp$AM|`~HNmN`X@UQ;RNT(0%lgWc&;NbWhSkkLU1If%+#c@*1ipW7Xa>jy z@S5L{2re0n?>Ya4@7K1$c>I6Hc#x=ph^nuD3U%~1GyiBK3`WBYr4L=&Z?4y+ctc%?W-Oh)22F57zdiVX0y zXjk;}YHVX@EF&E4awCo{B zIcU4%tpBxrKA#npaL9NZD3fyma1>O_f`@2`1R3)TG~8Al8p?8jyIP(~9{Jc_v*yRo z_G6EIbUcu~_d?rdjvw;F&m2#*;*{u{V!0r-`_cBxvv46Bk2gt-O2&LM|B{Jnl4x8Ie4gFua8ssa3bmp`jTB*d*TcEF>OSXqK%J59jI;(8Mib3 z64k^FpujTUu%&zIU_3&Wh@RvKmZ&Zylz4u}nhL46t!7)z6Zn{${Q0Y4Cl0>5xVpMv zgEl6FeXJ8{IvAEvEGl7US9cm5^p%>Vhu(uReIBk3m2#jChj z6|}L}sPGe1%xjBApt8m5kFtC@hIvcZX}gvgAO8Tne*T4S2t@K@b{;sF=_w)BdL>_dU)j?`G(Gbi?x)#wgU3 zKzZ6_B{#kVqkiQy<+xI9a1|qiwJ7Zx%mf8z??`0rLP12^YuAyfu8Q{8sbaD4q~r=4 zt{E7{;i2tgJVf9#HDE*;oVVBHz8jQTNw1(HAC`-z|7yZ$m5Udj8V|nrVcLnor^q-I z{`mO%0xGjKgxI&|9rNCno$WA3<_9_&Dd;06Ka}>7n zg#85SeFOoV>5JCb>0Wm8smarh(3?hfvD>Uxdb%RHUoU1Q@ReYK;O(zqOBC%2?BF5u zF5XH4RXe~v>^j*e;iiFrh|i^Ab?83MrsyAH)9}E$BRzgPC)F~R^Y-7hqBOMX`YL`F z{?*OnPLyqBW|4A|=TXykk-(@}iR%Rk+-OZr#f5u}#q7E8a@ak~YReX{b}>OQ_7XtF z??hdF?*lNTLN}w@As`Ks!1Gs(gq8mpN_nbTM--p#YjrhyvUzl1M*TT?Z}(UO=dG}& zyfoS4|Bt8J$@#yf+dm-F09WJZj~;QuheIz+ZQr}NTKTW+y2eenndNvo`>)XNJD}PP zAYl{()S~tCOIErCXZv+(g6b}M+D)86&5gfJ%q=$A&V!RA37e-KEI-2W>9R$~Fwdn@ z?9!zlQof}4c~R_8yk6tsmpiDP{1Ps=Kj;1soGkR9``dS7%86O>YdM2{40Ij4$9-R5p8V#| zMR{(c0AiL|fD!#a5+pa$9AAgkDRVV7Z#LqsG1FP>35V61Oj&LM+5#n5NxKFLuBfya<4oO25 zx;E}K%g1i}Yhx1N4oA$6cqy&#s`YLNFKO7??`?f`59-X~xfp|v-%jp*_=_3CAe84B zo5GER)Lrd@e-%eJNBL8Xrnf8u(t7{Nt`V|Ot2?>Nn9nHta!H|R*}t54IUts%8y1f5 zb1i2yOmWG&dA!em3rpQu!3*eOa3y)<2Z}3*TeK`A;%6Tm=6?tT1SF3+It!G0KdnE# zE74|&_9#;56P?V@<@8bJKE|b+NiDvV@9|*rUHfKer*EGj=wzF;82AqzXnK=Qj?wyX zr}J}(K=Fc}!}}hW;j(P*)9##dJ84etaKG&sFCW*6+9E+Q8OhP1Y-O*lN&=h=;H$a9 z&@5Y8Y&uGlqt4I9YC9k@&qosS|-q@HV<8`z*(3GA{nLn+p*i zYZzV*8CWBzeZ+3$s-*E(EM|f-KWm(~+h3&=vD$H%>#q;Ya6SWN51gW+DiN%;#jGB# zO&bDifr7uqH<|>CcyfIyg=jEy1#`{&>m~4P=rs8N&HVgxukvg9LXs)+WdzmN4OrR0 z?Q=te1Fc9b+>hlh0BFL>7C~2s1BfkQHAWYP+KT<^?iiFgPF?>Dc@ve8aG=OkpaTJz zE7;f!uHV*)3EvAD3C)`L=?0;5z??Lmd^KiNORuL}dp-Ny00e!9mHO6;+`WAl&#oOm zJ~EN|fkXAv%umh>isRAY-GyM5jz-!RX}YOIgwz-3ku7Sx1LA<)*v>CFNWqpW@?VWnnq2 zhmMMhsSi69Vh#zHbu237xN`m-GW-O_t=hdx8dOB(k8;$rFfXv4d3i*#x25f} zPH_5;Czn*MVxhjk)GxnN>Gnjp@xb?B^JQQ+)zRIjc#R^;j!N#NF#aW~FwU=N*dF;F z)nde^w85+4q4FK_o8QP2Cl<1^p1(Dhi_tY6U08>@PvWx{Dd4n`BV+s0D$H+M5O9hL zfFFs50u{Rl|KfzFn?WIfb#%jvo&N2vGb}pDW=`UF*~;^V_b3A40%R<@bkGhjc3jOu z`ZSGKs7D5}cR3$2dl-2C9Evu$Hsr>8Lfy&7Rxjj+Q*&q792C1MGdo|UNt<2U_!vDK zkJK#$f49&1yp3?jyE}X{jp@S()haRP$iI)-<_lx(bB3ehWFIczj^TxNiDgI&m59+X zUC9^Oo=u+lwOs{5lCR{x29!tur=7oHBJx=jk(Ila)h$)?U#G|nsJ(2hL2+&VeB}r; zBD!bM-tgu4qLsL~E7>up?58bdR0{b9BETX9$<0Yo?`G|pl-P0CB+ylwo*b_5DD11& zs#_b+Qfk0V#@0r**)#;$ z4#x9o(&B!2sX^C6WfYiqCHX4ft4|y@rJjA^tsL$~r9OoQgxn#gX3=|TI5W>$)m$y2 zui#F-R$!X$*cfRmMG+K5oJd#!wDtVOpknVT!Sm?Ob^W`q7b|fM4jXxj$qM3rmqbq! zA?usI?Shs67uOo!KvAh=#5R#4h|}QhnAyc76$llqhO(fdY0B*K-iUX6K-5^u)_bOR zAp{vD%4X@Q+c50>XF{wFB)ebgwq4C(FkYhfm;wW0k}Q>1?@_pEpN%C~YVk8tndWPz zD_4iCiZVN}=I$IeZcQU68&wYQJIs=T=zIDf{D?fcfNvl)bkQd)_;I0>4S-1hmfpOH9$i9VKUC zKo%eNTf7;hY@ipEf~C`Nj)kL~Ep3hxeS~6s*V9?j@Ov|!tZ~6z|jji3t1~w5ZSVQY@YwH4vU38&HL)9 zbmeW2et>prt-Hs;(46NiW)Wb~yj?^3yhDdaT3!x=iJ!_QE2$4@&V3!6x!;@>{Qwjd z`0Ws*gVDYzPd1e&n|%er0Uxaa(E+N7U9tF0y!y00;*qh9Wu_ay&6!`bPduT6UTDw& z*&9qryO_I?eRs0Df9qWiiXQAYHvniyJU{}9oC|9Ec5sb{(xeA!uu6aMVv%nB9HZy_K+4MqbRt*JaC6-x94l7pnT_tZ!|x>JHyS`M(ml%7lLlP; zASS1I?{}<1PmyPhp4TEy?J`Jokzblkl9Bx?wAMu+;dr@EAk9{+I@i?bL@KlAvz6_e z@))!+)*!dk?gg#&Wj2>w4PP!9HHYyNIHQN&5KAw_oXr%87%q7Oo&j6EZS`+t9xIw! zCvUuX4fS@#v>#SqZP|_z)ZjWSDk+w|twyZfTLEV(M_dei>KF6veN-ED(};#L24jW9cBy{v+EJ= zl8T|EdkrDOTT?Y>y6(oZ#Xzz2gC(6F7%|-qFQ&Y4G=G@~ zb6Dq}&XUw%yJm|{{dS~S<}_wL+#%~~o^Tm(xlI1!Edx|*)(7pe>44*6^;Ci;PFr@0 zCJToMSr%Y-(IW?}_hL^ndW1AfR_|2rs7%hhKSj;+^v`d0xUZb+{N+k98yxM~;nSg3 z^qN=))|ZaM+4EtPg1dZcNo!SNV#oVeBslx>0S% zz5KUt+q`KCO>=QxZ2v_dAR*v9*0go->gJICrb-3Ch4ujh zTx~b>Pb!&`nU1`aM0Li1`cp2`E^+xY%U#*(>Vu}k?dualIb38WJrDg^(026elD2XJZ6}0JD&f`Z&PNg6>{4;(Hxtlvlw8Oq$>^=Ap|CfH^97XV z#EeH96DynRKVO?Z|9dTsRFwyte#3|XJYOm89~FWaZ@&`&oJ;GIM^i`UHVz>h?>1JGA$v4JiEqP2N4Z&6`p;E~$U0=r$~Ph5(T58O^%~OnHS!PwR;|88DEoPD28} zt}jx~7XoMs<#v6UGnZyuQ&~@DTnhUJL5Rrz*0>-6eCr!N02DBHX*%er_d6Ba5u)W= zuI~_|OPG*1)bC8sHDvcf+?humyv8%kQzaYwKIS_?F+{k zb;rN!e62V%>bqn1YC(EyPpv}tf@0HJ#!WCOzBbG_KpuGT5N!Z29mUE8`b+FxKyC14 z?q@nY78ln<-7me>cNrfMVP1KY^G$T7{)?eV#~T6W3MY$>hRE&LP(HX7!*9nrQVhSR z*cuz&XD;?D3+FZ|`}aN#`j*13^0ns$@vyXw&z}SCPBu?{sVT;suuE<+*%zbeXW=_k zVe2Dsz&~;j6m~aSM7kjx0BhTo>M2yKe34 z^-b&iS?jWSeq~ISed_Kji8fNZO-dcB?|efrzJ}wNOF_$9U$bZz+D`PQerQuw5@p#f zyc{z#X9-lI(|-O)BuZH!h)()g6v~3z<`38z^jX=G-C|1`pU`wV+}Q4Lo{=FL_)$>n zsp(1ov}AU<&cv`wYc)_c6>!K-J}2-n97Vr45Lv;V$DE z1x26guIIg|R_!Mc$9Q#gGAWjbi8cuYj4=byFLyizCQ|$X)lP8W> z?|(y)=YT%;FF5`Jq5500&DW2v=hEIwimvwtld;pd)EKvIl>l&no1KKNZn!>hW2k)t z#*N1}RYyg7!t>2RK|`9xJha(1H0Q4oV*C-g~6O4}{P|xQV_iq>nEK-}LcS^xt*2to$l8FZ&ZKwP`jXIqP zKv8gbz7>-gEe{%6)5%XZNxBU}pO_e++;P?0TzOW+Bca@U1lU*~E*jk!0wLzPO4@tM zc!UXr!LYx-Ve^8cgNo)EJbSiuH~tl5tuh!)+DO^bAg8c^iGuw?DEk$1&L@(euP>0X z$U-Si8;cgCuFjBhSaGO+ODTGBzK@>f#*$$6x5CR2WFD#gnxF{fN1{H`6NUjRQ`WLs zq-3b(+C6rFF|hu=Dp({45!G#|xqeaN<7Ms7{ZFzM8%V7M zO4#Q-*i`F@pe$igncaiQ%o53fy}KCU9r(DwhsKXcbQ$v1oBqI|M`1N&;ATPhv_^==zbd|CKT~yQbS^6y{_74;yxBysr2^M!=>I)6G2#X*7lF>>&@l z9Yb`scxbAL`S!V~wHYw2Jp^Vq-s|h@5f*LQBEL5>ZCYqnjo)2=vow5)rXi2I9dBAj z%X7vG{_=O?4xbGz8Cg7PdySOMHj5A_t{&#w~ zJxym(VNg|yOgj6;;q_6h=~%-{cSc%Np>>P1mYEdw`>oHe^yRx3A20Sy!{_l#zY7+3Q zy2MO4wojs<2Tkq5PovHOZGLpU;Rb5u3(8R#A_Nwgv-O^{J9`; zirxGm->)FZ4Nb~yuQs)45eUEZ?tR`nk9OUXnB&_}ps&wlY|8pjwjG#Z@E5)E zE*U4Eve08;5w;Y?l^(ktat)Mga^d|ITgD_DLRH3`;mfIf@V5+g}#=qB#EP(XH z1DX@!zSI3cqpfc7d{Z#p3Ml>~I<;zCi?LHnJD!_Kf|)Ge>*1ozwuPu!m&FsV3wBKw z&7x~Y))o9&jZCmUts={ruJ{F)8EjzNad{EtfWbFNp2VtqFpy3+C8;@)rGZ4J7^PU_ zg9C|{*VoAH(0V5vj)r`PMXkK?dJKhdPD)-jOTfvZ3Y-x+ph)!t$Rp846?q00V_Dzz>b9S9Gi2iUM}^}c@zVSLK`^ruVj`%U_k z46RkKLfj3AG}n}qqF;OuIwDNQ?4y6DE;HLXWj*=5ihmF%oMJ$*?NsmpVQ%#4Q+kgb z8R&T-gEze*^;TZK7lf&!U}G%pb_w^ZHDmMq)wS-u>K8cnZ>k7LXCWkaz`NQ^9kq5X z=(z+^);jfBeUrILj8YrR*U^%=|MLEY-Q4x#t`Q;IpZZ~MALoBkUASVg@ra2LAR3Ob=EHXV@ha(o@Elx7^99k+iX z-Rt*tqbe>Tbj#vL16Q%OWk2u4zBV$K44HWG99wLzgU2@_6^^h*FID>!9+r5%Ct86X z=1x)h<>{~%D1_`vp#<48^YHDZ4G;cI73igch^{5o2=-(6y`ZiJ_p>o;qD~f_GG!c6I*zW-Ir z;u&)1u8P1KKQp2q7>%x9(a;*Dkb9h^L=;{I$mG>+(+*e|3cU6K7{R28^^j8By##x8 z{6(3n^#bUEDkae6QPsCR)KnOY_DQ2*xv$-fL))sX&_a%ITV@g3W%Hq{c6X23Xplac z$@;0M*g@Ysv^|?qe`$QwCT%)~_xZF!T5{b1MJtdkVPl0Af ztd|Ak*cVxqX7~2m!&9oTSp|odtnO!B`F%*}1%0l&ktB%|SibgXJo9@5ae1XUb#=U= zL%f4#Id3lKq6U{s=f$D+J}|x_71`B$*>Odhne_eKUC%xpoHh%ayqLbKcQoJ4H>LKO znyBZ{w#F|YX(C})yoY;5;n&Pt<_Cn7pS!j;nM#=#`CN*qHq@SU%#xIoBbWH#m{go4 zT;$}Ryx4*k7|8UkMObZ@zI3FY7E36nCmwquAewZ%WIo*#zj@ zM(PqGU#L-Dl9_eFwRkpbhGiRw94p&WTd`!Lp9bEp>z~E%Z)g#{fh;Q_tIUr`8yJB9n~k{yr!U@Q$&lHJ92sZs;s8)o6M7V)@(j5p@8w=C zWgv&)yN!`rOE z?9rB6e8r+CNndl+Yg56auCZQbD^|;rf7TU*=iIIg*Vc@?p0~W$uD#a+?hztgZew@VcC{T> zK;P9mhj$;NlJR zCB35{FRFann+{Oo_=Q@%(HPeaqAYCGL1ZMv;1TtR(5Cr(cFHB0N!7ZqxSdb8Nfe|v z=$?TinOTV3H%|QZko8IZ#?s7s|MiM>ka>x-a+tO@xEK|cM^hv(6Oo+j$gG4{=Z2-j zDQ^ck>nvs1N(CKN*3Tp^&Q8{;*IIC~ji^XZzI6UbUfQ;AlcIy7U?q88)?wWI3>dSs z=KQfGu3URL`VN;wdS|T`xUE$_fcuVBDFz&z@OvmT#G z9>;~`Dpm3J>=5|=JgvezqZ*fwn-7T3JGqDH{B)_r>(+hTkKuVf6KebHCFIuZxG>k@ zGU?(Xa%?_iEM+4qym-S?vDbFhbn5Q_Y>om{1=jPm`krP~T&q5Vl zmD5QUYod_4HdJMVplB3cpNfPr{{e$H5w4RgcXXn)!{Cs`^3Ff-H=791B;0WljDMc$ zMGo}j@Mf{$3NrSI=a@6pjw=~hNc`?6p)HZxc>Q(Ob#-bd-TasDHOC)~%F z51w^LWqb7hea4+g*{?{HlC~xo zXP;XSpchLDzkS6vLy8T)EQ%lSP--0jh9bm*=R=o3G*7`d)B^ytp83GHI*@i$YZCt| zXJIA%N0Z>l2ZkM7h{n{-@|KR8O-a3eZ9eZk{-|P}ahd8@lHxrHSP8O6o#a|xh<=zh zOPT24UVR4^>L;vK4y4neq#IPx710zElq+?3f2XQ2?Q zGxissM<9M2@n^f6C2XbjYq7%-wMW!Pz74SCPlrW~Z~8j~~40c@Lf1$3z{ffm%RKI?mcY z<()w{R(a$i$wPT_I=4wGPq(9bwH}T9Yvs%He)4zXkc+|G_tC(LHRT?gvCwK%Rvn z^VQ}sj;v7HjiekgkEX7$!SjH-#W034kfiPd0cUr=XG)z9?kd3xJFC6z%2^^|z*jl| zDNOFU@)48Tq?=G=io2cu#iur@csh=M#U3CrLegQ;Nte4{0Zy-8^q}t*j~oe_DH(5QU#mz!mG&guI{>VQ^+Ao(FW(~F~vxlkq*TtRs8ew~Z%%AOtz z>9N0OOH*s^tf`3%gAeN8gAsuaoE^}|-#^9u2-1dIcS#?$KV8hw3Fg%_90TH8E39hH z*fM@32bhcS!>6=njZ7Z;TGR#WdG~(e9Un_ZSQ3<=MSTBNVP)f>MfPrn^{R(G4U6VF z-Yj8C;;Dbdl}u=+Na-*7o@Z)=oq?<)S)qTF{lJ6X${TO0Y~)Bj5I$XmiFLJPzf0s% zkyEYzn0fA5W?p9f%$xp65aT@<5}DZJvV>Mb|*_ zzDG-vYhBi3j?z^b$OZ@LfkBzN=CW6Qv$L4Ya-e{UD{X0;FJ61!U1n;!du@mMHo|PZ zLF>}bwP7cCfai5fIGuyUk37r|0hvChRoB0^-wn6{hZl%fQ6~qUC$2B3*!o=u8|miy zzntuBQr0exHQvQ&gKZpAG#H(G;=qLBDS>(}t)MZMEY&@rfF9p$=`gul56~lXF%v%B zO3@h7J)&proW5UK;mkV$y%svZ1wEBLu}aHvGY?qe(*8lq6>&@}#vCWVq9}r~R{p7F z*?ZFxZiNOTzvjN5W-Gf31~t`*1liE8(>41CXmVn((h;BA-}f0yeb>mxi5wV;a$^+M z!TGEJ0&7uR{$^PDL+b3!N5d6bRkEU1TML{0<4rmVXP<MlEo7XA1D+Lxwyqz0IxB;rIeyIMvqm%?J0$@q%?gi`}+Zl-c0MT1;59;q;xjNZ$(oM<>~suhG8x6=8xl4#2D~eck zqUr~NK&311poxx{PgZ#rh**Wih_H*6Kz4nFDL4dlHRdRpmZY-`Zsi$qJljZ0(JS<} z#a9(wI%KTC1BM?a=^x-_VaD)SuE8~+d==qkf5rF5@Ff#nC>J0%4;sHS7CJ@RRh~y~ z%4)LjW{PvlC*$`%u#r7^SG&%K_gwNWm*v|VxW=?9e}rBg>c+d_~z#U}Q6c{d&H0m5l%7Be8K>CBCZi zi81ksKJiIm^I;D3*$ ztt)3&X{IV8k2)ZgLnmWUj5f+7w+QCg!Cjk%e2>&AoztlXY$aSjz}_{3E+;#?TYMfq zY6JZ-O)o@$s$4>mM^H@lOx-1dAkT8J*IY?3V~+WL9>;8xKN`5CqxR45zYB*_Ki;XF z`qi|}&azuhg}W-qm*OL?^hNSUPtg=a>_h5}OUqCt*Q|Kw>~*e z7B$Y?iPfcAuZ$H>_uGoWh^S>~mh8$cJKu{I%51?;!eZ=&#Pu{ljh9YR11BfiExn z1m;#tNh~whDYIF&QAnmfboqj!0_xcNFH#9V`Mm8TG5-q$ueM=4BR%wGTb1xgfI|$P zicT`(htvyQNq|+dKu6-FzzHTp;@qLeo-kX9!&r|%wG*Hib7kSWS3V&9Q+}qeb;MEr z)z?fxe{1EPl9jaj)SA{SgFAQZpDtW^;7^?UH2LCR8pJts`?ynuDhq#kYC)KBY-UI6W*EJX)hVPm|?C_HJ68Y>!MqZ15Xq{%OPG| z8zUuEnuC{7KDa-=m6?5JRzj1a6cL&6l%vU*)m{La?%V_INXEQx)MIWWT|m#HiB;hO zznCvMoide$YUUO??dt^&o`|CF7f7xP)%2F{ zPzwV5DX$371beyV+Zrd%Z&=x`tr3GCW93t)-JiofD%Ff$xzd;PdZG<=*z>R8om*|K zra`fxn9mVIBPUFXj7z2GnjSBy!lKseJ{sbFPE$!0%sSgQJ}kGY%Q%X>p-_%t4$;UB za&(Z<8T?moxX$&Hv)x)|)oc~D;I4DqgMojEm#;}Dl>`VtVAFHju>2~egp9)6lW{QX zo23as72={I^=}0wa{)^WOrW$k*5I+0zbx0V%Ei+!Cn`=`a>e4WD%A(}2Px&HpTzg$ z3O#%lu;Y-?F|h}%a}LKOuQUo(iQOd;H^LGVJ}1F!=z4jtTkTNzEz%KkW8f4_r>}3N z4&~Z7Z4sQm=KFm(oGCEd+`aI?G9%7n_0G4T;$Nbt%;!RpCE?{AnpLGPq0w^9(fU2I zYGACR{oYK|{K%D$#5?~ra4YyQFAC;dCRk_x+s?}4_X5&{pgic|!FUt?SVRr|vXJw( zb*LN0!fh88%ZVIVQ2zJerRvPGBnxa7W~dnektpEnFJU1&QN-Ds6{syEclh>0aG5&&z~&I(K=m)?c`QW&Z}sXo7y7)zk8A}S`aZIX+1cu4wB4b|%UT)Ek_-9hy&jS&XFb`u&$@~j_-Ilf^2678)j#YE$#qn{NeA_{ z+eLPB;?aA|BvVshHnn(u!)nT_t-Jq+ zt3R0OQXyPOz*-M=IBA9`P(B9poy{I3MIOV5PIm`1s(I#PRStvRaumU;TtV{J-89CZAX`zp}gogNn^J$ z+6+We+8Cg`I9kdPp6-7k;cKwT2S0UY;0b*NIZ4I3_|)S?oN9I&2~gpyO@=ydr7juL zp_eYSO-bX)euu}3S0ZnBIZjsi*D&qoqaOu*S@@A~q;3P&+p)^1c#pBmhf)X>_k(8n z@zR&_Tk)V9M|Hq3A?--a%ei${)IT0_c6f^E{!Q9JJlhaHGUs?|0@B=A!z?# zqY#Lp^dG*$;+A@Fu*P?KA-65)xeYWyh<07%D$KuK*aE<_P!05>*YV?X-D2Z2O7K4C^=r2ll4>3-4^V~tIaY_ZOQ2w)5X>wG&JU<820r$e7YLKSFKvyo z>Z4fp=fZK zOMnjAoQq_^kpJu0Dx*&m#d~Nw*IHgBsPS?5uwdlcE~@V+m9J%4x#C_EwfP#!`O^fx zD4<+B{%OGY9EW^u6}J4SyC8((tZ~SH>q;C4HJ-LCd1J&KnJDj#?I6sQL{G5a@hOXR z0nQCsc1V_z-YL&b#4E5d-H%s!58DLjL?NJ6uwVI8;{vxsGRxZ6x6U5JJ zWp=%#*jpKe|F7fvwmqrrz3?k~E5UOX1Zzat^|6+jSav)2$9d6TYlL|(qwueV3U`9X z2QyjgUbufuV{dBn9$n0pY8pSg<=sOtE0nvWa*#+{^9y1Be$<+Sq5H90R^Yftw@cAY_4~(T2ju3X94X-KOf(wu&ovuLg600Y}dJF~kVJLQ1YMZ^Cb*>ZKW$kJBn@Aq2 z{Rd7o3Fk!*Uh3waVbvEKc=s36L~JbGmjVUEkhKu@O!z`;^f4f|z3p)>Fq_?H0>!Q3 zts>bhot#TWIs~gsr{`1BRXA=!$s;sE=*6dw(f@OcCZ-CH>ciM|kk&KGbb0gB!!;cn zKfsnJQ6!@rCo~eUb&6 zM7HIm$?bVy4{knOp2!I*fnY3nX$%$k1iHYqAw4*uZUYCQ#UB|`W@AaS^c8Xx07HKw zr#jCuWAr%zwTYe?`S^hFDHxlZBkiGL2@<}BP1GhvI;T&o4C;D+IQT_mhUPhyUhJ{j ze2t)G<|Y(S`rCL^fpjf~^3&xhlj(M681`v*DMYe&P#K8^-0Als^G7_9d{FAk%` zYTo>!cq5OwFy2_ayG8As#dJjfq&TuZiia!b0^;4<}yDKNFQEvBT#Tos-tHH)%|6Di3*lovr6Zj&qQ~OqnDdoNGiDbdAWBp>A)idw*4P=gE5H`Sg z>5VB8?lPvlhFdAfoGot?%!mpk#JKucItHogP2_}dAj^ZIN zQ+j}GIv6btb2I~nFyi<2dw&0L4s4vg-|xN7>pt)EKJIcOJjVsmSLSpSjN}z+G^Mh@eLJLjUoRQ=fhJU>@KNMnZdSsa!pB zdJU6&NkY6|QUFU2j^-;R`pHfeIpfGwQ=I=+)bVEBi#OaOcZ2Pj3EprgC#Tr@BwDA- zyT{pT*#Dc+$Bz$@jH8AXvLM0n`A-_j>wlgxH70!jT^x~(hh3KCB3#<}uxoB!d%8sVjxHpAU*Mbo3#oY{x%9(B7fS-&rj-7kA<(*m)TDGs|2+>izYiGs55NQH#ooWt6#{-d_nr10P9Sy^Fj1 zgZ(JHQ&Be}_PJ~hV>AU11&w&B(4B${=qTE#H)GAs_UF_6mUvFRD3ce^pxxZZgvnAE z9xf)-9-x||!fn5c$vGq)q?xR=%O!`$bqS>Uh;=4lhu$jr6mM>tDJBu>gL07vT=2Lm zhvk&4u>fek8R<{mQ)b^&&0DBbgD!D?B^E_V*&#NPL}K`d8hTXOjAn61b4zl#K|sBc z+l6E=TX8vzMOg>dAPOpvb=qL6l$;7Obl0X^w@g(6=|~==*oiior<~nPHSqaFZii0Q z76<%O({BreLQ1d>;DWVzOd}ay24x^+8^8Y{D;^lWh;tXmLs*mR0&RF1M(@%vs5h`gbmZB;pgKRA?p;b{t< z>X=D6-jVZDaEV%a-w;e(CKpEyJH;3Sk$FBj3oru7P0tdzQs$9;Uo`<(h#3KTy>*bd-m08gt&5dirmK;^FOP`C9S+|JMa0?BS%)~LH z9Zn7Es?43z23Q1bQIaqw+v`mOm@`4c8azFM%TYJvC=4=~7KKoA_^9AZq~mNZF8VqD zM&>|!o?5xB+)z4<;~nrDf+Po&JYMvpLULo;)|yKkSb?3cvSP$;cE7DYtx%);Sg>wK zn7p*sGIPV)3I1{%1vNDzn*U>%Usg@SbP1A$I8}u_bQn!sN*KcHt3L}3caNKdiKe8# zfEEqcqgV&gp<^eXasJ>6qgPKhJC7spZ5Wy-wo1o(=zazQ?hSqI2Vb>))T33F*C+cr z40i-{%kxZ@ZVSmvxoKkvY2$OpRD;@x?^2|jrNLD1qryIV6U_jJMA6)$X^-A*Tv2g{ zG8F8AI!=5vy5x52XOVgTwx{z$;9!;qwN&Er%Ay*JGmkOuFDTErn1v}6a-99ty5@li zVld{JTv*s+@T~~G&&ZA z>kDSO@7^Z0@r1YdKlCDvNBk4<)#I&0qmO(j1S91&6%(pmtoFFEX!U7|cZl36GvUda zy|)JmHt+sHWFV)tj(COCVpl3CLt(b}uFTvp}8 z&s8%_1YI9(kB5-HCZdnKeZ(t24l2mGw1^f<;bsSJnBi3B3^(*mt($64D!3Zdm=2y> z<<5<%;9=CUX5F_BdV)EAfka=~LDP9Kanh3VO2L{J7RhWJvQG@#+952O7eTL8F1pfA z&dt_baP{P@_uAfrdfnpgL>rXqaQglSz^En%O|bnL1KAo@cp@Jq}jRl502TIIQ$VAfX3z zpqZ$Gz2G%(&$Bz}xz#Q}MvaHuC(5SxMA;<;FjX`y}eo#~^k#=@cNUbQ*1l6vOH>HteqN zW;jVzxHkr8GdL*Jt$R`ELBdBX*;@*Yu>Q}KX;b6MxR{c1X@RAaOM=!!X_7A&<9t@^1B0cR5E~MQ zowhyGX2%(O1$UxZ-O~)*T*$3@TAtXk<-#9bGFE&0;)PQ$-@dvTE&Xq9l&1*MMUVM9 z^47>*2-Li(+y=sFGzLW;w|QTUtj3FWK=w5qqw}Hzkq!w8cN`P#dBnN#Z8Ly-F@we! z-uRQDLO!T(Hg1oUT_3|uD{c<&)y*k6q+`)Y9@_E1iefb{K7rvkwT4;6Ty(=z)_q@AdkNVi1M}WoZ#iUoQCGJeK zL08a`!{*M#dt!C>XR)=WVHfN75ekQf#7`OzWgdzu%|ogP`tC59pR4Aizw{$onH>}B ze}iG<4gmtQ-5gF3!+J7h z3L5&I$>JG{#)Q4ycZ~8=n9cU;@HT54`(m(a`O*l1C2N1DZr6)VH&nu`2o}(a#x7ny z6sBzqTUItG%dL#?`me;hGIg3hdlDt9NhxEs92DSQ;ZkhyXd$1~Xkpfe35`9*uDh0} zpUY)ng)DKGFHi!&=L+#f->Qt3?hClhDZY_j;?0VUm>QUa4SYROUJ#t$>!++_r!(kK zZ15J|ef7CPjggVq|QShE-n5bV!Xox+(G}cQ#jw#t3+cx z8E;p7UJKkw_A_qvrHJ6)12M^;BbgId5!)vXR*@nB99Tom29QpU57m?RZbWDnHXxNuXX z!)QH~zMy_0V$^g>YBtxbiHw3#Vt9*z{ghB>x(*uJMYgi`MzQEAV8l#xidF2pOSH01 zLQ6kv)^)e`CiNU};OTF&68sJO>zNyX?7*Sy$K`FkiRNzdUNA$v>uf4x;U4kma?Hq2 z;{$C>c0q^t&cOBh^`(3$Q>wmdm_wYj% zz_x{cX0$8s$yj?>$rTFVEo$N)=DpWAz5bK;OPkq$a8?=RBoU2&lTs3>yJ)TV_r2sz z)>@vk_Q1AnYkXpfK}yMjUYEGGafu(Zc%k3WJIBE(-;b2S0a-S+Jq$Kd+9eN~em{Bi zgc5jE5qmUvsw|&0G~p~|h~wKrSf@b;7<1G__ZYXmQ&emEo-}eZG{vf-@@E(GQX|eV zyVzi49L~o9QTg52f^d*PI=DdLAubL!3}hdD&s;Ou_YZv&8jGr`#XC$0#r~V!l)AG< zL6FFce+1jz_a~Pi5x5Ep4Bez*Y6#}N3deYpZ1#Po2)$*sV%wPTIc}SrxtJtOZ+B z(>E+5qA}SEFza{x1N4}f$DtCIK4uvRT^8xIlTqQb@QM3_(gj(K6InXeL8w}8Qx1fd zVOMDz$`LR;N)7mQo2HPiGG?J7UK|*2ddzW{61P_)iXC9S1l7f3J|Irt;3RB`@Jw>` z8Pzf?R3*(k??O;wDJ^k#N%{dRPTc>#uH55|M8k^8o`n^l>KML9fDktNnT9cdB<#{U zvrshuv3=4#JsoBteeYHPhd!P?xngj2#hwpWAkZqIhw~fO27LK?P%7NkuHyb4?o%A~-95oGOKISQ zS(+0@RS(|%az9zUqv*VDm@(o>>YE~J@s~nLNW;^B&+9kefwSk_iFBia(u$}^yaEzu zf0t8=ni9N+1x9Um$z_x zEr)sKMD66EWxC_{{ev35Z3Fk-BM$6zE3bS6`{@)JV5(_7-!oIw>lNg(r5cY*Z87Mt{wbDhvglZW{{ znj5`_6C-tu3olpzYgbcDB$O!%80Jl-fwE1_!!KoDcm1IMSKJ^MYm)oYo2H=d!f$n`f5 zFJDQvDPDwKhMn}e-G^YzlR#4UDbJ#T*E|pEsm}af-*M|LMjL&x8ZViod$c#=edE>ehKl;aXrwIMdR<@_BSdQK~X2G zsp|)idEs{o2X99lPfJ#MQL&7y5p!LcgkDMwt$ApWfqKtu3V?+rj5*RB3KsHN|zS!4`V#;LOFB3&S{mr8erQSD|?_!?-$%pQ@+656-R<{WWgIPYCohg1H+qSEnRjdSyPG6IFWX0|RaJ#u-yM zZd`;71YVlCdsnoQ$IO7ASoP-nP3*?zGd{8Mzwt-ieDkwZJ?f7HGy9@gb-T!mBWyV_ z4{BxM`d!ybNApscssK97*L4AGMOb0h$V5c3F(@e*2Y(+>>AE)E>fOS-g#XY|fBnFB z-;q_t$8K%i!9n6}N<_qD^xv~9dp)N}!+qz!JrX7CJzEfpnQU8z;4G0KPRGAWyyy{5 z&b*zwu50nC@siFWp^alZ`r|q&8dsTlJWfot#whCHH<3OMOxgl@x1=Ab#5?7>zAE(z zMkOi-zRH_;p=q7Stqh&RoV#yqZ>$9be^_9fxyp-2zpBMF9B%1KHI_{1$w!aM9{A`22MZ!X@uVl*yVGT$VFNhJ8+T14C+48lE(S z?dhy|lsGia38Nons14WQhwDVg6jl+Dv?;Cu=Nsfm z-KHESlOyPsHxiCfumZHgGQRDqHg@)sH%P ziv3~z*i_6Rn~iK=YoYza@V*Ijo+>0;THfa=cEB~7RmF4$2IW;%!Z-*A(*mbqiDu9c zvGl2O)v{XrMKjM_lnGoMr;l9xJ!?vup-b5A+H~HiJmayxN}w70L8hPS$T=jUh`+nj zQ#IND_VPZ;NQ|sFK^QniV`A6BaUuI9irXdK(hP-FK%&`@XfdRN`wn5bkDJ|%$l3Sd zUJaH#z8kxL5~GGG8)>gUI!!+3xF(GOQ6{V zMUY(L!tFN<4ll7Cng&r*Fkr63?;l8x!MM{^?@#C~2zFGLBQWu1mzh*@Pu3)fMh?6g z6rVxzR$J90N3Uz2%8>Ko%Zp6D^y4>`EDBaHr$<~5=(3%0^4cW_jH)yn3!h&5CJdpU zrXn~iYH3hUtbAE7LSGZD=5@s%V@+6J_Lc(a58@fj+-8HptP}38jah=dnM&?>eyS^q zMT(Fa`;1K86kfE5kl8C>Gv}vE9%B%ic~3(jz0L^3?%b1e2Fx*!8C3%_#@o*6v=SsU z>SXr-GK|AGl^R?)G^|dilt}R~B@ydp1t$f(zRnB!0l%;9xLxeBZ0?1xOj52y;0+dC zi61slon>4}oI7zYBtr7?0?HWX?1}J7&djeAGoKsagECLromDVHm7Yhb<&*Dcm-yxi z8O|T1+8UR#d{eQ?;gkIllBb3ly2uDsA0Ui!0wd7^NQX@zBqsqOIW055zU1dNMg=5) zv8Z-Y)jNyf#HP}p>b^mM!(ev`Pj#ymF>b5{cIS#DLK;-5qGa<7wxwXJ^6Cyc&tPFp zqs*#{vUqzK)AVQGgL*`d9W)TX#Cg@=+x1e@Si@wi0@%lf1?T~R^@(T|Vz27hJW&x_HTeBsfFlmOzAuyY#`6)6YO` zs-Nlhssh`i+TEd#l3R0>6VHm?w;F`$o0~lJK6Ose|NW_~C);C}ygAIa+hj{tl$G(J zhGE>L_<08JhnJ$STs=a9Lz}<+%SCYJw=sI6$*Mtb1-sKq{q{17 zD&3ThveG@2tTH@y?G&Ta+riN@2T!~GaHrUf2w5K4OXl;{d+HtAZ4r&vW}XQrfX4v| z{u<Vxx7S za`Ss9Si`XL)w}p(Fz+01%38NZ&{k4yPuT{uu9U-;H_eg1_LmLA*4TW6bu6MtZ_TUX zMg#+aeHrxUMxL{cSqJK0&leq%nre3{@_i&&WwtTu1)n=tfgS!e0C`aTP1x?($VBA3 z_g#>xE-+)9K?83u-Q=xCmM1CHr$Z9?nwU9&Qrh0XJDU4!Hz#I@3G8?}Iv;I!G)J_E zxN%yG1r3wbAQ-ruxs!c@{-qgpfQ1vW0qIcs@DlztJZ#*vhBDcaBq4-Z z{}_ww{%^;ibfsUX)D59i(HGxr$Ts?N`fj+ zwLxX{k+78aWn?|b$Z>!tWkM7ml+m?M9{So|m*}h`?%z{iJ=G4Rfin-%<1u%#j{SYQ zbi9Lw<*mSmwcI(-udD*Q2D^&f36IhvY$1yZ-jg5%7wh^p5eRkUQ4l~U|LR-I06grN zCG-&VNxJo%RH>PAG|L&SVn&}vB$8E|`g*_X zi-!mD{VmJsD6X9~AOl&CO%_yab@zrSR*SmM^W`nnu?$Ok$O& zI$grfm1<;OJicczbL5%-jYG~UEkS+V*An0=SZcqL^j{&K-G>JN3ZI)P`z()5j82`} zE#&I6jbya!a+ZyxOBW`J^Y1ZL6auUUP-Oz~u#ViB1mjLAqAMSQ_iA0M`-#r#tSz%4 ziQy5(u{nrF60AkR1^Mb_ya$epcNQ7C zI37UK(2+@5A%K@-y>(p%9-<@_T38aEooRYS<{2ic7vmr zn-O8&GV)6_S|!D@(QG;U3->8Mgh#DhT&CJ}@3@;f=xU{nmwQ;5LlHY;&kjG@qSxMV z^nCefQjLK^coztk^yaP676j3`A?BZ$(E7>O8A6J;%HkUfl=(=Q@8hH2i!Z891f^A$ zC`mz8&E=QTm)XWcfokqm+w^sF#q)I+hvI1By&U@qKJ7VUYwLpNj=nG_yBJfAN$W43 z_Z*UDmTL+9yTs|F;#V>qlHKbZmY_CA6{gr9cmqDI%?(m(26j5>JbbuKo&Z0KcD)~v z8-sH7G<(W!-NAmow~@9yKkcaE0gG!9r%whzE*Zh0%B1T5fq>Ke;`u~HNz#JGqFa5T z@bbDD-7w_=;)}KI6O5vpj_0F36LnTJO`0SGRyf*rd*Zlrev@Lq z_d3KJb#Ubzk~y#5MhE(CyC{oYsvE_2Y@V?*>Ez0gM3f6Oy34!z(=>@VM{ai!Wm3aa zUvlR>g?Ooh4@2Q`i)kK8HJp(W3g8Zy#N8Lzv6s}lWWW&ibiS62=zjXq-Mc|RQZ zh?*>^SxWAkS>AT=xZdvsbM-}}9VjIKeaJT-t}nN15Xvv=7rs-r1C&iBKW_~l;!w&L z=?Y5_o72i6dl+Z(8uiqyUe5rF&NVXXY2UyTv*?(C`JA9wz4FA2*bRw(gtLjg1}ON6*ckwyMo+fqSG)CyzmcMpfm4) z@&`7iDJCZnM|%o&d#s0zh2Tm3mCjc_R27&L_icX^+hS!MFeoJ6`YZ36z6o4gp#Pqs zkA;bt+gy$ryXcoYTz>2L^hf6Eq8c)j${8lU{Ne`aA!sW~5d*fSqNK_R20yaM?H)tU zc~n=;FwMEuHxgb=`_LS4;xiH~=Vzt=nyB3K+YS_qJ*(mgJ{dqVJU%piTLYmpk0f&b zx@a};3s)$Nosy2`hTlA=qAFXr(5+$+uNc1@`ume@^*Rxa|J!=Rh6p@>bmT1IUjDe5 zuoW4qA592RbfB3=XVcXN_V?wz2HG@9u?`x{9`S#u<|8bUBb9tL-_5G&-ccgrtZ05O zwHz((EfXD>26{L)`}_2qc1XsoiamEh$>8Fiv%$=TNP|4Dvhwp}qxDE>_D$a;L0TgJ zI6ZYOZcVECWA?FQw9@9CjCzFJ{ zvQ@dW2RNVy@D#9diF+Sr>akg>N5-~-6Ro8ZHb(eJ5R(56)ukEXQpb{<6gt}#Eb#yGOhUuGy`TxEa|^!}n+ilxZ7jsw@ni$JVf(63 zC#}^o^^f_v&}6kc>g#0sJUk2B^P)`~BoBIE;yb@yks$4-)MwK~1?pB4Rr`Tdq1=RA@yPzQ%mjHourP(-v7l;uMQx5NLfp1wm_ZLe?d>935oGZN+(F&IR&Cy*0 z<&DO?vqvYLc?puRIVGYik+{rF|b|iizpn!sSCXx>Lhgd;icM zg8W<8q{^L zYivFL3W0;d^TOs5eaI6Cv&S?z&UV?Yf3@R9z%|}5;|vv%Z%je(^ONN(uv&u&YhGOb zSocJqsQCKt%@s=1mNeex2W@Dj@Dmqw+TXDJ1CdZ~xHSi=^67r%f22)2B0mhJ#|x4Q z2|E(rITM9wCq}^!RAJon9br#Vz!ODlWd59#SY>#L+Ts|v#fd0GLRun6k zp6(=V0LinwD^zIgbKqWUBs?VyiVkQ|6ar-9@qr(5npNsUFU2}*gn;*s0q$msM^?6b z3i)j(_!0@R7CS&6U$il%7~Xo|ch+)#1984=m?9jR{&;72+T-BxQn*FMm1646V~UUC zdyVBczpo`X=%*+luP+25EfhCdwg&G$L)(7ja`v>4I>tq&{Fbp|OoaHk{`z9aYBlci zWE4~%>eB#o;wrn%;gxe00W9qz#C67#@#r2K_Z$#Oui- z7Rqscw5R9j<}|T@|L!gPwyzIwH~zWR5u4Bcmi(?ax=Y5ps%u+MH_Pir76VVfli%o$kB!sUqP za^_8v0;ri+7q5bI-fy)R_LiQ1kKlpFS*Qp3X}Ly-4D{J#zKs&Ways=vWQV2#`uEnS z5-_N#8h;whv2nv{?;|))HCwRc4<{QI)I-a zJ>8Gnb$+pDP@N*u)Y5hC&5BkWCawn}c&{v5E}Fip#K~?il-AHdB%&@!dfvG)HnLH< zd&HC|kl@rlH*L-SrnFtZVul)hz@x^Qpv6*i(L6Y}wNxY_T(Q8V=3IbbZJj--lo7X^ zxwnxxPUop~Ic5+Oq*(S6s&k0E}8!nIUwDKRAU?(=1@D8l-Us5r&Fiw!r@g4CG zS?dy1mksp*?A{C02L@YRk36s0)Me8=R2)ll6p)$6k55FG$F^_LNb~2Za(`E?`Y=Dz z$52CZTxb?urt7?I;2M8VmlW>Mg4+@Qv7HEkqZ3cM>1-BXvSSeD`JT`w*m|guQF`h0 zpqnAbo9-ZOZZA#V5j$8`e7C`PaS{)}y>8!_EdoVl%_Puyk!(_N*@uAJ%@6XNC5jQ} zGEY=A5CQh9{^2#QrQ`lwI2alrmm(qnXZN@P6N(_rp1~G``jyTKX_2U+8hvSWj%! z82pbG(7jP2>agG)wR@axsOh*N6Qv;<^2-IqRZO{n&H@^;SxfcbyN9yDX%=n%@zzU5 zpP0Pp<+qYHTe+CrxS1Wrh~|qdR~BJ9oBV7QtU#FT3NA`oKd4U?v2wl@6K=$4nzBQO z?l=29&%iNK8Z-~XK84Qj3zRd_nnp;|^7PK+FiOaHwsbQ`@_w+Wmz}qG4RS{wg+G56 zl2Eq{h^cQWzGj11LSB@x78(G3v>ymoaaBlU_tQB=djFka|It2vwE_al#acrbv7wWu z4^9#{SNGTLD`5s5FR>>nV+z7v5fC!nxb5-&zd()c4_SYsosnSv$%Wz?CziUu9&9g| zdbNl)>o{$sk6+;0wKed&Z*-Y}&xiP{-0_0(loi(jRarM4UN>$?=E)m)VUqzRC+1is z5u_ny@4j|P5RFHZj8t#%{uLg%GK`k;1_21RX5l@3z-RcV@sUbD(195cC(dfqJZb}} zWyRm6Rwi7QyQ<4m!!oyNq)QN%k)AjAh9Hpm zr&VY68fX<9DG-*U?E8@jVy)>$qo^zX^~jnS+^r#5S&W3}1SaBY^Qzt~hh`4R;Hc!a z*evai$NqjS+t=}2o?Xc&;a#98Tp=1XyGN?}u)VY7z694$(|y+|!cOUv^4KRweF00~ zb6Fo=*Pe^me0imLr_2Dt35bWiVqLpcs+B`ZiJok?iA>|4m7v*ofPcV~%eW3f)PMW} zlwestjYXCa+Pslk(CSrP^gkGzn0`?Sd;zJBgtnkDB44hpCCo3-e7 zfpG;!w^J3MD*I}#&!!`cC1ZPL-dUrWYUdVW9y)ZM*l*Uue(iof{YH`tsj=eNZEV{& zS^yj1pbmjv+NCpL8xrojhym=(I4mUiokX#q%oz*$!uXklI_pk?*~&Fx5|Ek9fMs|T zI*DQ|6pliNzH!37UQ$-7_SV3NwltGoG4mP!bT0Ib}C7{~f`1 zXPR41F-i78PNXvDO}3pjrtw|%zF*UL+@JDG%|J})3M~K>SVqf!hL^hu`17z0OmD}l zcD`nA&7gnozXiOpdL4K4yAhvw^v>8Al;AsEG5%z!*t;g~L%EgaIrEa`NPqX0b;MtX z`mIUG0s-^v5Os+SM5PbYgUpZMqiP0`(jJ!7lS6-m;@NS55Efx7aP z*E!ay2U1UDy3;5g-|=#n?A(CWi_TB^-q4#5H1^Ljbj?6rhjYg0mL~HMC+C%@mj!;F zmBBH8|6@C~>M2OnnrAlr;HB|zRLUdrctD`^B#Mt@xUc-(4^f!{qDG+2zo^mm=o7Qa zuke*g7=gM2s+EpuF^B3v`L!aiOs;aUHtziKP`_?6#LCFd=Yq&cZfibMwW2c#GJI_i zcrY6x>HIg-boDt*yW*fY$WtFMiM^S&_=$hA|EuM|t-`!Pn}SW$NnbrApsC%OeM^L1 zgcJQTNoE4+lR;5m)h@p9gKs=dtJvRWoSdG2p*dl%T8%4OB`sQ=G*LvN#gXSh{cmzT zh4icko~iykmqea(A^du5F z;%Yakw!Q04|BA6TlsIj4M`_GYt~xV;c_0^Ciex`*p zZfzlKvX6u9j%x(`X?XtX5QRLy6iTvAgt%!P-Jk2b zYv}|`wc><#CCqJ?+m}kFisMK7A@B@tl4W^7*LCUR1MdPM-L(4;t>FO9e}KeKo7+aQ zs@pG$Pg~rY2fxVEY~i9TJV)GJ4lyNuZ2IB$<2iJo9rPuQgE!QU^@RMyej@GWGDW-* zLU%Pf<+BK(qV*#HC`WsIj>BPAMN(->#$8m3nLZcLU14`5-5yAP{N$e+SVvq-$-+c| zb}4{M`T%zpT;x0QIQYD|lAocl%6z(xZ}tFF)stf59iX*5+e_gV9m#mhgLBh2#s=K9bc0N=?fmC>b^y?p@IFAm&Kt>3Nqx` zlkX_2X!_1~l{cjk9IxScYV_IH6G9T=Qz*&t*K1c~gv5bP4iyIme;@v&@Kfp6_a1p7 z#h&sG*~XbzFV1I0UIS(Vz?8AwSgaCvviS}NkHIn)73DN*<;&@*A6hWjeCNRf+|tMjDsW5J?F2S;_v}=$q}2DwfHMxJTgQb=^(uS^)Y$+$cen> zm)(yR8p2xBB8=09)8-}~a%3Qb=%h#xJlXTMdlQVKq~&(*?y+_>{-s9Tld}EaI8*&A z2j2>QbUkCz%WR(SWYp3lGJ+^&xu+jsFWAZoHG zpQ6Y0gN=WOiY!W_pvu8{f6L&U_{+yAeI+qA{Kjb=hoIVp2v(anL@U>-D?HaDj#(7% zsVct^vC)#6yO4?v1O^T9qBLn8q!K>sN9-NjH6RQoNi=6T?Kom?n4orZHO%U)3)O`&L#mU>g?Q9r>A1QU&hWO9M`zxKM+hZm~3rGDozfs=;gueP;)tb z&IJ+kz=eO0ut-e<(-?5|vV>ZS;X{zxdnC@0U9u>GU8b}Y$@TZOdtF?`fjhTw>v^v! z^RP2XBKI0In{Qtj`VQ}iK)WNU5Gt?Xg|P%j>Q;|p*{7P)rrybg)Rp>9L&1u@nU*JaxOzPS8E|e5z@c^wd1OBmg&tZ(ZJACY$q?A0cn{?i@oUr+)x%B_NqiYo=WP(>>@@W++gMM>Uj zQ0Mzfr>Lm0KVN~{inxp%93e`oB6lS6W+sd_ne{EbI%SnJwDr~a&V;oI%;I~*Hn0{< zJ9MQSHHPB_OD3f4tE3=vodX_Ml(H}36IHGai#Q)geo?E5z-O-iWhD@=XrFeyA}s>v z5$|;V3Z)V_q>-pr8xdv$K1}*8o``i3|6-5LFO&}jEfL(UyOQn&;6D%{2~7;?+!VoH zt+&{)%y?yS!&N(;(;&jog0BEn4lkCf|81M7&t&!!>exmRB%pLpEj zE4xqk#SJ=yP`vF;=cY(qyF1V8sDW8f&6Mv#`uu0}ynrf&Y5O4wX!duzyHQQ8m{%-j z@?HZg?7lyv>3TqDl?v{hbdKjj;5%(jsYrqwVVXDh0?)+&rxop<1ZE}r7Q8~Dq{5gM zZA4Bh9g294w@5DwKS$8n#XTbpZKtQn|3(6r8<8oZI)j`OI!0!%eCK*Fr4WGs%H1NQP~L~$smu3&{Ax7X~=-7t+=_Y-OqE*qR?Nv z$6G~UPHT%IoWC2=Ayh|_aRLN*Ujo@l5Ub=9#|Jy#6)mW8SqP@4LgePpy}gIEj=?v=+9b{Xq5@>W`Wu7OuTGclO6O0yC{BHfvSW zteRIivHOFiNk>1PnebdB4GY(Hcm+!zSHP{;GmZ1W1<*K$k~jye_Ahtelwt6fZ0niQ z%|r0!;Oys_<}Sedp2Z#f7T(-u+CWJCTr368{ihm68G@8+W5Nn#&Abmz)LIXUWeW-& z?F*koUvd`Q1F=J9NgvY|9jCqcBUFueMbw_F^ZZT4M<5$uWh5=8)q49DWjR{mKV>yf zKmUdU9OIivA*(~32W|krK@O35FL8Wq-1f3V<-)?>uH#`yeC5iD{V>+vTYT3B)$8ob3#{SB(ruZe6)9g){f2?TywrII_^~DMPEr=D! z@oTvtEb`OhVQdS!U4F{KP_kqH1_0_zuQ zBCO{^lxj+>3Lok9_<4^>i4C;;{U#A$?xyu?sWEO>wRpn!>sdh;CjAzNF+dFo`?tXe zLUs(w-Lxe3kDeZgG}I0?xyPj@hl_R2_OwHCoMWkMq5vyr4iqBlr;UR3KElX1Z_J~+ ztF?oC%!q-+`|>7Azqf`DH=uc>8z-@glcuAO3BS@q zs8vm(yJ5(cT`eVa?iy2y@ljN$^!p%kf|jO=EE&*QPr z;QmvZ`3mmYRWGG_?J%x-A^K8AzQ*LG>mNO;e3o0&94m$sf|$Oy$}>uzY83SuZ~efo z&63cl)ARm;%oe~x?1A33*-VERI#RwVRXm5aU)#R5KRMN~Q+I-Fe2!OKU}!1_t7mOY zpH?2VM|;k%cW=Bdp?f=;{>V*xVVi5c5V2@zJeA~qA-=anNU-Lr^+1rP!Lq1N!i7Ag z3{mc*UC}{4#T8e2GB29GXDr%%@Bpd^DE#jMRcIKCtja7pGh_nEyEXif# zTNc}bpNXeVy*}K(9siJ;xTGT`eJ)BrNQLMiYm|R|SGjCp|DAPe=$kw2kdFzy{=u#x zrMw-$y>|4M3hA{s3OZO@9)S%^?0$gWj+Sk)X*}mG3E!~+(8PF&o&|A$-X1go;;`Nv zUqX4em4fq=()tudUS&v+rkYVqziwTIfkl34#g9>_7Z|WUZpSi1XL-8t(OhdYa0U)J z9eN#qCp^z~>9bJN@LV_NGVO*}zM17#f@f`RxEHdk@Be%rihQn{d^K4iJwQ{@j2 zzq#n5;1aUllWGzI(s`56(+uoOHHS<;bL-tyKFaGAqW;g|T;FpA%_3JU;|H6FH@)y_)Ns&U%1I-yhhvMHs+95tUW zvKaYIiRHxXB!7iD(CI&jH!UISirK6aWn_J zjE$nEtMevtv|XbvVY~Fjnl3|KS=r3M%oJc~=*)U6!3=D8bT0Qz-PZ@UEzSJY<0zB8 zeJgw-d6KWa=T7Qs-uU2-Kabo1j9M}g@9tiGeXR3;HxfunczgD_zQw495U`r~ZT0lp z64MRlMQlx*=5RGE@4`vja^t2_`)|h|lXIiRKjX457)0~AIutA^g-xh_HL25kJPSx)F zxnmoQojZ|3S)jN6Zgi>#IajNF^WzGHZ?teSF54djwJ#|G&Y5Ty| zY8>CU$y|oHc2BD)6L(`z2*OIgmLc@@=>A@is*`^-H`7) z=*D24p(d`rt$q~~ftr=n)CI?Ip>2jf2=zi)diLBEnQxM)i1nC+$+kgQMvRfkLI=#s zau?SoUBMDZt_W{A6l>K-&5Qbjd~5u_=$xhn&$?iqOXva&Ud*|Xxhk%b$3}&~WBuY^ zL_(wOG9OK)XFAO<`>XK3oaz9dImv%6FukyyhDmisTAYCYuT?NDc@)85m_+e(Z(zaL zi^sKq9DMwSv8;VTJ{4ySHm0v7%6xl8E0K5U^n|RpcX@lCBrR3FN+rhzHvX7>OB|5A zUB;uUVW`@0Jw=#EL|y>FlNbLexNfSGS1&GSmXz2>HsmEdHtlVvj7wF{=bCDUo{JiD z*g*LAOsge7hx{vo{MJ|RmW-9^@- z4}#sL0^bP3^bPr%oAH#&T(i>e+4Wrb|Hc?vZ(6SVnXcC2HzV1S=n{l# z+=Y=_EIkozp*C9|9(7uMsjpA3Np`QVPrWKk`{Kk?D}iWaa$W2M`Drk})s)8mFV4EY znSjI9TTTNX=o>Ir@W`}ZNb_K=;!#h2G99f}fXK|z!RbkgWslbameGd2+LPO_Qr&oR0lsEACFdQoHV zQgcGkyvivt-Gt89YSmy;N=f*`ZK*@HM!Q)E?_GK7jT$w2iZ_p+kM?lZ&_ zjJ5lG14e4|P#2oDqq$x(mHfGnq`hBGtJyL6`C6RwH`KBt`W)Eu!`_z1Fjv2FqyC&7 zXq_#(G;<(?LUDen1BMUU$+lvjoTR9QSL3dq*JcRH~m=| zsXbZrCsYXJuk}}z`?mOMOfl8`pEq#$w$z*>H^82MeX}PuX_NbT$87UK7qCxmF{GSM z;*e~VPV&wR@hW@nfPo}daDh?bm;ZT-%mZQc`OzWk&`|k~v~!i%Y zK0%T?-V9Wh{R8!;k&V*ikqiSlH9=x@U!19>TW|BX@fhK@FY3Ra&WF(Ng6O`qv^j1k zj9%|K<{V&-GR&s<&){MJ##oa$-77X_z8Zzu+<>hy$B<5Z162^NQ5E~H^tiT+FFw%_ zQh#n%P&;}L3c;qyj~@Yo9+~NZYsEG6znxz={2{L41=;Uy)&emA_pUPk3p!~B18P8H zLGx?|WsqT>lF@Q{C}gtH?}#*M+~W&CncBb;cN|#`3bmLzSe>ugnzVvxEPsI|@-Mu* z2o#8@BTZ193I9D90mgh5{SxmRBWDKA^z&EU^U?Hdx^0}PMW^hbJs*;;w>P8KK16YZ z7q0q3RVwIfZdF2)23nhDz1KpJ6qlc;b)c6&uXCC2=fgf3sK(soRphBaOpzUNE=e0` zfBCocQSy&I{zVUA-E+6Cl8ccLH>yc4C!zi&JCjQLzT?x@Kh)v9QA0a?}=Hi6MVg&qE9hx%a*7ZglQCcaL4oS=$4fP@e+qzMIqEcoJD z2;hG3%)$M4_26mIW(re{(r@4Wbpf0`H$b_PFPGdb?%M6ac zWAhoI0O)Da%fpYcgQapK)9q(;%wS?Gx*~IK$cn)oGVeqGBT&hJhQs5F8qCotLPi=j z7uabj;LQVAVy=*KqR_yNuBGVXVJ24?7_e_BoXNk%q{m;BY!D9cr+rfTEDQN-l>L&O zw|w2ZaBy#9DG#tB*STuh!^w0u)fEZ>3vC{BI8+z9qMZBo9x4U`ltXd&P9h7_AF?l@DG!l z9Hs~O&*~&9sPscd^HZ#6-l8NrbrDf_S@hc51f0nOp(Pj@;Q{B^LCT9#Gm+GivX$p9 zsy8wYYJeO-!@HhfR8L&T}<*XLl+!~5tB;i4Ij=F=m&>8LXS>^{Cd6z}BW zh-tR(48jeuvQii_Dk8^DDGmxUl9Jap(AFlBi4FQr8Q%|!7Ib&@a%LnOH?TB+cz=I$hizxBSzhd=a|qoEfM7N zhnjPPt^ou}I5$SG?54zyhF`-kqcA0rR^cut+4dE(l1%Oc4F?TJ<2T6TiKvzfRyUYx zoA4U^tHNid{n#{Epttj61P4tgjhK6fbjcoB*bDUzdWs-rQYR=$ieoWEqnP*C!dAswNC{e;y$>OAPV zVPxu2;#`Xeb9B4_Mjz>Ah+sB<-8x`w0!FaND$f~4lw@)SNj>V^~QZebE zs3Y>hdPu}<)bT(?I%6&c)(T$%9&fP~tf}(zdGWx$1_bSP69&bo5yQYJG}9Nds}Czq zX6GKWkQMcK;RGAOT{~?uy$$}5+fyJy@X}v`48;z_Lx2Z6p(>jC=<({>nvO&e{0l3? zRgqhID@j!q!BCf2*pjEaCW`4o5DYb1J#!g!HB#&XAu+%|-+=zW-o`7O(`Re&lOsM( z_2qzQ_BdXe&J&$EK`#5gZuA*~%1*ASn36f;heVh$;@)o1p@~EWnLndXD0W}QjhZTz zPhf&GuK8hd3o(Op`T_}XP$3$yT_!qdEZ?8lcmq2Vm4B*j)+EtfWUtKc9i)Py;vDhY zgs8nmzOD;IEzUFO{bU>1{^G8wH&hm01F9or`ydna!BMDhf>lMye+^Z{Q5N9j<sBDFwJwDqksFAZvw}|zP0{kma`@anWr9^r@Gy5|b?fvMz&Kx!RiJ)VK z84wZZdwRd%%+qUcX8QZv1*`}QloM%tT;q`Uppj`;OeHTk-FE*&SloboVT{tsJ>!w z?h-4YW((tCNAl{GB>ak70UdB%jT!{{IJmXbmlc?JaOeKM3cXpgf}f7XcyDv_g`-fM zNbBK@r77TH91;Z)cjKNn+>=k|CKJ91in7js&bWClv=s1EseEW^0##5s;u*t|;hpUokC6RUC9?;eydi!Gl2{*UuQ# zqeZ^r?;x3Q;5ynJQ0!vJ<&N&;fE)Kl&Cm4iU2-U)T~?rWsd(OTS4UY*8nw*f+qG0k zddO-hN|lqKRPgUqf~ZJl{|lLgQgqyH$Gq)tFB0UQqCe6SqK($O>X?kWrsUFe!~2T_ z)ME`!(2zZ_fpv7;a$HNjlX}NkPrN{%n$4t4yn;z-zT88yRx#-Bz&PXg(AUn8?-t=w z&baCPK@Ee6*aJxJwa;r3go*s*VaTk&Ft??NWXA2$Q$c>=r|)r~(IKjvPV0MY0^(^= zi6<;kE%(&jHfAg$lGKMZN?z)mI&2s&2v<&cW**YyuLD=8TNS7ca~IBetxHr3(nr^M z8hX?2AI#(i?{-I|nLhJ)f?Dw|j0Xp~IMokMZo{meaz`){Ci{Cb_?MAMYM7bnol!u; zigCedONK|4)&V<$;3j3fkv28 zhkleQPuOgRM$}9@&x6{G2w$JbRVAmpdvX$^m2Ta zI2MI$=ZNLMI#X6al;<0zniT*4hAFKQnD~895ZuEXEbAL69DoK*iOFc-CB~G6MirJ7 zMOsB$f_v1Uz?%XF8FTxfr*Ma`)mOi`FdpE6O2JI<&x!M^<&0g+7x~{djq+e?d4!F)>EKfk`dx!BH0)T37aq0iotv-&COj04oZxl zOy7WYS3ZptO(f*U*WkJodql!SN#Aa7q@w#Piv*!r6;={nn4i888PRdJ+A6{{84|b3 zCrT~ICi48X0&{1vC?Gu-NYx#_PGVFe@mugDGcD;HPnQe(%d^uUlbpkOjW5$!{Ce}N z0U6BZ!=X^GBg#b)O@gBOr(Bxylw2I-hm>8Zv4g^1rw63@6$jW$DV`gS8) zZGBT6tiXbisDk9qQS=9#YTGUG7srS%ToD{UX>@S(JJ7Jm&cm2ZORjmo8T{Igu_ z-W?B!5Mmu4^S=(&!cMe_L#ecG>aO}0ihEt?30Df;-e8JBp@RBxQAB6BMhKzEyrBNr zY|(SA)Qd_>@Azs{R87e0j-PX-g+e;?1;PdNc=HFg=wO&IteT5umbZ;gXD{1kssU@t zboPd@P;HMSD?b58V+934JUCFPO@ow2G=-A%Iwt6PhEgPDFW9KdJAYS1gzjY}Q_v;e z4zeTlu(7J^Xx>94z91mxGonaUlrE{ru%nwR!lkZO$1!*1vC0oCp~_o+^64zF+K|#G z1HhnGAppWL>bSN4rvAk|q~?|g11xlaTza}0*=mCBj9=au@ui=||Bc??P&~+Q4@Bj{ zg~X#rYN@(CB5f&TfSg{f;>S2C-wFlcmE!sL5O*q)KP~jqYOkC2BRf3&YFo%J?X7m0 zIloAf#Xu5Ht>*|=KCkhUh|$pRH|!s0H{7mS;7?mg`+A(>=S>FKVXe&Z53BJ1s8+sw zsKJ=)-#?YlPkRA#cJU7;I>|h%XSRej4GIr8TVOxz6IL8eH;a{W8z?w&`l(yvg!sjm zxaXpA7WnLx9EJwT<~NJ=RRXK+iM@dd$IgrkXYR3@*mu28V4Ed5mu;MZ4qGwkB*h^y z!V`!qkM^HD$439bX~g{sPMj7%O^)A5;W86wwbPx0T)?Kd5C{3DO9!|e>G;V)v z&O1?Hq2u*i9ws$>ifUeNk98*8V##uMj&HFj6O6*?h(6VE@iysU(HGt}m^Kcsjku(+PfqfKj;WT zWX4gM^p@WBL14R0e620SrS5GIR_yG({JERbukUL|?JuR6sPk-svkVg6`MqeUz`3TB z@ud^Q%j)x`8~Mx+OU&KpE%$2J3E1?Q#6HO?XA>x1FqP63b>Ifip_fOWh8JF$%KH&e z#f)7>k0H~>qN<`stm++J_5GxmD!4A%B`wuoR9SM4G0km2Fqa4kMcNNVTqcADINH{u ztI%ok`EBdI@_3Q!gB(hP((OM*_NY#$27vZw!{!TVrKdfgU5>qt#y9V$Xi&+Avn{s>aMej+oHvSqZW6JYr`>9R%H#cy2g^H10?tFXIJ5p-!LGwK}8R_{) z7L)C>CR1PR-2!w{bP>GVPCG0A)zg)_L0~-5d{VU7ajjA@v(r#aTVtw`$3bWduvMShxVoA>j)1NC|-dq3Ue48 zS$(D!Ek{Y@(NGbgKsrKbanJ~389mV*mE9r_V|25KkP1$j13+0M4wA{zz~EhI37vj(VHD6^J#f*?iO zR>RhDcZsvbDx{ceou+(#r{x3EKg=87yISQytsdiTjvM;tFiMGyi z9^iW(`aq6xD<*3(>ofOz#Nb17vO7yhJZ(4`YpfUF%J%}*fXs?1a)rfrMn&Dx3O^00 z6^<8VDzima3S9?<)}4%bCBw{ct5jdAQ2B9QQ>v_dQSJhP)$)$0Zvv_~$pXCJcR|52 z<2eJ2Va3r^X3$<7%h!)5xY;H{R_<{1EBVi9_n8sAG%rG*B5|nst}LD8eq$v{1doKZ zkU<>tj(ASOcx}4*D%1`6h9^vDE^pS9FBF06u!0iK@|qwPF>MbaH6mB5k2@hacJ)7 z!*~s=o49Fg5dl zkOSK-g}dLV938|d1b2qEl|201*lJKWo2k3q zk&4w3jj3{Gz2~5DX|&h##yh2OvbfK%#gAR(-}j&1TeC4ctbUi++GWtL=!$f3Y4!TAxYuYRcm3> z>=kz7*0eWI-stx9DeL<*XAI`KJ|qsPZIWrH%jxX)FkJMpWssod%jzM7o^{1#z^a~& z+Mm4m6$zq!y&c4ClmX-)Z$=BFPtp7MGfNGyv2?%palje|xfto%f^3H}?h69A0cFUM(?1-0#JcU{D|?!UI9BUH9YZeLPPKOBiKb3WTr zJfQm2x+J16#U|{J{`gwZd;g8IdlZRL5dG7$?6g)zQDpYohB6ekcBTUPg;HPFd4mFe zr3ug9c!7iviXaT(Zrl9>FEn}%;BL7C8|ZDpvFzL{hnui+@phK2O2Jkyw+D`&Obg%M z6GcntGPv+u`sDIR?bsfSRm*d>1<^&U@-Rk1+(et=$3xEFEPrQ@Z;@bA#mZar%cgHz zSWlaJ_5f-UTp{wf@ZKI&kyj3CzOaS0RqK>-n|zWL^8Rl z+`mHJ(cFj4_qJv%G?MAIJ*dK*Ij$OWDb7gYc854V#@>%px^lnll!_q3q$l9J5u2aGVfo6pyiXiu0P7RJ9CgpoQ8IY;8h$1 z19$WQIZFNS5qIUq`4BAekY$;)i?jX)nWT`b$Tvw_dsKaLlx(Ebb_8GHP17#rLZ|DewV&$bi7~S+GNua`x!E2_{ut4Ap+tQ;T z!?~}%#BPE{HELNX&cVFctJK{y0CI$)(*(OJctV9=HYQX2D4ABjGanow2xEh39s8!k zX@I=tofe3bI4Q@i$|*01!p4Vt;ScG08}n+A_*rGxNEpW^&X%cAvil(MgL2*x2X;)? zdI0Kt>t&b(4{IVDto##scKh+q?vDzdZWupu9szS!3wmm&VDDJ$ihg9DypWU+E8_V0 z4W#Y+i3yF&VZxc9DBA1pGK!~KB!HiH&*8U0@EnmGO1yE@qIt5j^w8un|^@$_$9nODq?Rh-W96y zn5^n7dsI6#0G$*?#u!_;uT*A?6+tcx*PUDs1rn9#pD%a&MTnoD2#ph`=zX*hS~T7{ zYtJ@$t9e`Xv9+`>^oA@T1|M(mkuwO`TQ6<(OMF@mf{?Z@L$zr@w z9k%iOE7i0M$bxIrPxhS5iw*%#g{7LK?KIu%nTic|h55V`klh zhQ)+ink&j@q5A5s@x)ejjC}T{F}Km%v6{O)4Dm}0GxX@aUw5Vu)iR|ZgB6;Qp8w7l zcPwU%g4G`zb9n}$B`NSnbDSFjQBCY6yt$6LswsPTY8^r7jaT*6SSfPm_`_v;kGF^o zxBNw6ql*#9cB1&`=3NrS6yl6OOa6SqFqPT=L&e9JL0XGGIyQ72&o-Tol>N+KOE1*K zcyB=*_5JftWRzk19rpxhq}>8UFKG#MiaBhG+mBFyB{ZdT&qZc|6VA`Y~s4-li$-nOV&ayc6*@vn;32*CZ0Oc3gn+xC#qu zoe5&*92{oQNfdp_Q2h*%(WxW1-e7cxOae(y_qMX0pnfO-CPNo>f%0rE*s$w|pJ3Xz zD0(^gzbU=#MM{NIa?SKv>Np=nOTHsS^%Oq7jR^V>$@?#pZ7m9DrjwTcb`??kh}N$C zTi3;@q2E3D3#xJU+4tftnrGeV$C5`ulYgj^2yn}v8L>a8CB3?gc%d$>^=2iil)fun432DbZmp=>z)IBY+)hR2N;aQZ(%>9~`yL^>Z;AI; zy7twI3+I4swO4<0gzmi8*t@o+Diyj5{ER%8C;SJ9`40%umNY7x+L9E(F8T-=|HE~v zE2o35iq~Gk3=Z)^jA)G*B$t(onsqiS>>UfcHH0T&BseD|DTsDd&H@(vANhDd34>Go zayJ;LJ@OyEq3={fw6gI|hRV1tI4|A4hRoO}o(Ybn_v$ zCKs9kk%k)TiB}g}l%fE7Q`tW;z=3s>1PC{JWfA348*tnB0DmB-_KB@c42?&r+{Ewm zYX)gTM3w=)Lq*Nc}rA%2au!uJeCHeuuLx8_2d~FF1<}I})(-JYz zC3+scqmg>>gN^z2?}533X4Xdo)v}lS>o^Uy>VIbkSRTjC?$1wE@;2n(tz;Wn&}B%h z(LlsaY27=Pt@e*PuvH+Zx>kjhY-VCU#%i5JpVa zCaOIEjs1;c2blK~cy?2#_|ql_8OMkb)fBgYT;&ch;^fo6U7(p`!|qi2@F-~K`)QD0 z0+)G^R)@~B8MoNz(R(fy;NnMSd@O&q&Rt2h61RW6#B%mhB5&&7#W~>*X%nI1R=}a} z_FI;Ld<0oPkugu<2@yazPb)Abyh}>F0wQP(_j(EP&Ef}x!zV`3AKro6V}+syQqG;% z+XT1U(146z``q)@r_q?)mbOd|p6dTc51iRE-#E4=H;)|Zg31@ij10%SvGG@S)V*{~FRXpBxHBi<0_ub+ zdWSyzfu#8*dv=Pb?H*8LeJI^3e;3NJ%6($nea$a(^|Eoc;saqnl(5uJwL?uwBp99D zh0};I@GX!m+5%a17OlM{kX4hJmDFVpPOf{uD-x3?yI#$glfBB}Z60GtYg3wizX|%i z+a45lB(VkCfC!L`L|T#ml?*c&FmnvXQf4vi%FqpW`PR=B34ym9$Rx{sh`-ZiTpU!g z&Iw5zyuRTruiAuFFueaqw?;lgFwnGZUGnq55(l+6d9Xl;0}N5Br&fT##e&{>K5G3O z_auExiS}!-7B-oJQIr&Y+LFeyo49Mh6Q7aEA(Lg5Wr0VzpHr3Am)*kh>D=^=%O~b1 z=>{n+^yX2Y2Drj>fn$`GLv&dsJ1PC=Q%s8aOtNXGGk7=x^(HcST7_OWzdS{R8pD0+ z;9KKGv{OcO5XyWcvoBT};zcwIl{vY!B6mGa(XCwn#2f=-+vNCv{Mz|>h1Plx&(3XQ z#Lv_4!N$26wC9uyH(RU-TFA$|3aBFr7QoFqsI$D%Z`$X zlxZl;A~Q=?40^4=;|b1k-@foBa%5I4c=Uh7=vC{T)nA>b|G`$$#w6e)VHtKZ>lvO{ zS*u9x{i3ZjrFd0@ALUuMJtIlblj~P$IE1ec{?vD^Xz!*%*=c0NR+N)!b+TUnQI*UQ z-`NB9`i2jOU2135;icg~|E1ncX*g0`CvMnug#v{|0;n@#p4jiEKLWXT^ec&2fiA5> z4IlxYbzp+#R?1ciYTKY8C{Ke7A#OxN>kF12t7rn`A!c@w8i4T9Vy>>1=45Kz$i*5d zj!Q>;taq2^#kmc@G0nWnhktMkxS&{QXot#O^y19Y8l~;35DL{^AH636;8_yU7Dom5 ztQ>hKo|{m{YOsDYaoy}M~2Did*yK^10ygC_R`Vzd^O2L+q%e(i*1tE@WjuJZk* zi~PRl>i?6XTUmbRnkBq>3)<_1?MeWU50}W|U~7svhep2kYLp+0{vguZlCp{)H_9VnQhPX^*pT%z{Wn%sjRdDiFtFejy=syOgZPQZSY@)gKwVS zS0_E4ZfB!_%-lucMYRsRNjnk7D%TTWSwj|DT^yw5MU`$SAwCS!>jC<-06 z77_+D@R5A$EFs3M14KSPcRRR$rTHi%(MK36mpzqP`1B<1+@lT*RPMi$CDoklem+pr zSn(5{?LvFM2II=opJNN7nu^eX(e)b>{t7l{peEVXja*%xGE&-OqH9A<8@veTPX0uK zYnB2QDSY|}k``jxEA7yLy&V!xU|In-B_~YSOHClTPvUz9h1<2S3|S0F{XPtgqbXsm z+?*OlwMF~AwbaO^F3)k^lWEsRkc>BVmaMgJQkf((q zFPZ_?%2jq*IM(ZEAnk_RSvbA)FXj^lKAh>6;v_NcS%+_n+Xe%t!DRx=gmQ1?W=IHU zSn1oL2r_*;9!RQk+EGhRdfwOcAGGRpWnzWw4Cm@9A>7JB3;a_FVlVy;RMA|!>K|74 zXt@Pradk4<#CmR4UF9k9mGAB%RmV0+x`T}^q}@^iY~)>(S?v42O)waD=>En)Xn^VH z5sPGg3kZt6XkHSC)Z96 z`y%pMeg)0=#^toqrT$4p%tS_vsskoHqN(&E`~bE86#9D8>9rrdG?XQy8A9PwsWPPb6IwgKZl43xOH6IW(oWd=ljDrj01Wn zf7ZE<;)0yBnU3M!{F@>v4_)2)a^6%xe#}q0>IAbODixRC9RH6s^)8$A1(IXWXt+x# zH<90VHQm_&y#yV~Zg21g{9A5ETUbLp%!34FXgFe^=uC?Q!gvWH(fKv5jp>oZdVz6cMuTE#-GSwWrUVJ%=v*nfZGXuP11#Eld#!(+YfW zbBcecIkLvThbyvN&}5+-eeu)BqRRms!Bw*(a{7o8i7?Tv{3EH$_pL|OV!ic3Hk>+{ zjycA9$IrzP`iDs@Aru{=X@mI{uPkIu(y*f&?prL5aJrx<36Y`cKDsj>RAn4t2~S*{ zo`m{Ii+>~#j!INRu}d1T5`M!h`z?3f*WEH+HSf#WYVuW`o<+@}WafuB=S_&Om5|Z7 z9!fMcj_gE*s;s!meuXdOoI4=(v5T9hpHrIZ!F}D&da(f9ov1Cf{D@89svS) z0|hXq5;ydSCci$e!F}a@D8kqlQ5vh)p{#FX;r}WPWuE@M`j$>Uh?lo!-18OhyTZ+S zW$5Q_4D`D}Jxt9UJ&T2|Dyr$Fls-n4Fj@VaTJypQCekbA%ARIz(MoJ-Er_<(kK0LQa$mv315i!=hF__49k&*T3Z{&^(yl|3jQ8a{#H zp$c$y#^v7L)=b-bf8aDRaQj^+N`h-t#*#z%h$ z;ck^T9mNXbUinG9DpjBik%0gHC<{+SP;&aBy065E=R?yb&VE2xsfi~|o$J-TY142- zY>5tuR<##=%LUd3DV?MuCdV3vf7l#kLenG))%IW94u=Kg>7B%jisQ8ar*qeS|Mi{= z(}!^@7Wf$+p`0-FL$U+|HXjL_o@1DO#$A{tr zq?e3$znRn+=d~gBy+pM&z8SJQK=2vgDcu(u;04l$M!~?(OO&Vm)D0X8gxVPPUwHD& zzi2WILXQf?o}9-2++O+w#LN=#F|r8wSlcj?5k6-5U-Wzm6plnj!pA-(k5#`9I6OD6 z`}=!1{X6!F&TozG;D}RPcp<3+CW7=IIk@oBUY`=Xp{sligTf$OK;*n?%HcAJ9`_sKDql= z|K*5JWrvuG>;+YKWN|ucpw+8!_dY`?f62m7U5NoV3LANV46%7y zCO8&pItYM_B9E^TNpUF3*cMY3|N7Ao33Kl38I7{Yn65G5>g3ZWL(fEaiXCE7Hb*cl zVX#X>c-cGUh@RbyF=nF z%<0y#e0W;6_Js1XEFxCk9tD^B_s@P6M&_`eOeE$gY+-$|l%G@FE8lINEu{T{sWVj- zb9f{$4XVrwp!_Yq{q+1MYV^@1YdB{r^WrUJg-yJ{xuyiBl!~39ZKios^QGQf0>)u9 z(0SFSpvChc?Vr}tS+X8=Q3?;H4w3j*mBp?%wgwQVr_ z#nbUm+eP@q(>&q+vUBpcali}_&6W4$eC3uMQ!1yB0-@a1y1%zw?a@L?sMsW>ZP^jR zsyQ-8e?6C{8cx0{fZ&S*{{j7O?VeYJfi4o@&k&PV>NJdQ9}Bgx*^*|D?aGus%wTyl zgH0y_;@b$sWm;1J>D_hshh+4@2=03?S46a0?PfD$86)p+SNQ`jpmykm56`u`{#4)8 zcoZrB&$2ruYArnS?wa}*G+d;#N|#BtI>;Lxp&P zqKu}^ij3Pf1N9f5Iu5+suf9MJ903&Vng29Oy!81Ud^=AeJ*}VC9|VS5$Jh|&57KH! zmA%o_jO!D`n}1||v3ur`8mV}uG8t)l7}`Cl-JRhGj;BhPH>~*>KH|3CH%uy_^%W_K z2p>CcVwgKNny2RFIV+Lq4`UKri1m^-_!Mx zu;AJCZHk7`H)xd8r0zdCi_h4KD)voW(gs}qd1+D}iLthvkfo!X3l`yTOLRi{F&8kvF3opJ(CL*G)I}8yyQ0<<`87YTPWMv`6QdGUZB>B!P*=RJyr z@YrxQS4QNAp+3S^zoHY$EP$SG?SX>2c4tHS?Ks${Y z$lX@@neaRDTHTffOkrmI+&R{oR5!1yU7#-(Dt@R88);}&m|>GT;{!8|Bhvg@ezAo$ zF_M@|BSGEl`#$%iPfcZ}kJ%po1iBo%%_ZMN<@z9_|0@Uaop`zsqt)=5KAoeiIt6;t zvZ2;=6NUzGaW+>}=zz}jeQKJEXRJ-EoV=gH6YTgM){{}K6a>{L=tGhVX&Gt2lPKF8 zBspFN?+HZ{L!%lc0h@nT(N-mrOj`MAROO)8V8rcvZeXx@2hN{Y8gn=EU2DzXq@>S~ z%^va3h|@3rmjExd&goq$&UGe85v3=I(911xkiON3m|G zyE9%AuKRZz)pd@4i@uAze0nXAc1QIr-?`6l6|-d55Y^|fcalfjFd5h%3)DqCYZdha1%62Jv zZPH6Eopfm2Le~Acjs&XQ;i{OR#QAJ zMI^d}d|G4A8HWKuzWdupnq%3Zhy_i6+8Fro=SEVFVp6a*eY^@@XUD;X+QN$h1g_m`GHMT$+y>S+qr+KdRee9W0 zT*cLHXYSNne=JvUc7OdNu}%D68rS^V(k-J4MTJ)k_=imRJ_9@!dRSHsf}B{&iYUD| zt$@)Evw!8dRC0{uS_89WtZxb04=k66Mgg7|wVk1BFm;iDXTnEM2RQlxy&D6?v|O)| zcy_q+T{!(@^cWTnR3XGv4l?Rv(`||0RK)wzj|+g}!AthL-C2?`Le{Wypim?9%bWCp z1kW#B2RB_kY+P4XNMS3(g z45|Oe)mKMF^@iQ5sDP9T(j8I)0@5%ek|H4j0wNs)QX(BgOQ&>>5`uJhcaL;4^w0w{ zGy~k@@4Mf+Yu$g&f|>Wknsd(kK6^j=+0RKE(2APwhKUkZI|C#9@vQJkumTe$yEVOh z0ZWJ==0fUZbc$W#EIaDY(4GR_;-4YUYlee|^%>}qCh?uVwG~f|g~kY(5;^x6j1_pt zGSdPy6)g^g_X>0XO#tjwGmr`?_fjnuoGH=`ik9sgQWv`L4aT&mku?nNDA2_bX?%KB z9Oi>p_659y_pS^|I~Fb80m3^ZkG0Wx^(K?HGAJf9^Y>+B#N4Mx`B#}y8^QV|V(-IvVutvNJj-%O_bII~cS|tQCWqR$INd=xdaOrZ>EZkMavscGkl2Dx|tOd&b8j60-w*J-CR@((?6pMDViS#`HqX{>{ zFGS!;;|Ifj@&2W_0hDbD>yi~aE=N*!L5?gJ4?W4?9BWumg2!rFfm7Ghr2e@Vm&r0* zx=n{18TPc!s-i8#b4<(|idJ~Ng+hm*6|dmcmb>nY{eg~hvHr}caWc&wXUd6I$;3vf z*~9y*P2Y|=rpu_MQo5NuDjef88GSe`fOZ2g`yXI1OAo$CIE7DZU&Ip!FBikCPyXkY z69nPg6R3OW6K3r&o8MlKQDkS6noKWU71=hDzv6-DGrzjvggy)oksQ2pf_m*UZ?S#2 zLzzPXL?7H_UK1jHNRe=HoM#oX2f1}uMO;Ari-B~dkb5|M{q1Gl@qOLK7*G+^TmFR6 zN$#tzZjBa)N$dwoH{YC>w9mHEyq@M5N}EmzT^Y&a+U&X1xDxWaS!v~^*1vjC>L*x) zT07d-{+lzgZGrTD5Gj^)p#ua;wLva9VVCjNJ1Z8;mZo{j3%Ob`6gY_no$7uhN>A<| zzHJZ<#Eg`2Tec*X3uGYV1hshCt+BD67Q>%RGQSsoxQ6}qFA5NCzuNFYO>?)BaN`*I<<6hJZ~Pw&yx))B7e@S&MM<3U}|cs)Us zo{=PIUz8WxG~qytG&&Pw8w5=6S5l~cZAYj-`RY6}mlh&64e;PuKOe0m&U7g3wJRt* zd_=pSrg3xm@UQ8eguW$Br9(VIxcJ(SQ;vazV2zUX%zLFrD|B%;uc>#k4m(V!N3+%q z->p5oswa|jdp@Hgn;>Vh@cGUlAdxi)Z{=?*VER0v-kGixh~)QJh%5SLpn+G$^{<@d zX>75K8yx%iMw+^Tw~k~7TnaBR4mc$K23q=ImXXMRFTwkJXvJX%V^VDCjjxw85+K}t zD%bg;28x{LR{ozAVk)h=OdtHXaR9nPQBo8Hg^2KusQ#yE$rzV0lBH({&@UN!aW7gYwGdmBXE4=^&BG(amVY@#{;AFN_KobE??G1 z8Qu#8NZYaNQvB6XdKmIi>U;i8^jP>H`MUIUdk*T^noyQefYCxvfHZHm+oJAy0bZ$F zL%iAW&oLAcz!yK?{hZY?Bu^5AVL?wqL&6|L`i08!tL9!efa#IIQGJ@cXcEsVeMj~v z8c1L#?9n^s%E%7TQoO0>{@JI?3gt!*W#c0wiVBU6xCUinvpFBs{;DHkzZO8lxF_$? zE5n31T^W9s``Rvmn6TA-gIeL;Ba9^QEc)wU&kre;va|@yqfeMhVEu5LVD@Eb2x9)TX590Zq7;=S-2h^ z?xU!#K_{1lyAN13p1;}LcTlf+D6=46ruL`}$LvM;-8ctY|5{F8wF=CPsJ!2q{#V}& zQJQ@n@hiQ?fC8#ba=g_@YnNRN;ebl(&ztVca#jZ@*M+^sD@)3bO4D6BKEe;1t|ts! zu`ZnFA?KoL7^^gf6ZX~4>+oSt1GU_-P5ISMmbNV`<|TU9*yzXWg%;_}sTdkKngBz4%NZ^pDOo)^XX5&jT+*VQln_ena|k@5n_&E3xIQdC8~cUyhxgt7RY8fUY{|at zS9^t-d_P7SV~*iJj?bP3_6~`#r|X3ZB1hj^S)tj1~ zWgc3Bz4B$__u#f&AYZ9mA(^+GeoQ_U(`@cAR{w8LFD?fQd);xOeAmjACI>DeSZe#p zHjx=@rO6zB)e%=W;lDFQKSk!^k9F%cd<6xFRt6E)o8(uRyFpXg@PX5iGvQ6k(uQA| z>X9`)^w2uFSiF3X``U?!Y?i%+zWd3_>CCXwgA%-+JR2dc4%<8Qx&zdM{IE>5%axf3-j@VM4N_4W)Jiq#%5XTt2i<4+{C7J^}xqHRfrgy2!Q08|991v&f z^-BbYXVIdCi7!35bK$DbqaLwqn2@fk#yn;Ed~PG?57`6W?k;@MR@Ba}buA#6veKlUWGniYu_^09 z=|4I7^!^E8TY1OJ(ZSen?{(4pjOS12rp5Ya~ek!cu`dpoE#I-1Au- zGbLoHa_d+z!AxIwi?-v;$@v+uyk#md*lxur4b%mfnFiDKFG*Wn@>T30-^!d$7C*yn z05pw{jE_1*xKhgMi8exyx@_!@usj*v+$)^J!amb_o>lX~zjUJ#dKS z2EG$Y;7J%N+}LsA@f;>;T4-yvsNX>~=L{FfLBEgnxB*qw{xd!SFB4j;CGt~O`tM6G zI7H?-Qqb16xp~bwd={S<%Z*wS#chOh>heN$h_0Z4=;NKUCj-St9FvAVAu;RD8%33~ z_0fMTCNghr61QLU9$khn;v>I&IXh6}-$;Qmuz`ZrVU}teIP-N-Sp&)|6mXm8{MGP0 zh#6@rjuC&HWT#AH zT`pWgLf)yxT!lS}Hr~f%bI?%}9CFi@a^}$0)qDPAJG&wXJQox8O3P?aW9;Tc9M3kvXa6n0Puv;Az z9P(LzD;s%FL&fQn9}8N5x>O?bYo@BuC;>gE=ZD*0ql4G|LA_6r6tY~=a%|(wQ8Kch zJ^a1?PAbhoOK1yS0TyQQkeB*)!sU^O4Ill z9mFVQ>h(Qp*VYD_zya&`+z6ehwyED4KKr{<%Sehp-KnD7Ei!_HejJm9_hxl7QjP^zlaywet8ED+ZO-x|8$irM5TT}s_7^Vn zx_iMXeuTlz8%*d#^gG#kPC{7Nk2siLf0_XSce2j<|Avf72uiVRbr=Gx?JqGR$m zC?0p7A#)U%pp^82efU{@x{|N!d+3o$)~B34)SIi?kaSg1FY&f76U>()>iU#}kfzrS z;a-!K3mof>IZQ68Cq|m3ImtI+qOGIInU-+uFVe%U zV;{W^z*ZejD!IY@WSB|v#wyi0`1lm-jePtM8*U`>^XfS^$Utl{^BC>?FaJm=INAFV zmA+3o@K5C;JDLeadR>~HtFc@w3r~4AAKU5!(d&#n5WNCz@9Za%g&pj8&qLA+wuxzh zq9mrK`hSWvF2GqaZ{+du6VYL#n0du1`#*{OcVgjhWjELdq=Otb#l#To1>vSm^74Ob zhT>m=G=S#8 zOCR&R1AFXs;?!}$zL7D8l+lSqtERNdPUVuBrKfSCY}R+S>8L+`yK|uv^t8A=X1o3TKhLKBtZ zdg;N=XLaSlUMp{iih!j(S8K+!&NTQ+73Fk~D>gmt`sHgDDRYghxI=Q6Px_GLSH{57 z1>e_HV>`cy{7-d1K!gs%q{NJ>LW$jT4(S8#b9Cu(8NEIHHccphoV~7DOJwu$z}g1f zMPVWBmqd=C$d^RH@RMEnIHAkyaw)YG`vuwS47N%VWRPQW+}0waAydI39&R3y$IF7n z&@eTgCY`qc1kfz}poV?cg&fn-_J6AREW_+cM;360_sDyBX_?W*{*HJe>T1}y2T^@J z6Qn@V+k;@h zP$>Et6Q7{7SLRMBTjA2`iOYxJ<0b7EF=LU&>0fB3aPdqB3Uq_GCIX z5JN)eaB^pxxe0Rf@YQOA$fRNCTNrGoboVn=a~en_mgsCsr|nanZ}uN;9pz~iGJc{J zvVvB(Aev}=3^pH3!8s#L;|CY+w#f&ocW_Vi-ja4;j&B|#HQkiCIU!o#4@)V>12NtS z-Roo}b89o*m#Z)2gwqYSUZC$>kZ4>K$#sz@ zmIgvTC01&2i!m#?ay`j(IAcS@9=t`K^!uC>?()Um+9-OdvfQ?33c5cEBV@m(1WX>^ zKjM9g#BUVh^6Lhe)Ohm3PcKfTS0z7RVElh!ktJ`CHE4E0uVa~0hSU4JKE$v=EK+YB zJO~%Qb+B%`A23I(KL8suX})c9%3RCb0w~}^*tc%KK3H`_fxf2CkT%~hft~AD*=wR% zT+<#Qhq_PoRXG@vGhjcJpA2sZbR<7onO&w*nsARJG%H_D;aWILfAa3fliY_|a+vEkb@8u;WaYML z`Kl)Wb3Gtz1!k-`tphTq3T?mfO&)t@XvONwol3H!qbUl5*9~}>*^;0<;kRtua(H&+ z;d+W5K~Kd5*WDjchZ?PEoEjGWf2hq>BR@40O6>tBzQ?E^ zcv1AR(-C20eb{HlT43LkGQMh1AurZ2wn?M^ZNQq-d4`(Xf-5y>k7fvodZjv89YD-M zZqREbo{{4dlmC&e@0o0O`rt%tg90j$T!YT(#eamU%fBLaABOchK?v`zj!cg`^>(H6 z$NR}3Fk|}43Dv01s=&zKJbZV3FWA=^YFYvVOkZ=T9w&MF?{TdL+;ITSu&j2Ta5`>Q z84;nLk4QZJ4-|QkI9L$XJM#y8a?o%3hgMqdLQ`XSUX`alJ|&Opev{edQLDM>acEXS zlDF-&+PPH0eBrChy9jqPqet5d|M1ccFK`iqE~N)VLh-ePrSOnXuXV2vq^>{a&{^zYQ z)>Br{znM0{v;;U$IiN|VGZ|Q91`fAC{r_#E-#2&e{=9Mysqbz$hc}f+MIb>^orLPQ zpRDuFU<)G8zt9~wt$>?|EeUvRQ;X}mO)hXaBny6+4*xviV`MTC=38Q+H1iOi0Roya zopxUNmrA)1a|=?0^kmKE)=MrDIC~%d><1r!SYGvlE)3rLKnRhM>@kYb2-B&`Xg+7? zrc&qnEPui>2H}bHBn?KY!{ThEjJ$422$It-fwBgoUZ#J`LLmg!bq9Zi=TW<7?~0VN ztV_d#RoP__UlK`zUc~xxOOY$rIvK;g4?Mv}XMT z>Nhd$w)xtma0fXt?xe^z-cJqh7^Hm7hFej+EbKvr3lU9M4Lgqy|JK6zl5e^&54%PL-CV?YoGsvkHOlp_E z*bMOo`8e}UiwDldmlQRbb4y%9DEQ)wVM&L^;w}JpfS92KNE!@IE>J`3Q}xzf7f>16 zm+l%Cj*eu{g-v%XC~gSWa)Fh-O4xNjyV@6M<~uemJ(+#+4}F>)Woc3QqoiZMl85p; zhbY#2zr4Sf0|ev2YWB0fUodf;2HIpo*?m`47RP|GTp1c75&kdvDK9W z5kCR6@#tWa=tkrHwY(XYd*tCudLH)tYRe19-@a%8GTaux7)G0eVozRFLA_a!iD=R3)A8H1+vwa<8PW3?$R^w$kmJM*TYzqVY%Z3?_%-hEJY?=k%yEz^*}g4*~6 z6j8I>5=F{seEg``^@E5f`1!~qvhg!@{CbrMs{8=zTi%L?J+CDxRV^YEPfC&v{@xL- zfwSh*X4&R&NJ$%LDcVcy)`vqu@5C$F^Yc6OD&#*$bepd8>^bwv755QUia%I@{Seq zpw8{EkMW_hiG}|ZM!PY!bxvEhqP$YlnR@@g1&URTazyWd$zIprq#)~2=^EY32Dia4 z>pUBvceYboKV04T7P>jeI}>=)o^4MK>$#~tGnC%Td>?s`v>Q`XVZQ(GM@-4D$URGJ zx_{Vk=j9*@6I8qR(M{hcs)^!x;y~O*(N|vWE5~(=fH43e?&_MpN(`R+_WC+#kP(!% z^*Dp!AccE1u*@T;gW&!5uezg3f7Wr!Pc}rEaaZ{t#yQheUl=O#P|@eEpw1yj(D9M2~ACTDCD^l{718wpv zR#1LZ_*L2WJ^nWp;||t2Yc6!``a#Oea86`~6aY4cHOWfn2@IdPK(}Mp z!8=S(h*X$pZ4*H}CoU~&{rDu+{2Z1qSM`tN|0Fv|?ret_-9yc=@XMI3u{Seb#!cP{ zulK#Zzg~czdia***dd{vtj_ z+=#ITwvyZSDV1=WPc_ zp~~&{2cHa;4HBP#%@#4ZeBB>QzmOt15W<}9I#N76w)f3?c?VJsy9)*y2riCbplTDn zf96IoQU<1@@ljG3sn>~p-1%7Dj(<`vhU_Pmx%kL!YJObm$$OfYMC{j#T+LMBXqx68 zfXSoJ(asw54MXQu>CC&g7-mhZ{5Wk5jMMr!YSKhOi!qik?W(34r|I)abYkfRjF{vc zkW_r$9q%gOzha{SqGVh$1`Cn?(L#3tC+l#hxqx2=uH}7NdjJSq)zPq(oYpuj@}p9_ zZGTXiP9`}J*YCQ}bLV+d+#Ven%(NGc=3+Ua2HN+2+q@CZBmeL}`1Nrj7z+{I{(Ms>^rMLPM&px>OCq@WmeF>Bv|+*!6Lh;L zUH=nYDRdUt?`2`_lWBi|&#g{l1k4J<7O4#xk#m(lHdyY9ynd6cN6J{M53iiCqO|H49`g$ZJ3+fFVQ-N#F%XBhgRHwz^ z>1+(o>4()yPU&QLe37T=*p)l6g>a=jxRtk7e)GL)VoYsZ=_zK{21`Ic2`{Bl=U%SB z0)JFWnordQpjCl!?(e{@B`K~`Z1&qoXJq}KQde}7V`|Fp8#$|S_^wNU&g?vVSJ*RI zXmip7LV7(nsqBhCkh~A~Orp}zI8KYl&E7#yr$`M^V|K9OnGBOxY6a$(7tVb+EFMf0 zRm1S69|JI){AaE&le>)SLm>;-d^A{rbZ_sBl%b+nBJ1uC(4}O@xtw50t0EoAC{ViURIWxrTLVv=jVIo%hQ?fW%%I5jb?wK)iq`t`T(dh2 z+DZK|^glqKn>-L@tQ8YfBSB{n($5iap?Gn}F%iJiYd-qbdZl*_Q#$;^?e#WUX`>=J z{Oj6eC4)T0D!tSKykGtlGuU`C)f1_Rp_bKs|gxkvz?WagR zt37M`;cqGh34rfQBw+CZ54z?2Bao$7J@E$L!s}oH--lSR@8n+<*o9#3)e7tNXRd3v z#mgNthRrzq=&{@6XVt&qwe-Bs2U$#0Fprl&dl?DHX6V>#b_HvsC=zH|oq+gF=DN8y zw_-<~se~{;V9sc?Jm;@q8Z_yH2|QDQAs~tmb<-sQwcLustIi{zI`|_quHv2yj*(p{ z5eESxIJUCegmve0f9UKty5+z&{>7jV5T)aWF7~$q)~wbmk#G4NWOZbgeYyR&F(p2% z2EJx?R1Usr{{1^9lY<;6R^Aefg!A13naIk9p0zjM=pw_WSM}Ars`9x-8_gCX;iLq* ze%}_PQ{MbGPHM1f@7R@1%&SAm#(2J+Qq{CD_>r=7Tpw|Mcri%vI0`jS7~2!nKGD)Bgv?pXQS_$DLzC^2u}R7~WP8GlM>7+rATpF+nXDV8~bnb6-nX0OxOo z_sWPjr^XpD)r&>QV!+H8v*Qrn=xSbH!tHPLp&+Z2#!IwVqGaV~=@%VWS|cEk!h4=3 zrfz?D^EIG#SGqfOfuoz1njt7W3L6;(|Kv=}krRE|BYS0rUaDv{&+CKup5A%Z zAu;Ku=2*rNQ%OaNZT<6jp2x8PVZ!)h^~VfBW)EIh87F=86P6@^n~mt3@Yk-qh~qTN z{7NM4MiTaoElF`IJ^CXwaHn)I6jRz?z`&HAh98`ZN_pl&PGIovM6$q@m{}KE*sp9N zyr-v9FI2}iUYq(2Xj1VTh`0+mYvmDN28xa0YciJ~$InlM+V$6DpQPInKTjmB+c zxYq>1k?h!Lvuif%BAREg+!MMlSNk&5Es-{TUIHupzZE{fMPf$uUo8ag4MCoNqwyS6 zW(xPLzces&tsp^VoG67!VESdd>snKL*p}q4_MJu#+0A4UyJK#4UtdNceCWR3mVI6Z z3{Dkqo!e|ors~p^z%@D~{X8{h7Q~e+CHLK9iUtsl*EtU#)4;ynGQ?_y2%h#k{8YaH zKvdSGs$VDIN5=Q{Bb<_op~rYzaxAkv^b+eg2cCy0KHq&b1~KhONretY@#oGdffLD( zR(0>6y>Iqnn~admGco=m=H+Kb_vZ4mtSEPkkW+r+-wXR3lIhIBr<0lYFN9{l+J{{+ zk(FMDz&Qgu*Jx0`>fj&{MGTR<-HM|X!am(&xg86U`lmA~8!6QA++&mNj=Aa&S_qp5 z9`^GcrgePm{-%)G#cVG``^z?uO8GL?C<9x%L_eqqQyTn*=+up}dj zf;kNDQgp-1i69I)_N5~pJ6~;2{=8@}TBr*hfY^nji9N4KCnN8#*k?<)#*AE=CCCg7 z&TP!<>b{sdZ1rH^Hu&UDu1PhToEu0CeWpobZuWe4H*nnCh2N*NYs7D4K@_p!a{}V7 zAZG(f=2>T%9VH{x@)yyR+k)vt?w@znfZC(zq6Sd)@uhbdTgZhDLao1eG`Ds9OygVl z$#p#Nn1O*S{rBmAkD2$t|9#AW0Txoum)=Ttohz4|d;<+{r@|y&IC=y)rSzVwr!uu! zzj8BQb^2oJ6F7&RCWWM*ZU8kQBI<+KJE@*+2tHLdQ0bLg|Ln%HT?KuXx(R*WMxEeD z3Rx+ywHP032FdgA;r{CMUDvW1Siebgbc$h$!U-r*FJPL$^{9NC4jZ#CnZoqWB31;v z*Q$~Bwr3#0p6?@NsB)&z_SpxCU(uciJd)u*2bFvb>fK*WqSW>b?!&U3z^b@Eo43l+ zEAB*Qj4YP zov0SKTXXD!Eyt09$ek~|zw)-nEb2;-gTy;lvhtnbIR>t1mPl};yQLEjjvp;OyK--R@vt@&JPtV>H&0qauD{_B>3a%HjfH7pgy;?V$2d4YDNfrX&gUjHtGDMz{-Eh5sYLmXOvN(356}OIOse zfNIr;-NaT1A)P`YaIT5x+r7O*ikpSk=Q?(gvm$_&a(vU}pp{~Ms?K*{X%-oLEoy7$ z?wP5p2v$wgQ|88G$xU<@{QIzz-KDCGfeAkD-y33qz;g$yNFCZ?~Z@YFEsbc(8+jj8ifU zVtLEwDA2iGL2|Lss&Q$^d7$@KIf0w=KxEwJ{!;IW&Om@+_L#jXmuHIFbIHvEQ$=Ln z_84Pt<-~T@ibod&opa@<=_8faA_~z^%H9xhW!YWa8**N7?GvdodGP^KM~6Pkac6w@ zZ^`j`b~KxL{x$8ElkxeJY@sNn){Zb4V@*@V6*sr{cO zHfhmCq4gGz`uHyRYI4Pgx60D^?>DJ zYPU6Cav{~};QH^=DW$n35=ZMH5O_VM;ac}j{RRY~dq;y@@j=Rkm;Hs9>4i!k`Zo7l z=Jep_xF6g#a%VpUyzF;&>E4`BoZ%X(i7Na6RYt?3|J)4R;s1U1Uis{2a!c%9r?^^n z<|Z}SnLhp)O!5ct8miLkMqk!@8N3C$MpR4=I39CdM)gQUHbp7<>iwzGjs04} zLQ791k@EGNHn0VjmM1YCaP9Wa4(8niEFj>6B)zqM(Szye+I3D*cKEC9UcF3?!Lv1< z`i-lj#06@7RZwa^sVUOTY8)8yX93tuZT0E_Kmiycbop;c6Sr!T`YLbkuq-eQEXRIR z)jkbniE2kTmDV#LKVQlVe{W?pn-7_>TxoQwWO@gNQ6PaRgBFw#sm74T0fOw1(N4GJ zfW=JI@q_lk1u}C9L)zfh&$l=(7SPiBGV_RWhpWe%r7+ZANSj>=A{;A?@O}C!c&m}B zJyZIw>UO2C)Vxm+;^%RcedA6t2&R6|?Fg{n-Vg`rojlmP`~B_2)rB*ytc@M)2w~u$ zED>w}2c#NM`*$rsDd5Z_&hg95fW{H_+UHsSHpK_pzcc2?mn-rCqdtafQLcJ&9y42| zLf7XmI7=lS!)~-!F5LmdxRY4rQi5!QG==8F8&2J(UT=E`O(&v@%A;mh^kUAYwUx7` za)3S~>zJg%(Sp2BxUjd27eQ5mwSGivZpKk7_iEPEsT1PElb9f!Mdh9gut@kIR-JvZ zpyBsjFqDVmeZ2lYx9)uKvY~b$eB&u(V4?Py_$>)Lnc1dw}C!a1Kd>Ves%tc_K;L@g% zasQG*d@FG(`zC@SQ}t3Q;HVZ-IXGjk9+d`8YqFp+_kbEw$pl+x8I%#V#J>Tz>1#vv z=LbKw={A=I|Awzk5(paFZFnHzD9sJQ#^3AP!4esw~;<{X&=8hq=Vm%T#%6uWU^~r)<#RGmb&^ zsh?O~eFEh+n-Sm9Tba8C3iQSBJ}^V+k@DuzCBrL*u$oQ`Qd4&U@yGqKnwx=vPpStc zEouQb?Ibu?3QdaDWG5CHaGhx%bd{8#&(z-}3~TKF+`4HF7xI`G%~UxMOki5S(R46o znDJ3*ZoB=RAKqW#6BC?p~JW88nr-QCy+~IKvwVw+g zG}=u!g>0?h_O7ERbICclw!;X4+6fFA)+?wP(N4GY1rmfZ=t^L z8AbDJ0xCXBzhX+`sZ61!YL+4AyZeA^olIFcHZigcp`epCB2?Vc+X!Q1V&4BmoyYsK zi_Q%(Y>A*ZE+JSlo%~9nCp7<{(vEvi4*#NrV6{<|U@x@nnQ~3g+#_%Mea1nC-h6Lg zUC%?m3gIQbIXbxAnauI*ZuRUD{k5{2xY?&N^jU?D zf*yFxXy)RXn=g|~2x6@>qW0t@^;=9&fR4|vMdZo!TJ4qcX^%R?F{eK4U9f*-=^9u| zq3hYc_*Mwy{`v3fT|$@dH^ERKzcnLAApVnXrX;!>f_1SFKv*=64%AX`GUNm#m_H0?S#B*bC=oPjyV50(7TT#L z2V?IcnXfr9I0HAilbdeQmv@A5_v))}Iw!kHbz5JT8s^_cdptd@d(GG7PSb3J+eWTo zHnTZS%}_f`L`|{`)#3~Ol|d*;V3EdRJ+C7s`k7|l5~bPm_oAR-(blRFQpML}CdpGW z&Te^E>SI*RNUzQF&E{@fDGoB|9W}es+pZh2xf26W`^Ud;3zyYt3NEXOhAny=i(IZJ zjSTzlE$W$GLH5d@S3YQY`g*Z^ev3337cu*RuZs>!R15zK1={0vR)c3ND<+LRe3&jf zuCj-XX^x2=L)vIdxouVy!^@fSy2b4e({w5K?m&%#Lw7oT+0XwJM$T0eJ*547;M%qw zHl5&Nhnyylc7HHsaV4yy=he9C{7Y`}PR z;>X-qJ&Mt}&qbvMKsP$u{EbI3(@~RkOne*HC|y72?M9h{^xm}A5>wTXBRNA_msW*R zCB*BzT)m}V7SsnB)lalPGR5G~FVVv^Ovz&0{XX^_8dvQOfIfnrhkhG7YO?+HvmrB6 z%5BPEPVT$MyxT}rKr)t3{z5T(mY>%l1TBY6Zapy_`5P#GlU9$ClW(+BhOrayUkShz)ZpPSg^4#7cF zI9DpHOM4-x#tFCOM#l(TClFhYt%dP&fbVf~y#phrwdN?xmZcSAeQo0N{(*bS>2n?* zCKA*UIh;hT&vUK%{c~+y>#}1X?-$xed?=KRu+2-yHGrw3=&ELp{A6ngS}QyU;aZFfC3n}+FE|C(b9M{b(Se5YUQQmvMG`h@ z_aPhivP(f5u_uTlb(i9-Bn+m)wys=!+}>_eJFTi_C!LP;`vR|NxneZWGpcQelqu^> zdP=ni(IPwF*`sj}e;2^S_wHXuqlbGz?Qo<6-EVkQaNZAcj6oa4v6w->{aXEJ@PuIq zK*N^IxS;xF!;d>O9dky9qSOLvXy=1km;H&VlBrI>!9;=wga&M_^cKR~`vRU&9CPV; zAZ~h2*c2Mb1kPIMhT74wgFrR*^!DC5^XcVcclG#plHl(=H(s;}hgV&k`HNUG1;0ww zr5xzgN+37i!ki53zFW6qfDaBrZcFZb(x(>cwOUyl-2Q&P2_5Q1-Rj;t=}7izD0(zs_pMYoZ?w+P%5D$u^sywg7!AUHIc6btMrlIhC+os z(jr2wYv)C`Y*S|}b6JXcB2H}F(SD+!FbDfvUcrUWAJ=NL(b6FO>W^(krDL~;?I~$A z5}xPRpiR#Sz>S8G!mx5zpt{*M!eQy0-sC9t1SOg1om_a|$4v)iXO9gApC?mgjvhGF ztx3(c1pG9ZRp#q5okF5huQxYQI3Xz69bB-e4j&mE=sAhb^hF;V{c&2~(V6a~Iddz| zPysK-Uq7aWA!?(??A+VW1y0r+13s7}*f1l~pdlpK6+f#h-WOmuBAo^a=&7vNJx4D; zcTk?_<-WTsAksiYawEB?1EFaxDXZ7#_3|=rUS1;=BA0N#e9(-@PPZ8(aBM`#fQww^ zJ)gptc;!K_y)GT1kESwG5w*jl=?Mw5_v2LiTr zV~_Gl^zpn+tH$oyCLC=-+ooS?6W@T@j~L-doRR(;JqE;P`U3XqRQ6ID*0O(1Ka)F1 z`2brr=q8JTs~PxsSBrPX{fWdkOiOS%%2Fb^^{J@Be}d4p>h<<~dIB~o>`!cI1l`^L z&0f%i+89ak_+5)hFRL7`)KQC!%p|#dE76T|BiJ&-GfcV)X=!VmUR8jVAx?QMS-Br( z!XN4=1Rr@dj;$O(L>Bauh)(S|zppKFsrQ_nPzf>3Eags*pNLm%T9?^dvf(EeUkfFp zdN2689X|zM3kF;)?d3!0NH7wN1hz!M*YAvD_=Ulhqa_1)6F-CP{pp))HngBPW?zoH(^=s+xnDAo>N_spMqOo(;Gig@YkPGL&F zD%{*7y!npxufHY6p)E5$oU?>Hn3{l(o%5TARJDn$b+6T4fdAa|!F+9VDfT?nBSQbDp4PsjeN%2oumw&QMJ+vHGR*zjnH2j zpG1J&b$s^8`|+*$<*!P^BFI@Li?39 z+}+6{hTe)Zov)R>Ytz9NjtrfRN*fJOks_F+t@6mn0@}TYbjEsT?I|bGB_7w@14lx_ zink{$LsL{L@dl=|N1^_Dmr~wWSt;Jr1-6nZO)4p418#QYyI0l6-)rCcP#FueBUU4h zO6{y{Pd5EyduN>g95wS{e&Kw97DvqKz|<9*wJ?>n)g+VmacxL=4ke@2u5b6CxJ_nq z3EoU~Kh%FHQOb5OQw6PQ>O9&ktEmf**G} zE^LIOhKu$43TNi21z2hoP#IRic|Jqvn3`(dq^sTn>pr#=wGEG_&5`Wrf>UqL74wyDuYt6-eYfu}dv!I;b z;x3ZU@I3(Vd9lBHb0rN9Xu2^v^6h#C)68y&R)QUWqHFlX5xEJ1)CR|7c!|OmS`9s1 zEP}W5!I)J%7hAb&XYEJ$fG<$|c-P@}rxMXikk8ogMF%E%eCntAJIvaSwV=d_y1!TA`UgH}zyc}L(rBxEvBW7+ zdb5bOg(-i;xqZyil@$x#62a*`By4GpKmc}L=d}&>OFy6&-hPSk zoC>nRy0CggcB|tj(r!;9veh@4b&DdB%7L%i(3;3x6pDdTZJFqh*qe{$6rYZJpY&!XQyekOXQf?^R)3CewEN3&fpMF| zi>ystE1Yz@cYR}1g%jP@vsvNeWouIpGnltDe|B2@d8tB1lm3#ZLE=)y_G__icnV*p z$mZ9jTIRi0KcC~04d;-Lt>CPdpP(oqfjyU8+n<};o{H~VGHGUWt?-BJfByiM)#P&CqVFx=kll|veBQF-l{%V_rFEm3y( zE~DG6=^jJvq|e;3+_dT8o*CBk6Tw`#^URjljm?XT77lZ73r#3{UuCDloYlMx>3w309MHNrHLvLv!J0?etOO_UrVjC)<~P-ga^I?Urj!?JZB{Kp&M6wXU9O z?oHK=N!4-96mAsW1#X5__3L>a$x>(TG`oyyjkVh}nTUPFbu3UJNFa3H3cWh}kW>d?w{6KBHK6KsTSc-L)a-vj#qPJwv`mH@&jC zTK^mQ7g<(l#QS}yZHdbAO6yA0CH)E2jB(_JoQgz=4LRlX7RInGltYe{W6lZXxaE}7MivU2vpI}%K8#^( z*k-?dzQ5b+pVziOcDuc9*Y$c{*Zq22*OemKVM>CQ@c%vVl3IBx4Wdo=kmv2oL&9jB z`-IzyQkC0XC(AsO1aWl}YY*pos+agWwsrIiE?%6b#&sd|Hrp4*O0U9SGyi3)N>X@;lBXHZJ(ytS$>T!WzCm|SiTxGS^ zy>1*$eLe&@@NXCdU1K1DNZnc7%td2x#ec7zeT2o7* zt58Zen>L=KR7tvu!9CU=taA^47U{40-vjpSIzK1?ugkvK&0{{^8ZXuF9Rg!rWCz;=7 zS_cPn6+1pJ3IlYE)~^1PKg}5OZ@0>lxDEJ&vw{XaBsnHw#RAgKI2RZ-Y%krW!7gl? z=jWfteQ(F^vEe!=c#oLdhtBS;lZw8b@2EXTd;nF4kE8AkE$NLu@WlD|*k!MjWQk&dWR`2*iUpCczD3oW6_#~!;pEqjrd(SJAoZun(+ zGgfUw(%VJ%(meqBVb=KU@O*wU|2q3-#M*@Bds1S{&S3>KueM?@<5O>FBFf@hK8k&g zHs!SR&?ypCRC^rv+i7Evmi*C-=NT4JF^La={LaLKOFd}`(TETESF#-UngYc{?+uX3pu zX04FHYx4{dnqf6HL3f(@8@!~cP*;hNzvF*{_>*G5yTr2Hpk?8;tACt{K{$ua@>O$c z)<#wvV^GG9CFW|&z&Z4U^?h%5nhq~tXp`yAO+dyT6Nq`d-9oPT1!#B<|2}kh{jJ5S zXRFtsYG3gXm~*gHs`b^N>gq~k^mVFXH1kg+NAom)ehiE-f}0VP(CTz?5Qqj=I5WW& zxy8zi?53ATe2x$XeuYpk9y#mNE)`;h3BR;Hu<^{WZfzwpMul--v?^i+*_lYn-$_k= z5jmhydUCE+3jw)6#xuL_{CU{RjX~d4p~K2{B4)cu0S*9=k5)lha2qs6qXUebIXD(C z96+Lx=H865Hika_8oyF(=*Z0w~?q>?DP39a3$-;|fP)sw8RFmPqy;oMb= zH~=*#(_kIjGe6~{AbN`LN$NWgk5uaC~mH-2c>USqt)Moqf@3g zz`zm?zMUWc4*kc|Zx9NrmD+-q6Y1D^fz!MZE6xSAQ8F2nj^l*jY&QcbSJ@L0Hi%yd zom>)Y+mRK}hbv7Sv;rYX{NohsFViDeeL?n?`V;C1k7<6c061%W^csYr>n{Hg$$& zuHpkR083uK9la+TctAb#BgF{4mN&N67PI>x2$cV4`u9{kc)3}-M^W&l+jXJ=#lyJPbEH)5a=RvBFm*81+L2UrEHlMr@NTX{eOyZxc!G8YIlw_vS0BqM zsK;Crf>4ksGaXF{$uuN1hYJ?tJO{&+odKUf2F1HL!)_wCR+f~^VLr)0&Cxsa8g6$F zpyMDdBIx~7ClF;qsnkRaY=wD`4@HE{uRyD${bh5Jies=t+% zJv?uY`LA(cgdvI)5H!|VaVS23l?=Geip)5%5pR3XJ(WdRBr@w><%y`I4s~A-aoQex zN;z3w{+-aVZRC{wafb(9f{t>Z%u^pcC$@annk!#8d%gHTk6O+^H1*H|UKXL}v`-3} zJ!3LzI0>(gu){B;=ded&cCW3WP_?f|`bX}s%3C&KiO?ke+M9N^e3 z##9z(tYSoDUdf+63liOKv(5I`RUf1)xY|{7@T(WxZic%^d66$yEu87pulXIo&I%6@ zocmfh>#B-f5fCKkOUiGIzp$^otG{+vgro^k?}PtYB#U5OQ{N|a&zxwPQCc&la;;xG z6sIvr4=Vkh77VXDVXV@0$K}gNt*4N2+-vnv3HAKlG}c)Oda=7WC5aB@X%t2Bu;m<*_UlDR-Bu-tVSh^s&~h4_2YMPZM1hw|Li29js<&aw|r(y+uMb! z{ul_=*Xp*KHZqmG-0x`D+xu=Gg-s(|bw$i`6jLXL#uK~+Hy|W7`l<1$nv97Anfm%LGh)*vRa8oYH5;2rKji%W zEv;}gALQ7`S%SX1dtpG}sTW@@FGjp` zk4ukr$Z7vEmZF|+Okwa5RXWGzV_zAlt{dx)lJK7>+J88k)evjqD<}NbZfd?c-3_wMU z;@DA65+?d!0N3yenAlSNezu~-sZHJe7!3Z-(=Hq7MIR?hf_kWZv7%e@X`Pf^S74JK zAp-JIQH-Z$<=H-J@obe_6^vtbZWsVAUveSV_BIB)^)S~Up+hFCkqs5 zQ8IoO(gk;xUYxt2RGYHPy;*5f5KEV6Zqgao)ESgYy>S?Kvo$BscQcQ=yQ2+Q{y8`( zwTuriWIN^Dqgl0L!~|m@MFm}73Phd11{eT4%-{1PB<7t6S|Py;PaAzA%lfF^<4rp)CZy)TkUX-et7^(KJrg#iLg8GFyKQChhBx%xoh#)hf%`cx#IZt~w% z{ymAvxj6mCioN}g?8&s@+6sBu{_u z((cCUid){!YJaP;t<9C`CcfDIx-#DH(i+UfSFy83ejImvO>Cruq50a+n{>wcs2CcV z62LWb_)2Uwj=HG|Pw!tK)I)qCJd<*AG(;$JTE+-Qyrr=3;$&xA04iNb=q!)>F&1!5 z3f&o}g5GULUB@b#=09*847-b6`|D1Gu<AQ<2R{tO1-!6|JWidyyCBqJm z`BF&0e5f!~x-*;B0*!=qev%d&KS+`CvEb%C7EH;vBo1JG zQ!74pesd9%fCJNT#|M7h%|fGwZ`Z=dP&SUyupm$owt}0vUrW^_%q>#h^c$RbX+py^~GtYt5Wh21bbtBHO3S1d}|8_~YLC+CH6+tEn?G>HwlI z>Nk5YftoP$OXnI0R#Wy822p^FtsUHkkcz7|vg-7_g34EtLFjM0D8F=V-<3NRh^DW6 zYV_Tnt&yAkdyXB8=U)&dX+Jgt(-`!wm8u{a_1=~1xSJrrTsQq>2Yeg4vp;S_(N;4s zKPtpLpo+KFiu|e6z=8>VU91vS9e+r<-Pk72lxOR#Nm8G`mw-6s+)CRmt`S|VlecyA zR^X}1!EbJ;JiH~{;{8l0w)8K(tpM#{t!cA7ICeiJ}Qti3T67@ZB zr1PpT^jtm3I{!Q)_ST($E7GXre;YC@Qbk>tI4>@DI&^hk5Q*HDpdCC@roX9dPKD_E zoj}HJM)L7My~5}zb*ZQ6Lx|&VKWn-7f@I=cu31kc7nP7Sp}$s7OzMmuv|m%DA1&_= zIxL(=pJVD+^FM=h!XX60S{NJp@tXaS4SnL9j5DE+=U77KSmH5c--bT3M&o3L;NbA0 zW9{+m%vJNe0b`jxbLXY39upuBx+r~Wr->ECu8W?df9QF6@V<1^iaz@{>#t~4c_&w= z>gI3H{(wusXkZ7|X8%g3>LuWehdBMiu(sb!hyMnQnH`~TwXBA(+d-j3WN_4dUCse% zn$2G25tM7J;NbsmDz{RNR7m+ykA;&0`?*fnr}XjR4toK6wbL4i<)1x2o3-g2x+}?$ zk-q9Y4q{9Irafv)clyuWBp9d_9}vmx2ZT{TC0M%e#3P(^rl($VI3-?xItTYq8X!8w zMdc_e$RNg$ZYm9|dMc)dgL4>s4=yseDVN(UKzfKK>e?WQzJ;a4pcn?!Ob&@BpfQ=WL_fM`xc}hIZxtDsYW;WI*I~3UIA!rN_ zZ|O_$lf{R;4aQn4K4{^}@t5m_-S^$+%!IJ--31EpqnD{uGqdWmJZ+Cd4?pxwld8~a zHfm4)J3c8}P1oz=Naf>eo=0*qoNwTtLwOCRuiYg7aQhJ>?!Hj<)(t1r7qX)JpC{L_ z@|87xe*Wb%IG?We_x?tmf}*D(NW-|`1L$k$;Oq#y-t-%G1lu*uv@JkN~eDOz&hNL#8yKq2I9WTu4WB8tZ}tS`N?cU6`fwVq~uf# zZ+eA%KCYVXpT_e<{5cTU=!$hOv0k|`yy#i0X;BD0lcOve+x4%TEzDz5f`zDY0E6|( z`@2LnK#+LZAwY9|^7q?FxC=C!)UNDYXxO*E6c}?H_^cK1c$il4<>re=2EmKFyRfqim25_=))NUtHfXl3j>Xjg%`5iv(TPydU{Aob+UAEj0^v| zfE00TJSxppkT1J@uw-?ly~;YuWj4ggN0cUkcaMd%31>;TOXxYCj<3nJ zzbsRXmsMQmP_ud77~(x-!ndukH+JvZWa@r*Z`_K)3q?zr6CZY>Oo;!v zu8y!?-C&s6(Jy2jdxXSDz9Rmy>l8H`_h^>u3IQ%e`wEg5jwl2HrQWNI?Dxd#$hf71 zgfq#cqguHny6O?e4Q9$b$(CB8TIJ}Bx#$zsT$2*K8VVW|HC+jDJTvUVdntcBYM)oF zs#u={!RV{#7A(NH_GFgtf9WEz5o21cg{x#Hba9Tf9YD3~;sHYo-Be$6^2k$t8TvpC z@AYstCfd(}XxhoLJ0yrEoNLOvq<_Dv#z$)AV*>cPlRjmmaFHOg9AR7D-=q zO~kc@DeNok+QieSh*V^s&dna)bH}Vtp&)n^urK1r=7N26b$2jR79Wf87xL9}wdZNn zL_Up9UcR}l>8kNr^77F*Y9@W{S+YRym3mU-w*_Fl zH%;Wy_D~I=a z+9P>7Jf-@)@BE2s>9f94uAyiC@4q)Keak?!v#d0h5uY!Q-^J*9_q|wdxBQd)wehiK z7W`i;L6P(%e9kg^>+1a`DP$!_?i~wnribfXR&c;2F!&^`0OX_QB-JByfOlx?#HkCE zeIZ|@Kx(=|CciJoZZ;h$NSSnK(wPk>BsrY6QkbG1|R_3a~0?!*|Cx}IRFBC zy4wPK*0Yn?tiEhC9a^5WS*`=gUN-6-5~xcZMY|}ctXUzzk+Kg1d}!gb_OF>|h?3)9 zVrL57(Es4k-{>K+Yjv>c)Q;h8p6Av-&Dg;qAVgn`X{toD4nO__1_1#32D=p!IgAU%J9Z4MSxniqPTAithiJk|q*m-K?DTU3mzV-o32%1b*c5JZ0u% ze*|0K1!327(Oku$y1;QrDi7%t{Blwu-)0Q3V+#?;(NDU<2^K}}Z&Fu-KXSCTr}68u z{n0#MuI~J3R#Bq#%U&MHT(FD5ZDqLl);~&1r!k6^18a2-!az-@V}rknLZgAJS#_H_ z$2A+_?&6Ksek1-=N$XZ{>&6ntB+3Cdjk<|{7iss_NpGC2U|H`Z@qNv8A+R?&hmw5E z(HL4%u59&^t?$+Gz}0)tmu2~`@Vzi6qE{gFRnEH=csNdX^StScL8`o#LJ@GAmOTEb z1gRYVPa0y~6moL<9X>T1du$<74B#b5L!w;fBtn!<#fwpDqFe%I)T#LrGR(Pt$52N< z6ky)~SU<+_{9Ns}U%3TrxwA~K+_@BXgXRz`5SL2zr;im2yZKT-&fTw{jEwfZcv~c8 zPZOf-~v+M%<>xGI{v;z=o?VaS;c%g8sZCicUD;}@=a&?GtzI&XQ+p* z=rcZU1|s%up|+lR%;Cii_IAs-#<9s-zRldP`#uNBImy4fWy7@T-RnN^S8xQ3D*6h| z-e9Y2dK~d5OfdZNVpMIh^tmcq^wSL0YGR`B5TKvu7)2l!H#;Zo()&HUNp)W;>2dwP zncjz&1o+Qay=%w0_{n%dWW1l5!Ee@+Zl`p50X;n*Z&(=i8dCcL~uZlA^ zHKx6bC{$>6O$G~hYv+rG2l`*6*k5&KCNeU{X^H^AkrPv*GI9+gGmfkNt0JFeSuh^s%@}@1W9zPZ>hqtb82`&<14<8u zr9hqkggUq-Mkcv;2An<|tvxsmARA1$rFDSUhd5L9=5aT$2|Z@NX)HlQ>Wbs!x{)3u zr$^B!XI7+bf=6{Re7@brW(pSh7%rs>-A#DJ*oQ#CqhCEgkv>K)Lw6DTzs&dTQ=WdL z-ZuOdnNP8on&Y|B`e&AB?w0WDd?0;mFYm;`QK;6BBGDvePRla&y+YWZ#75Zg6z`{y z5@x!uQz@g>8Q=0*S?9?~R%}I@rx-Dx7>TBn12ZR7k9Sz1G=P!DReR%dbc)Wbjr59r zaC~tdm(J`@QP_6mzaeyp;Rd3lLCDQDbEmj3-YMScDyyrJYp13zGCc*gw=zc3$zzjozK}k?5inia*h8d_uZ#IyzIo{LBv{q*atiByj| z;gUz?cDVHwumf9PeI$BJs6NlUWJp~rCNpO)TD8viup(J``wi{uLMXH+Y&@cPVp1*O zm6mFGMB#7qFEIo$ogz&)zzL7b3wM1lyXJmY#(Tz77@B+{SDbc_A8j=aAze1C4EHK? z)+Bs{`vbzvL4jHUVc6wjG+{zS|1Tx4Ww%3Q(f$DYxjLJNA0aRWyRamO(Ear+MKhpX zhddq-+)aNCk#Q6BBwvI_{j-on3==MV^1m>$nOfB!A&q3P(@=b;)2SZ96qN<#r^Z_o zJ9H_p*H9#KaA{)~WhGjE@{c%cMk3%^YAS4*ZCfE2-VY4)*C>@p=1;RP`n{EIf1wq1 zuFu1$%*TBtC?fZ0LV(t+IH<#luZjvPW1fE_L&d%3q9oCQTQ3nfr*AWxznaU8bS929 zQc{cNdZDwcK(c+4fnx8&{gV#y^j&yttBT#O+3BPGSQr^$xE`JwL;7e&(@o5M8hWH` zc3eqo{_O>PK$x+8YqalklPKI&2#=km2LWQJ8<@~d3A0#{hXW3@c4 zljB? zV6@ah*cs8VGhj(U?=ItGsQ0;YO~|V&Yu8 zlvedC-UNhqvVrMT(ewu5YWHMvB;eBg?a6U3V*vllnHt^hsGnjIn1d%_wO##L7aIMD zhJQs7JxM*L(@dd&GucO_6i5B*ZPSKCxov4X%(M~2@q&=PpE z0OuuX?!^vrH_jozr0sL0$1Cd+j#YIf_17J2jI&(aKD~#l5^)Afc@pf6ry&PtXq$~G z_yx<~ez$whIL&ZA-j@au-_dn^ysCc*!?g_B+U^n1h2%d-2K5PUIJHRHZaOE=qfK#}f(1pN~CDmTpldB5_S)$2BD3^{3x|S;(BSEc`AId?kHF{jJP7 z--ino|DaI#wbcoy8Plc8c%DofjQhGjTzOS=FD9}kVy2Dt)i8Ec)*}I{cFptLMjJYD zN67Qq02;sk3zay2kl@z`*##`9rM<5 zeCCoh4Zxj*tx&mftXKgM0`-#4j|LtcNyuasgZKOHG-ctH?lz6dCwpzJ|@GaRg1w9 z4f__%3~1fs$%SA#f9kJlc-nMjr}=pkW()}`%)^6ZgShu(vxEE7pWc0Eh~!8#$$}d| z`A0d%=-L3usUiyV=#mftF!O@N2^Mug>hXJ)%calfJ#upB zjHgcKQm?1sLLKlXg^gTC$i@{8WO}x4PnPtDChAYe;2w$sL?^jO$y%#*qRzehGj6vE zs$CBC+nb=Jk8mmtXyLhy5Q;f@;HT_(9e<&Li~CO=wurMbM`kKA5k08m=a~OS*N(;R zaUGpd{G|;kTx47y$-oLoUR}d_wrHOLE34<#?KJ+a;#E+xLO<5dJD=aP$_;tb+O3{)X#whMXwADYikuUUu}*PIgZC!xO8PvJUMwz;$upUi zV>hnS1pSS$=9)ay&zKqXILJ8RhzAsGJ#|H>>QT0$!wi zJ4Fd}T`jTwI&A_h8^uA-P>!nhlk+HQocdAVI%?G2-dmr*?jC-vv# zO%3$!7D)Z^?Cw_0Tsv&zJGSVfFMf>#e$XfuF3vBo-k^yU9!Bm!euuIm+@9V#>(ubF zeJSEE`BW9&zh*gB2;A|VYB2b1eitWTaccRVri?x@MdT5 z3OA>dcy0LqP|XMxABX2Hi}6Q_sgEgVUZ!M!ar}fYiLSmwJlXj~j)IPEkHX_P9P{xw z_UTV}0ru%wd`R@>z5ca0Dr=!8@Dv%9CkF|2nVkv9DV%jnT8wOrG6*I3d-icj!GCj- z7H{_2ntFk1HTp*e7LPzO3wL`w1Qn~5A8dSJlOyHyS8nhDE9tFY^!hnZO}+YR+3QTo ziibW&EF?&&;E|Y=b3`%MxYOXv$ka37Q^+oMy%f9Sde2k!mW+l+}sqO87s+;5on zf(6`Q-@Fvi(wqx5+K|}m`*fWz!=*uuO=n!A{9j%Q8F0*M>VOgMb;QG z;E2>F$Rkvb42NSp-ZmN{)9!q<>OvVRyh1qDpSz!JfwmWpFdbGltvY9H1sNFec6@&I zx{PnfZao~?^qSi4yiQ2S?q&}no?_{V#>#jo+{=7NrK4vU%d!lZ;ti)1ylk}PWeNfW zpoHYr{tOnl*K#wSAfHX{u8#J@1>2ulUZ7v@ob3+wJOfr^`EyL_#jpWr??w46xiY%V z{L1z2aq_T87E(8*EoJh9zjC8>&rmof9p)oU({xVz?l1J`Y(@f2-AQqBEx6#SA4HT4 zdy0@tSc`b9PW6IVWzkBVS42w_-L3<*+-T{wjTgbm=_^5ZE7djMLPD&bCI@#PSB@M@ zS%kKM%mnpJ)v|n=jC5Slo37!CEj!;u@Gr`IGN?-yp@r#9SCNHh8dZ?f96lZr6XDwK zvl3SV)|_{xvbP@GUjc7_Xn*dvV4FjI{yTytXkm}wL6W#BPh45=4_)=8hQEaLseew} zo~xD$Atl-&vJ+aRN<$76dS`7XQKHBXvtPawLhj^qZPbL0C%~9@bmL~zKh&^gUUe$y z-s?Wxw8In#R(&xGV`4Ld<)B|h$*2uWQFF)VW}hzMQISnN9C~SZh3Je~?d1Z>azSiz z#tl2EFJH79DrFmTRf4~zn{L=V{Px%WB=qmo4beGTQu`v2bjC@6^1)WOs#9W;lESz@ z$Pt0h76pA@|0PX9j3wSwSgnHn*xx^cjTH2=TGORS5K906Q0;9Xm=r-s4aU;^$vH)@ zL)e3RDI4@wWL3Fj0`!1b$9=)+3cXJLuzV7rzZ%a7>2;ffbAl@~*nEX)e-#k9+}Y*R z4~KOcYe1nIq!*q3@{I+c0)M{FEjL|dl8WobJ>8yqep}lMq?2=M@Kg>Zf!Tq^2hJFg z%bEK*bX1~n5RiQkWav7QBif<>i=nvKYslO$Vf)I{QtxJer^Wpq`h)Tv?{)A|#vr7s zi`pv9Wzs+KY@wNJ*=Nkkchsd1i8m*bbq7^_b(Rx<9iMhgIV2}2opH2!VB0G}AVs+o zHWRg;wpgv`axLSwA(}EbZ8iQ%+(ZHbCgqo3`S2VqaoVPvy8Gx4E{UT82CtJ*_YSb0#vR+h~S{HkPz-Xy{F6pM99?dLAIw^`HOejC?KZ^)JCuJ0go$yv}=`x2ZU)GRw2O zHtkKwoE^|9x>cEGvo>Sf8oc&Grew?Wd?Zi>EA(YFF^D~6SXNiZxL#skks!ZK&Kg{U z`tLC&jmEpW6JZWnhY($=-3iV)^VPT{1z%Y5Fx6g`7~tvgXonclC|%`ZtMgB0EhJjwu=Ork`5M&N)f4#;4i=2qRMS6-yN zuR)(|xqYx6JK_kgCBNfqmv-{?&!9W35lp$Ng(!dpP|SSa0=005#?Kg7FLl zN3(>i-rK#SM;Cy_*04EKHF9^DkA5=ab!yyV`4aG!>R+;wZE2O_QDQe@{c!;u|M z;H&yyDCZA7txIsIVY%I);fAKX*uSCVzdET=5}wqRt=h*Sg;}K1Jzdi~dFWI!_2IN& zkMKeyRAMPx`}MwTfbTErkB75exSwXvkJCT>V!K^PlHBu|IT?%m?@?4-G)$!F`RaGB z!=>k)WoLPgN`ngqId)__>=*7Dt{$0Bb1mR;o968p6plJH@S&Xn zOOHrh#Otss(N*o1!}-60NrJ>_46B9!7gi*+YmJMkhY6q~HcMWK(5^UM{0r>~&&s{2 ztYaBNS!OEVkb=rP4FXb2{?gL#EPomO)*q$3;7hwr39AwTt$^c;C54;#7#uA^4>*@; zX+o9P3zc8Bm{e6zwovQ>9M#cJ{>FGJ07aVS04W8RInkUwGXZB>vfWDDii}cU`$>7D z%mtyHRl; z8_)B?bMH-=5js7ZnI!K@FO#|^TT?vqx`vC;kHIEV!{?i5u?-R9I<9t4lb&LEihz1M z4Ywm!Zy3>h9f#|77Nn+meLltmGlr$R;e$~(PTeT^g3!D75ajt2>6*AZK z;Yk*^$X?&E-{0cgw<@_GpBSNuT&r##?!)elgWShaMrex|uD2?sw85SSh8aFEE_dw( zYTqzQZZg1*G%|MoI9Zg@LGqW^A6SjwIjQ8@ViGC7-MLDBGpNl^4HBkIFRgu+j0s7; zKM!~sF2IXj|0iWP?swZ_Ww2tw02LjQPVbF|$x=sUXTA1!Ok(r@}BnyCm8(E>NZeO6M|VDe)|wqk)ox z_huXSh{44*eaad%x>;pvL(FRjrNGB}HMQTG2c{;$8L{Z4ebAuX>Z#U_P^5aVL1xnj z?HkVF+kJ*T>s`|8T3^o7V{RLQgnIOP+)n{5Z#Z=a;p{?=e|=lwb$#wpXuJ>Y+3;Wz>60(I*H6#w<;GTyxK1QEtR7 zy-D(JxZYD{H(kAHE*b_#bsgX*1UI*u#}k;b$`o6o5kEzG5wTx8z2O?5P7!f&jBo#y zj(yJvj}K89J^is7M<@YwRu8GZb5?E9ct-oiu9ZZ);_^rE zF?;ZygXzGQw+;tpkzpP^~Fu3{N z>b(BBJqa`|RP9L&Q+LIXSaSS3Or&j^r?AMM5jsI6f*z<}fp5;C3 zK@ReT14Y1t=WbQ8xR3_|uLUJ(1Cg;CH(;J6zkSIU4|t8YSU&_6GM@l8%>PqSJXBlH zBOYH0ggerxO&kovw)<3UGWff?ho~lT^HZ0%=HUY zl~wIqbWuS4KU;3dg}_fX>rFo%BMiHi1*Fy<(z>Ul9cJQkY-WCY0e*G!{$MI>UAA14 z)d0*}bz?~{-b5b0gWg$QM2_`Efc|9#HbMuv>K{m^z|K7y_snL%0dIM zl7{x5Nc?d@$exY-5;L}n`*9)W7K|2%Zj^vrSoyQEr5W-QXM`@2*(umt4^z->*=7+|VMCh)Yzke^->$QDDG>|0&J>2hsEd zSu8;T<8iuCm1WMBa^MC^fFjXu}cB}J&w^K<>YHF*+ z%1Nhj1<*&1Iyr|KSaKMOPk?#mmiW>otz$mp+TRi02r+}$n0id-#zjEHUPIL^nzc_=Ebb3(+6tQKlwu+ObO5p{?4;gC6b3V$c|BKS3E zMKGcjRIrsF?dM~WqO0;fhR?Z28lxh_0!;SYjchEH4|&&vyHR_@=@LE#Q*+jr_GqaX zD1sDNJrG+v<*VSDwF>$ZUJ!# z(_}guuYjvSal*7>kfV^EDMB{hNfl4Q0OVPvIw!g89?OF&P@%hSaw$R&_zeF{L^`~NsAB^=zQuje{i_i=Hu=a48cuG`_&`oCD) zzjh)l7JO8PQ!g|e0mD2-HT_n~S+vaR4;}N>Q@&Rd&Q(h?fq?N}Bjx+*Qj^2M75aMV zxaWo0F%z=YzyQ_^iEU3aa{xRP4zAK?Q8Lddfn(UQ#oWK`M}9h`k35zykz`lzV2!h_ zhX50)Dc|?7#%6U&aLQfpLUNX&?NP)uBWHMSdJC7{Z3Txf--v}ZzHJbjW7NvvMg~Rs zZ$3MYIa-Hl0d7z65T(>-)q^SD1rUk6F|%yG0F(81OR1z!!AZ=p@(CvCWg34O;3n8_1lSas~N|BiDsDE;vFWAbd6l!)mRJbp7jM9V(6d7M*Wi zRgZ!sexXT^v|A$T;{UHIyf(EujR_tIx`2d#Y@54G@pq+oMq3Gda*g)v$k)2?JAa^4>p`_w%2xiPM{ewbp5>lTqY5p!ZEulI2$91u)~6e9 z^o<1JH-!6i%~b-?jYT;%0{t#KCFgE@M%ZSP4ap~a>wc$*d}Chy z(!|@wDon7z1Lq!?0{)MMwj-h;p`p(QZW8w@Qpqz&>Ufm32Gu_GUdw%p@;0R22Gn7= z`e*P+DYTUQ-8RSmaNQjf9Y;JCF9vQue6YDYXu4Qz{su-9(z9myW4;1@I)OEcK`$Zy zD!w%VYK)+srF{aa2t2Miq_cYZny!(-vchoXCFQ=|miGy`dk|^O;&VZtod9;?t*55# zKZIQG8h2@#9M1euMGl6a*STT1l!k5i_;l9EW;Pu2_^L{GTb8+QR*3fLMpn+K%<}4I z18D5W>(*4zthmiA3iDX>zgdI3!^)k(x&b=CZ?pA+Bi?Y&=fAjC}7r z^Ok8&xnb)?Y8l;+hA1U`@Go0}6ap0>p|0)MyAY||6#vp%2&6h}^Oq$>Av zc>Y#ymA|QR{gS5|!Y0)r1`my{zE5=S9KQ@s1#Jkm8YA`-2rBp&t`}m$*<70*v?M1m z9wRK{2};>LwWvpG=(s)I&RI;`1b3q{(uZB~7izX}ZO`g)D$u}kHL77M2;B-G?{;U?wH|+0uDD<+0rsLsHMS%S#1(^ zZMH1;{zJWWAK_40`uMF>9mc1n@m<5S2goPp-jNe8Cvm3%aoaNLLW5}m+q5%hS6LUXDcq3P?Q=dMx(NQyCUdseILy;j+_!u{V2!HPyHzQk%hV!DEIhmNy5lEfF6 zuThGyE&IaS9h;8NpOn;eDbH(V@-qH&rpaU7UR)U6(dT@;(et~tU7t(Cci&Zsb-X6M z4Y<&b96v!JVU8Wu47<+l2;00AF+8~!lYH6hllQ~B7VnC@rL$nOieArfc!g}kzruVl zsG++&t5t)R2Evz^wfrA3=;DZh6A|fLj$6W%t+2V4=~w2S9S^_;4QGxf>xOk|hFd6S zN@Av&EHJwz+7Go_IjxnjHsAmEp5F63@w^B?gM`e_o;MTpNRTT1;n>OzEHBoed?q!5 zz0+9MeMAi(YEE+u^cb8MGMfA+4a^g_@P4$eTA}?br!)QeM61*C9D-DK&Xd#joo0-} zq4Qr&4S-0Al0v>j;n)3XU+=jKzQFwPfKttsiF>)B?z!f)L>Pv|J z^;{hms2Q74`=8Z;UAK>P>q$BnFU+muui1KN_6aVE5+n%1Mo&pAx7b?9k-F>K3vZwP zMLNCX8E)459_;^=yrgIGN`fw(X6TS}>vz(TsEb6EE?E?-&XFb~1Imv0@Bel69#BcW zfBbkuv$Wi4=E_XX+?so3X67!Q~omV#__tUZ*%I0GIm%2(<#~Cmh?iP_n9RCCeXqUWLDC>S?y>C4aeK$^-aT#fyy{ z0S}#!`VCp|;iC_lxqiYz30r(Lbp={d*mmbw(Ip<5VkYM*kWum)U&(xCQ=tgiMb|TNMbHa z*hN@hWZIjFr;<3=Z6-HBC{;SrhxXVLtQVekin>H~hg2?sxnX^l%q_)PH*K0a#cYda z5`B4KeScb4(X-hT{;OAhlE3ZquD!I;x_RDX4v`BHZFtnC(yC?&F`%Sw#6xdG`At!N ze7|vsPVCva?C^bf9LdBOrKW#h<4Ca@EtfN13kUM*zGeG9*9S9%yyEkFxgk1n-qngB z&)f%sDdUK!a-I_l`Q+@u2`p$m*a0ebI0^N*uC@2`$qmh4Dt)LvyrLsA0ry_!`y2Yb z3M;hY2V=-eq)>o7j#qYi9|t|{ZPpmkEcd&IW#V?sywka^T-$Rh99wq>;}cuFC~gl5 z?>e-GcPKLy-8CKlW3~AzeKh$FWiob=!ifnH4Jkd|j@ih|LEpgA_c^yj2Kp&^DU>jg z1^=?38-yY0+3PDqi7o0BQ##jOwoUd`&Mj4|%B#^m0k?;vVb*334?iUCK5IBJpAG9^ zW_B_$qENKQ?se6_jlB56*H1dV+#R`(3%Z=F;A&TXb5LR6c5S`f_)`{Ls9rNx03*(k z_cfHjak}Q~v@{b(QCx%E9I%-EHXB4=;TX4|^@M4aeEcpH&jdSR>V`war;cMF33e5$We zwl~9c8bJDPSgZ?RSg-u|t^F6JJ&T6&?qz>Mwcq5Lop>44WL@`vJNZOen;*9{@pImq z<|VxMVx&w^vRb1rnB&&+muO+|hYuv_V4&1a6Z@kf$(vK+Bm2s-@2J;$vx106V3afM zg$D*Uv?98X260R8Jv$^ypGCjXw2|+`e%MkOqjh8=FOP+uQ1jpdSEm#qFF!>yLw>NM4&c0953h= z4kXmgG7J5t$lXSN-3aFR+uYOB^u;i=_WH`VtlO-qGt*%g_r*fL(xO`aeEuWS<*3~V zzZP{0%Bx*I>11lH#Z7w!3^vU;24V55HQSM-ncTb)BlU9-g=^MTJVoD@kdd^nc z|8`ikM}w{=$EnU|ok}eU5!K+A5X|q*kbTF=G34Fm?|vk*-hTs3d^fMGjSKV3BmeP*F0wOusA{GU6AUNj=mJ>$K1k0THs2wQn>96qV zUe!=2%T#(6B=$<6ye$1mRGMU0=czi>`swwnX>86B?7*H>Fv<6)`gwvMeE&4W={kY@ z1o0?l!xY#sG>EA@`rRCrvRoqFy5_|2_t!BHr}E3l%^Hf8CD?wQ#aWDol`EAv7R-6M&7S%{O5(~@hBHE zmTevIUMaSk7u67~hQIRRJ0d!yLODUBId-IM#sCwE+?YC2%&TaRu&nd8L zacjM9!k;{B-SH4C>ru;Z)?+)&tqpTd-;m3%2MH{c#ufkx={rTbl< zksnenos)DF3H;rk#SdqYDk#P!6A*(5w^`!^o*A;X?~~Q$SRwHtM2=IsIM1U$4vS7t z@h@x7ub+ZLPD~rt@wJtmRVkRD$C!zdZ7^t)^;?+!Yvhn)90edlxgr{!6DD1lzLU;s zRPz zyIFDrqJ{F4jA7PbNNs0LY6jMGC(|d;%fc$^DNfwZe*7=}Z`Gq&F3ZlLHX^T2lxrYE z-W?woQvsj3z_Ol~Wo$->aRr=cOpST9Bj^s!eCG?OEXY%D~&Ld6U4eYd0!e_K=rV zmcCusR~Xb|2Qej)D(J`IDn_+d z1>3ec@C5Qb?EmvQ>vp*iLGJR4>!o(txiayASmtlgEqRJ^5Z&$#;oqU@=0Mxl{k?=Z z$fcmaA&0FQL}ftjd}K5OIaTod67kiExlWoyz|pxq=NzIghKj~SK2zu)?Pm|bT$Ket z&V}`on}b^?{9w_WsGnwE^9W9#sGvTe#Fq!7ocoCOBim$W!OMZ}60X_z!lFAW-pl1= ztLkr`0WpH~j<2UBIzP5+&n{)rH*>;%WngTGNdGRA zR+cK2Ai#W-??($f?h40!!LZH$qna=jXu`|)_wcVFtUmMeMel~nW|Gky*^hS)r;pQN z*3H^#FZw)o9S3&SfX!&SXhJ6h;|PUoIoSDDT`(ZF%VRh4V{VO^1mQDbgNb43Qx#?{*h5dnlrObEsy8`&R&hH&OS zr!j}xW8CXbWse`%H7C9QEAzgwb_oR^_<&FBlOU8$`49X%6|r&?^@}37_F&FzNHba(t2hjIGd)^B5yyOW1!Uoe!K5cXaYe zgep4dxFlfo!)QG894;{A;qgia_xtl^=kbJl(^Ne5Pbmp)q;SRqS6#`8g*GSl zb@w(QH^J-vk{`fHCDW+{blcxRTv~w`0Z=x9nE};MCu4*%tjHwH-#N_V9->jH zpd%w_jxYyQ26-H|1UdR>woweHnM1U}s9YgG#*B%RPfPlHe*ou~Xr>TkkE4aaEWp2J z{(CxpSx}0=>H(y9PQT9~55~9E0->Hy!VHaOEtGJk7_xXsvNJzNv%~M{q~$2{p{RHQ zKu55_@))OcCZr|(Jw8w#8BrdU0gkw+B;2(L@irZqi3e@6#}Yj_!w!8wXM5|xx@$(amYA29W4g<)5DdOKw7G=@2Dyb!qJEmZZr|wxR-` z@N+}cwu_UkGH?xK-SM@jWu5LY?_?Wcqe#K}7m zy4I*9@ZafB&{d5=MgVTxp$)zB4^m~}_YUD~O|AomX9mTO+1UMp_x7evk2M)MUj)wxWzM9IwAlDs0bwiF@aL63?E zJd9SG4j8zpEy6oQ&q!66dT1``ul)gBS_la}8M><=;6; zf3Fo3NFG0|MRG41H!`(d7*4oG`hVb88)ee$wP2rCjam+%(y4OSaaw!`t;wq8&a>}K zNoNyOih#=$Y?ka;Z+@IyE|H5Jx2*g)PS;bfz(YS=BYk*c<&`GaT^177cnxab-JfvX ztv)K6E$8>=;huMa33vkZsj08t;c1U1ky+RbIsQk{Z4^_?DhY>^J`Eb*zVdFzjwmB9dXQ4m%Ay} z>7dag@)EUD>v{D}Sxvr{BmGIbu6#p)%=gO_Il8TU3y6S^slfzM&NlGCs0@$aO`u}- zqw|S_HJw4=dx&EIG^ca?Ajw(Cq>5X$>a`=Fadj4avroqc8R3J@@!6z#JJ#2JtFHDI z7A=ZDuhd-A6;f2bcB7U2>AV%H9l7z+BUyIo8nIH1_yQ71R zSA@SW42NulH^Y!NDp{OIO->HqsywStVXGEvo~*6$HXCn(jAOeXG*|Eayxzwj^oHRJ+sPfPr*pCdaV4B1 z@RQP~?i;UtGq7{&Uiq8@tr@9ZP~mn2!r+&~y^@RYHzw`BYe9>7*BVVqqGq2)u@zYv z2V(g$DS{=m#$(YpA*QKj`3Z*A1;NG0LhYGpZWMpE-Z>>UCd=ORO!orS#CT%@XjXfU>3e z$lqo@aN@VhNKbGH@$|vR04?8Dwt;x`jn_PslY&;w2S|YyDHBAd|H6VPuXhbOOv`7r zY&w?K1@W_mz7zJD7-)@83tJ1DA7+$Xquc$QTJ{)Cm}x;gVPfMy=*n4k(QgdYTWWdq z3*w@0A?dTq0~Ec%boB318ocgv!Ue${==8u=1Pi?7VK5KJGOalZ$X}Xxvf01)l~vIP zJsCh&6r+eCXG1aL&gjlsgh8{8eQj)3WQj-Y{Ez-*c(&yJ<*WTpWhHp8g>`ph7ORBh zK(r)|38vQsQy~l`U6{nay>Jz}o2>h3J1`$*T9Mt5qUkPB(-Xk$A!lU?Lc#vZA#@jY zRszW1oVMB`V8W$3U4D>r%?6N3nZx|j-={kl)=T$oG2L2nB>L zO^WF2?Lq-q45xI?deSLx^16M2)K|C2ua(%7|B6!=9?pAEmUWHf@c^z4 zXtuOu?Ke^FXSt&ZyweWNk-EJq1g8#`BveC|Y(~I$w%}yGn5L-692&!7b(AsoK~dzr z(Bf=`rC%2EhYYYNp2KWQDP6C|)(RiWrH=(|;j6sU;a-mX9vfDF4IEn4yo)UJ>xKOw zAMKh;M*8SMl4W=E1B|;Dp%%P=1IS&h8A(0&3oFw<)d(IF`o3+K(CP!u_vEwr=G)JK zF1o{SAUV5zr{;oRCRzEN3vPm*FiBrfl64+hB6eIaD8326~{ z*Cg9+L_#EKrhY6mW8pqGMPDKM*IC*z(TuB6v{be0$9=?@gmqJQSh3)e@T+ zCo(3K#Yw54?;0#U-O(ufha0>Q>=W-Uwn%L3cs}UTirRYIn7l*XV=Eg-+Reb2wIEF0hKC=g^Q~YMJ`i?4&*LT$s(1S(|VF2#z?ecxQm`4PYuEjT~Uv8XtG z^!LeHasc0=Wv5uQVefd>tY6Tr{3)d-C<{X1e``e*uD5=blft;@^zVD;cQigd(t4kn zR_oFE_mYJH_BPQVuaj}Ca~3caeFw=A&Zw_c&DF3+77e|4uIPMTBE6h5a;|9WVOsW9 z1VblXA%=0ToO?TZ&oz>1j%jXDtGI|mP(|A#XCtX^DXP2dKA48iQ+gNsi?Y#?%7T_# zqhKhsin+!Gs#wL&IF52}99NsCEwYwXx-UdwY0!bG1G;*faX2Y9vgJ<8UK1mRq}geHj!8`T+hs!huxT+7kEAq@QhiO2H1LM|?R1 z>(|CPw9Y!pMYhL|#{lD-h3Sm8>X5(Ezxpg!!H=19>Kxv&+f9=>`cw$(F5LQPI1#)1 ztwcfb$hJ<^&RM26TbI}8g6sdcW!0Gczb2VAu4czNvm~<-M@PM?tlCWaL^e&qQ9d1h z`8%_{o}Ys#5+=BB5ayY1YAgjBM0^xau6JpYlXHETLOc9t=DIeWbGU6NFHU0+Jrky^ z(B7yoZ{4GpB!=B^5bg2qwQq@ZtVts5Plw+ zaCN%G&7tE*n}dQA7~B5C6!2xZ&*W)uMW?h1@P7jxdWx#}Q6rXZleJwVrbPd9|I{2R z8n4AwlKNQbEc;BTlAx!6c*r$2R<+?1@>zX!AOxNPaj6ncuF?6|lJz%Q=jOkbfg|}c ziN8M9o1o)Cc0pT>WuoVBeSA4Cg^*T=Rw?YDHr^s=?~Xo??FN@%tsdm} zB=@Wat@Z{xwU`e00gka~4mC%DGj&_kvEKSIlX@K7;HQW<9Z?+hN0@D0qAjCe!J@Ik zS(Rs-o;C5r2&@VF|Ft=QGqkPK%qw|pI8+~~TRCJfjlX%;esUH$Fam(U#uaT8;6Lxs zI3w~dk`*!2p|ZqYSFj#iv1|{>rGV_Xs6@vr6HaaaF&)|G8dzYvmjmr;Ddz7A_I4tT z#@C%lKgxAVS>kLWGRxo$K(>wjZ9I@$>y_yTDU;fDzX(o>2;6}1AEK{Un6Oo?KvZs3VtDh_r^I$_2B>1XWa&-eQc;8RGk~m zR(JAKni}Mi&V@g*YLFbuf`0Y(A1Od>P{ck^knN#MN;xa^s!6gb-F@C&bgiGU{2%*0 z1AA2eSjnZw>1P`>V3kqq3&`mFaVF^TT&V?n+= z@BWeU-jt%+t*Hxa33npAjOqEUDxi)q+K*0wKrD8PEx1SxDvaNCIeMJ;4gRdzB7dB; zj-;Q?-?4cgHlU!bujKE7md3HcL*F&QZbIoCS7Q`^;g^<6Wzugx0?A4dgxnC(DPd~8 z^o5-aP|T5dzYY7L#~S-vF_9xc$W?P=4^VEI#3kI}Jxe!{TrjwUOibi`y9neoTxPKX zueZ})ifM*d>uNN+X)P$1E`==H9oZwV!?$Z2F1y324Xm16Eo%JbbqMNu*s&r$Z!*k4|%X<@&$Gi^uO0s#C(LD7k-q~nSSU=y2B#;ps&9NRjj zl35T8P#1H)m{7|;<4Z!QZ*J#G*itBooyvC0nmXR|dxaY6CkdrStB@rWCd7m+Ff5s&_xCTldl zcNyN2?E)Vy<@%Kvk0Cga7J$bMj9b2`*E9CZP6r-wd%2w&-)TSgFy0vNI>82nTy(~+ z@|zI^>70(n6V{pFYOwj&Lil-K zbnCYx%|Xg!HhJp6i%oRFCIvL}=2A8XWTKSe)7g)P2`nDEsuPB+hK#yZoe9qkAii3llxU&2be3sAk{lw({M04 zdK`BE>SjW|+i9aMoE8Gyx{wTx($GE*3y}rMm#Vkv@3D+yQBcL>s&@M*?-EV!aNhEA zm7MurYn-mYlJ%&L6UMf0pP3lgoP!li!}$9MuP2>o?q1LNIeRv7!XokgknatGpY#$< z64rgJJy_$FuUUKw;8;UAGar47pV+Zy2mrs*N}7`i#v8-o1+r5*oiR2cjdA}gHmuiwqVqb}ClwMlW}7yvEQ}W25Lol7AGb9F&BGW{ z?zDW;+cMmaz`LST_7zupsx>=MD+(Z2>9$C|9kIPzG%$?_|G_46IR29#MHc>;fbjfZ z!5$l5(3yD~QY&*^)V{`BTiiys0CaZWgQ91)$p_mMW)n=RQ+;Bmq@CyB>cU4uO zdj~{|tbo?xq&tG}@wK@>Eq_{)mUPn@DXMC}0_2|Sbd&J6 z5temgiQ3{5KrlDEkC$u9#dYO2p^NLIZFGyeaZYN1vo}ZcG5Q1$aZ_zXZXZVgFKRe> zjQSkk$i?^Gx;RGV7#S+0l*jm5er?@xditV=>dU*Px5`oU7Fpyr3+Pov%7T52*R_lq zg<<@R(BjEF2iN}5sK;cA7?Bn+Fcg^N(b=XRw-3_yDcgW55rANeytNW$TfvC2a6%T|i zZylcT@f(6M8DXO%0ri+=Dn&xX#*89An&ndLinDvVMU7hIm>U#fPBW?Y7Yjh0V5tp~ z6z#B=a+>jD!yD3$_tZ*^tSH4yoA|a+iV`6ybP;V|7^!SnXVm8K!P!)^EZU@x*dB5J zci=27&e{80S_F$-g$(5$qCS@*f|(I2Q;-~YK^R^um>b*{#WXxY2s1YbD%6isX~VYn zG}4tz6ks79vuk3wu{K$59|!=fN$sP}7SuEhhfuj;Epii&6QiIn0j7gANlh!!Objy# z;O)-{A~_@cS^l#@Qm@0_G4AZI-obo$f~Z`1V|bm-ev80aZM)n-%5BVxxLcK3P#V?| zB_jUD279kX#wPGUrcI%^!MmIQeNy-+i4b%s`wQ%yop6vOOEjD|#y)x#B-wr>)rYU~ zL`Znqf5d7I=0(n?>ljzmMvc_6E`-1CP!CJ|wiobLUC7W5zq8zBYu(O6Zl2H_jEXe( z5@QouZXUH3o{G7Nvhr+^RVbKq(&Gy#DA{QaHU=1(_d))yVF+2N?)A7woz|J-i+3x` z7q<;|t17A&|1(5Z21)vx7}29_|7s`hR`xF+3haa#*Nm-;&3bAVc{H;`+Bxeg*gTsM z0}@G2PY=72U(q}QsegFob(zrT6smXn#ZzM>I+G>fv>QRaS+_fHK9&!D6{n!)>)?7G z(J~nm(}c3ZgE}=}uULO;TnRFLw~;mZtmmwtQt5yKeip^Kv%lAm-?6S%Z7;MnM*H8- z^e%96x2N@}@cslsY;(hoy}U=~zjG2+sj4J7|_GR;qMj$GGC1a?*6*i0Y@m z+EAAq+lW4h-f)(9xN!4mp?(3mK@+|lkL%sgcTr_&=39SV72sd}jxJWsZQsiUi+==RBk+V+dbmvK}oJ^jms+QpwH|_woiLL{*}wJ>OJv`pQOb5 zwH0e)fKnH)A4dO%%gh@pY_FSHM-1Y6h35@9qPgu@;)D&VnJ`T6)Q)VHd4;06=M7Jy znL)U%@ghCET{%MmhAH+N=z+2>TdULKD)?qkJk)x-`KB?Ra5Fljd5bZoOw#k&3-IH# z8XLU63HmZBF_t4zBShx{BqM92{42ALuYnas;r!g_+W@1(nGnDM6C;ypb6~RBPR3WscMDp65v}>3VDL%czL z`2^cbt+V`-GbpE2NzJp~5&!%r6k5~H=_I1C8Nq*I_3GW?t9uZ>tH5!|^B@L+yj*Nr z;Id@<_Yx;5Ed2KN`2mZ{+1tn`;x}c9m4i+VtQ9e*jv6 zym!UIEgh=a+z2gRh;%b%+ezctd{2_>taTHHkAhROhK%1g?y={3aFz?fVFMro0vd;fg?-s51CTbS2_ zJbL&qJIQ{yJ?yiZ9K$3xe$JAaYG19cz$0$V!xkfS<{)lEyyHPiabm?HH7tNftTZ}B zjD6?9#Q2rYRx}S;c@uE#lpo%`G`(5{Bg}0(^_s=_-d>l8@x8lV9uqdp&k!Lx>?O$0 zE05JI+^ua3m;1H09aTOQ53Y6g7b81j4vDquF*RL5Y|IT}u;Y>n@MKj8q_$nBGiv&X z>BNbnqtKH<@6CMp4Y)hYi@uv3wdsnW#ekejGg+1k3boSCB zqvw#66G~Ayd`pSRi4G6NTWphK@FZ~Vw%;nkv%-G z?fjU|I|&`w!>dNqh1)72V6JXrtzPG;hk-1nal z30Y6!&y4|29?R2|tqkprHq+07s+N;#i4DnpPZWiX)94oCA2p{M?)?gJ*|ZF9@2ivz zp{>2xkg&hwmJahUNqha2Avif9bZ<*H+eTjDd(SNyGZw`e$^Jis_uZNjPPwtUw&=~? zXV;eh8#^P0eqHMSQ90LzbcWXyMD*5X$7`u!|Wq8ldh z3iJ*tWzf?+Ed^p6zMYcylI9!_wHwy(8W<62hH7atb2w5#9Tjg^y!Ed|YnxXV2T zJ5Uehwk=xUbSEsF(a2)$oB;e-rYiax_E*`QGlWeLZlwanOl{@zI3X>%lI#$|(|It~ z;qRwR?dbS9WZu4gl;1Z++uRXVd{rMIZ#j4Hj>xK#Ph*CVY)4^#kE;{jSm(Vbf3}yG zRc?WtZ7>{N=xeRYs%2GJ=-q0`wQKKY_R~~6@arPafcB$2kT9vb>7lk!_&Y#wm*nJR z6DBmyX)-2v+m3fwj-HrAD2^}N8C~?tR}C)cGa1K!6kP54%;f!Tm0fH+y3 zEEYWBsC&Blz({ODwIjXn*?V>I*;h585;&TK<(KMv?k_H)IbQ%d71?v=^>$4dj2kbR z%)Ncvx^&KupPt$xdcu|9DDoA~-W~OEM5DXQdp8ag{WAC0WG^4=3u{ug^8vlpy~yu` zOVB=-g$$-^uHu?H;s?W!GJfgMZr-{zslfbeV=qtjrzU~Z9-KcbofqFSUqAErZpq;! zYlhQlZtP39(k^Qm^&vrNRED(ot+hP|Car;U&;Xs@sHXu0Vw?wi=664?OerpzJ*`Fz borE^Np!IA#;kQk?q2hV#e{v^|FZ2HcWEJ(Q diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info index 11a475e..951b1ca 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.tmw_info @@ -1,7 +1,2 @@ -start_full_compilation:s:00:00:12 -start_analysis_synthesis:s:00:00:07-start_full_compilation +start_analysis_synthesis:s:00:00:08-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:03-start_full_compilation -start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation -start_eda_netlist_writer:s:00:00:00-start_full_compilation diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.vpr.ammdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo.vpr.ammdb index 34ce967ddd93d228c8e59de6dc751eaa78d62243..3b4d589aed147d040ea534951b471e0ba502df3e 100644 GIT binary patch delta 138 zcmX@d)W$Tyy?zZ70~j2DPz=6M8bq-%LO2zSNr?#w7nqXR719zkfJ`PQg^J(uEdTzs ze~^&a*YvZepptchl7PgbSCt3*{$7@y*`GS0Sq`8A+#@q0+W7Fu?ohd#DoL`)+BF*v;>W$gajtz1d$2)3}Ovj zhky3}Z)Q;Tu;un>w28R7|Gz=6$ESp~VhKN2{%;gv@AxUR#-2G(epi*#vp}tUjxzoU z4Zq$i-LU=d_>O(|^jn{7ejj-DzqP&J;6~koP>VOpOa9sVeP%iEPCZ`kQGL+Y#qHOX jH~e;Z$-KL|VD<65{|^HBPx8O`*v_HfVWUwsahDGO7a>gH diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json index 68344d2..b42bd2f 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/db/MuxDemo_partition_pins.json @@ -4,87 +4,87 @@ "name" : "Top", "pins" : [ { - "name" : "Y", + "name" : "LEDG[8]", "strict" : false }, { - "name" : "I10", + "name" : "SW[10]", "strict" : false }, { - "name" : "Sel2", + "name" : "KEY[1]", "strict" : false }, { - "name" : "I9", + "name" : "SW[9]", "strict" : false }, { - "name" : "Sel1", + "name" : "KEY[0]", "strict" : false }, { - "name" : "I8", + "name" : "SW[8]", "strict" : false }, { - "name" : "I11", + "name" : "SW[11]", "strict" : false }, { - "name" : "Sel4", + "name" : "KEY[3]", "strict" : false }, { - "name" : "I5", + "name" : "SW[5]", "strict" : false }, { - "name" : "I6", + "name" : "SW[6]", "strict" : false }, { - "name" : "I4", + "name" : "SW[4]", "strict" : false }, { - "name" : "I7", + "name" : "SW[7]", "strict" : false }, { - "name" : "Sel3", + "name" : "KEY[2]", "strict" : false }, { - "name" : "I2", + "name" : "SW[2]", "strict" : false }, { - "name" : "I1", + "name" : "SW[1]", "strict" : false }, { - "name" : "I0", + "name" : "SW[0]", "strict" : false }, { - "name" : "I3", + "name" : "SW[3]", "strict" : false }, { - "name" : "I13", + "name" : "SW[13]", "strict" : false }, { - "name" : "I14", + "name" : "SW[14]", "strict" : false }, { - "name" : "I12", + "name" : "SW[12]", "strict" : false }, { - "name" : "I15", + "name" : "SW[15]", "strict" : false } ] diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.cdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.cdb index 15c5ce69d5f23b50ac41fb750c15c63a1c1cdce2..cc05cf80b49996f93e0f0b31b8d995cde96cecae 100644 GIT binary patch delta 1305 zcmV+!1?Kv?7o-=EPk&_z00000008#`0000000000005*500000004La?3llc9aR*^ z-^PuJQ9`0YB!$_^!a_7+3>HE*D;UvikQdR$)ua@$OJN~4HWopG*hR4M53sPXu@kZM z50FK$v62)Le-Y33&73#)&6yi!cX^OKd~TSXH+RmQd++z$?|Icb{wH^~JvV)j|8T+e1kXw3(WPv=^0= zmKJWU%-TZtV4Ov$|A?KRIrIG4XV0E~?)20BQFVRQj+=Jqv!3u6&L)U8bU+98K^`AC z>!@j-n!;!pjRT*fpR;iT0s^yH0V4u`s_Ff9oU&u1a=hI3`?-wsK0nv)_3!(&&N|9= zz%Ewo-{g+9@`iQj@~QPalH9NK~Q0$u8q4S$|+_fp_$ULGO zK$Fq{W&6XD>(Dc5!vpBaqABPvd#LHU`v8jB)c}W^?Dls_1ML45+?dxTO0+tED`lgh z>3_;TZ6CBh+C}A|2&GxrRV$NK>(U;QvHVb9zp3v}+X^0|v--AwYa;)(duu1{kF};} z{#U!`z5l#Bu0W4^@G;A{t6lWPvLtGjSuWd`?sN8YO`@~6n+UD*$3qgRTW|ZUB)YO} ziH`b2mu0kWVX?^bvD**3D)PL4#>-m4XY&!_3if2Qz3-xtMtgtRyXfUfO(py0vkCZhCHO^YZjW z+j)6<>GE`Ic5C|9rI+rrZoR!PHf6nLru7?+IK4vo=jI7T-m=IKo6GrKy^ZSqtZRzA zK9Ex{#{XFVVx*!!YP)>I;hdk2&Z##8Uy;rWI-TEq%_OVpr1^ATRqe#)uacfsGyU7< z)4d0lk=N|PBXw5J?uD*@jQrVhoM}5er(T>~iRPA`|8ijUTBmjQ6xD03kM4OEqm)%S zRpox9Un{0*itH<@zV79s4Xmov{fI9kpR@}fO=sooevOxry5o_qQunL3jQo9I?cLAU zGIB^ISIgt-Dh)>tsnq>4^sHLV(2BZWU}dECuCLuy8s48z^&*vjWq6)_m9&$)p9XqP zO>-PlX&HN|`_`Qe-Htw_QupmvMh>aeeNB~-SBf3tx<-}68mE#!ErK4m!-#vKBkqNZ zkFMolW(F=Rjx?~g$Dif+z=Jt4VcmB6Bw_keMDs%G{db)wRNjKTsLv*gpO;i}6+Y@Cf z`&^mZNZs@WI6}Ae-k=rTermJ5*oIf;=C?iR-gNuH2GufuUTD)*=Jtw@cK5lB&`lv4 zP>Fm)Rch*}X~(R2p+9Ivw|=$(-Q=q8Y;ND$;WAg|_J&WYJy&%5+A{fS6u^%G^fO^nU;V0RR7Z P0eq9oYZO7Vg9$eRtq9Ej delta 1315 zcmV+;1>E|i7rGaaPk(y}00000008#`0000000000006oR00000004La?3hi9990yC zdtzctA~De*62WBU!i5--_^}W&8NrBVf^4-fg!l$*wk2vyt{g<)E#F zQ!AToZg?=xA~e3=jwen&|J=zJpE+^j+5W8h{GRnMtn0n5@EDFJh&6OT2kwJBK5*7i z(>yhW(J&f6M*%;x=>d!blUo6qe^k@^tsk?#R_U*{<9;mTe9VuvbN%!FSx5DpH$|ePL73L$io(0xe1t zlP1u&^~|IYcJM%*Gi(x zv*9I4wDj9lyJ%Y6fuHf?f3}MJ-vWs|u^+cJ!BxL{=iNmkjrP$3iSCNgKHRwyjWpV& zWlJRdHf>2hunn{XxmPE+?h4(rM!T}026x41AMad=MjCA^-v_qY@jqMc{5yFhO|LDx z$Xk(UTi<9)|H{0wb8pj;MZUi5B7d-RCA#;WT-$+urI$@kd)WHgf9l5ix%D@v8*8Vg zr#9BlPmi>N=cnh+Pd7GCP2V~9%6&Ghr}z1$tQYNm@dsu1uO7qweRG8(Z(5{3yZKo? zPj^2M6syS326F1b_-`9mOw$yp?!Uo2ryk5=&QAw&>KP#BJZPi7BOT{-I=%jeNmkWK z>+wC>+qU^iJ*!swf0wPNdk!okFWLu>)KOP=4|HYZPnP3kJK#C>;N(g)r*!?7mEGHL zPf@AovwI#t`rOT$^q}02>;UVrn!T^6#;$t6?5I)oGN)APe#CpIqMj-uT_wL0Jg&(% zl(YNQ>#>UZZD8;7caowNIi!+ZTxjW$Ln;kN4yklqY37<{e^>9T)cpeUa7F3f^+;E# z`zcjMKHZDdx#7C^RZ@+*p9W>lA(e)64yiQ$Uh2Me%h`ui>b~8|$RU-wucuG0001Zob8)yZ`wK-fWPloM0`H2;{YX8X{NShQ@8H4qI4Hk6LMk$ z-jbL(PR}as#~))T;9?kCHr7=}NZ9Yim)8%raZK?1YnD2V+b)*O;24AXpg zRJ-oI*z;@8!)L)5aY2Q&DZ*!td@Tgiypj!57Nc|_P{J>i_GjgXZ>OJ*=kCLO=$w=^ z#ZJp}9SDJZd#+4gy>iZ}AWno;@c~#aKrfo+12$K6F3%j<`~YZD-62OA343fD0F}~} z1C-J9EQ(Jdx@4v$O00-%z*wiq!k`lB%tqwre*ma`8kqA4kK*<8LVRw~Bn zkme}utK#02U*SO??#Fl#09|Ev7k6V4cBAv--r3tI04i&?>uEIJ0>kMfKAvW7{|ETme|fs*VM-m6-9V`6?Ok{PA}eEo}EV>S@%@D3KKBX z^h1z&J7UcHn-TLK31Q845_`DOg7sF?#^?aYq~RqkB)Mz&F@)O^wj;JC;oAvZi;Dh6 zx)!bf!+1dqy#}erC`l4`ASW%{XdoOn{k9JWUcI@C32Z7`G7iAQEk`h-M0Prn9}fzh zYYYL=*6wV&Y#h?O5F3_p~jOT3zGXNA@^FQ0oB>NI5(>}SBh7?QlG1NyH&gosyMeQwY$<#`&FFV zYn^9`m9MOv+srfZPtDN!mu1`8+Iy;#HGJ%o_3o*F77L4od9JryST0O!spZ0QVfqnZ zxv*TAesNeXEElFD2g`-!!f-ZXv9MSePQ5G^77N4SvBkn-VK|+)SXe9!ParH777N3( zC5wf{!tmVBVqvi`JkhmSJYg&xTJ-0)w~uOqm!$R`R5o2_R>lh?CKuM8CKKK+nV9R$ zDy3F;*w3TROXtQv^go&|wQKg6@{pBcT#5ip(i<$v0F|le-=ef6;d^PW3D!Gmm;6pH zuK(>EcR%zmFS@<;;Z=@ceP6ceybP96+C`Z!#@b8cYsH^*W5 i_2**p3$F_PnmbGj;r#OH^p7I5|H&+6r22m)hwkenQta~p literal 962 zcmV;z13mn#4*>uG0001Zob8+KPvS5f$KT&yvGDq&>v$Mk>I@1d7(ET5(O0C~3iKQ+ zZXGAd{qa{j1O|g+zA&e)F`@lEwEeap)1fo#(>9I~aWebY6>@Da#Zvk4)d5U08lb_;<;B^&Xo*=Z%5As~xcZ#-rZAB?A%kDWgVtXDTWq5+AswxxaBEL|+3BO2mh zAe*~NUSNFy%dS5}AnVFc=kqCXdY#KwzkA<7Kvwf3BNAXvXC$Yitm}Y|1~~8S&;BWt6rThAXsK-~yObLTgUo)CDe?a|loJnYxxjfwXZClkkN*e0=u*z_B9ztVJx zO@^=rYsB|mzt$A6E<<sMNL=jKJoTAB`yyay{{}(dg2X zGZmRf=tNHU4;sdN&%;!vkx*o@|<4Z{cN{-kAs`*UVrzj%0#eR;z8Yf7uHE3MvET75%l^-ZPKL&Xn!YM=I?+Q&Vp z_IVGgec*#%@x=F@zk9FTn|B~n+Q$+`Nby>uRs6(+vEDvfBWk3&2N8ty#4z5=3i&O-rYOC`rqg2FS&F1 zH~svNT)z3s%jv(C-#Wi|-1zv9-*GMa&>zfZBKfU|(_Wj$}xBu1C`!C1y+wnYo zefkqu-{bWddgtoh P_v3N;`Ue2B(*$k-^HP;? delta 265 zcmV+k0rvjF48aVLPk+}000000005E#0000000000002P-00000004La?9^Rui~$&i z;Z_iT5fTRuEkQ*pPHn|nEW=v)rdqT&2OK`RCK=NiMLcis>;Bo<*`wq6?0CL7p0AGQ z%j5a_`t{B6diQaDe)Y-YU!Q;b?eXi=fBWj`?Qeg6y#4a&_Frc|-#s|K`rqg2FS&R9 zH~sjJT)+9t%jv(C-#Wi~-1_*B-*GLPY>&4}V_m>~vUR=Dp`>&qfe?FdHkLT&@ z)1SEc9nX^#?ZvnH-0VDzwr<*?f@uPj~*Lyeb Pz8#O#*WUrN)C6t;ivEp^ diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.hbdb.hdb b/1ano/1semestre/isd/quartus-projects/MuxDemo/incremental_db/compiled_partitions/MuxDemo.root_partition.map.hbdb.hdb index 33250f15968836db2ff818d7f0eb5af038c12794..040516466974ca474a2422d47667ab62e59c3b20 100644 GIT binary patch literal 25021 zcmaI619W9U7bco?Y}@JBw$ZWeq+{DwCmq}D*!GRpv2EM--2VSJ^VXU-^H%azeP`FM zeQNJhx2jfEp@V>cRKbA)QxFhq;2#?>S2uNXwzRh+W?^DrW?&{3akaEDA?9RZB4%c0 z1pc!y0jY{Kv8<(wDY3YTr3+B^Q5@_)CgyeilYz{_|2O}ab{hScdw`zn!KJB6Boi|I zi5#V&`Jsxx5*}feb?JGnIO8`FnVusx6$~OBwu3@4f*dA+s>+6~q{RFaQ$tl%)n&$1 zPaUW|dihgKT-+1`#6F7 z#Fn3np56xQ@ce=vf_3WBobmHRErNm4YwyKwgQl~s&y=8q<fa__}+#A?iX zZ7LO`4f+`se?Eza4}CKX!p({a{e4gtmA9B)P>BxQ#?j)!!j6m&Vm0l;OmaukTIhsk zmwN9iPy0wzPXmRO<(gU3CwLZvOB;$>iMl2QO zqEMALI>2jjGe^&DtbDk4%`6g2@iYgvhWUCGriKnMw6Mr7IKP{>h-BrNR}JP9P{S-U z)aS4LYRIoRxb%>xcA-)9xH2EP8ib`DyG*eN0Q4|C@r+MO*XCsl$Jlw-`dl}}*tch= z4!1uaS#@K*{h7l!2(l))a#B{Na=%UJLj@FZ8pCdb=z6*M`1w93F-wGiiXn&xqGRe834!X!CEmkZ8 zJ>nL?YD6xaG`hl|)#K);N10G|<`<17r8LB;7<6j9GZtgSQ|r=NfDfUShz)^_DBLwG z;p`R!<2_Wx70t89TKP=o9-Ljb;dBIk}TxwJmT%@uwz3@ds6-%=sw>_EaDSC~H|--G3TXCp{S^V5KfowIV&E*8N_4ryt8ed;@#;yah)iDeU4N^2X#orJ<_2eH{FTpIe5tbOADZgU)>L(mIN?DD=#$y?OHoz& zvhvt~tMT&MF~4d5wF%X-V@~(IIr3J?n(EZ`7bl;Q&G*$u`)d)T4JgJs;+RXnjRFSU zcg(;)bd2BmXh*lr=h5Jtf=mZE>Z+5eJw=`spT#SQ#y{ImeKyX-b%pcZUK5vVDhwsW zaq6ai(vQBwwDz)KYitz-{aOFcsOu4Oy_aaHqlq(*YC2t9%R&XT_%^2Y<6Od_`&0Q* zcha|JL5*-Kx$*4LaMDPyAlOhlma>1I>^N>mUavYnlbLN*m|i zuCa!(#9umvNrxCj%saw1|FPB$Ywordf3iu$m8J?QYDe2br!+^DdwyWB2q}D}C7ChL zt}8bAGI#1w`eS4j3CV=EtU?`&Q3(imZc#xxvnl)HA?T@Sm@c=XTVNI{r`PgK`u&`6JQZ z02$^*)!ixWbmcRNgTM@btIx8*AJmW@F*GFS0Qn-%+zI1c1X84hPc?)uzNDiU_OB#9 z7RgN7Xd*M`3jxB9&X@(Ony_+t_T6izr|d8*9lorm z3e2#;8xR5g2*;xxeBd1r#mU>I58+xR^%6kk$l1 z1gb7Nu(Z`8qZ2Z8Dg{u)Dvj9-?UPo(LG0bv3AKsN1fMrf|DQfXVeRiR<@=%d5Zf~6 z(=EEo+&5NqXnHW+ z%bGB?bYugK`mgv;#OK?5Rm3L8Lb+X^Oe{ok7k#2I4(jZDc9hrj zZ^1r~J_Cm{_1`aZ7x*Yz2?UMt#9okEz5@AWPz0TQ-`_1f^rQvS7>|rJ4QfBPtef}T z=XTG*yeb72v4)pco^+x=_t;;t_Z%P2n$OPrv479ir1(!ydhdk7y*3i+8xyuZ3uTTr1I33&LFitUGZP*0 zx4-CprAL)tpc@;G!+Y@k%fVbJ17q;pLA!+|zm2EY0PU$|_ctuQ8}8O0wx6(eSh@L{ z)0!a;tPC3ch^9u-@?}-lex-xLRYdc*Li9-g*F?9>bNkKV@|*umCFtcbI^|CX`bkGV zh)qVF_Es(ctp6|Dlllc(JACTuuDz5UHO~H&_JZzq50okI-7ia7VftHMEQ`TrW_%>Upk`z-3Fe#8%;i_+%4PteHC`!iYa4MEp#^$Eg zygmRASL|2J%FK*){AG0hvA)R#?Zf9yt>3~De=|KXAdw%4GM7Ep9BD`ZM(KQ3+{3N2 zURSyV+KSp6N*Mxe?D062SpVF)-W*`tHzd6zu>+vb7^ui`JKPmNFSlscyuN3+oa;-k z=~21-hDmT33opv;u+vwp5w6^dNuHl)5}7a(AwxmKu!609TazxO>HP%={hczMAk155 z;yg%ByXHP$X|q*lV)_Kn2d9sQAqvl8A0WeeCe>}GN|ZTDt%P8>`bis&TKlB1xz&(}zWfvFh9ROX+aUI}7y z7~caSfOz_8$KomM*aqLvuJukl1mhDs$Dy^>H>42`>zJu4rYnwy);v&1UpJDnY$Ib+ zN8Y@OYQBtW4oubL2f|h3!9-;S^U(}(2jm0FU~;ws#7TcKt9dLItMpAWV=s1;Dg1V7 zC4@bpOj`-JpOxW~YslqdMpKv6rdTi-hYKE5x2Jz4gJRzD_+fT!;+NX zLjTYns345LUUgF~(vtUQjSpMAV`k@Mp%&Lfo*EEJTyk?10DA5TL--xGV3d$K_#q^D zR&0K*3B&Vkw&3{S2MRti6qLze8A6O(%KPtWqnjCg&ZK9buq2c1eXqb zVsRheN`KNYCJf4^P1m4~HJ$n~6F>7UoWdO0VK#g02og8)0&EFOu6zK|;HTRm6#S#o z!tzFXZl(nruRPV@?gDXkND zb4MG9L6H#E&OM13DHXsSvBsoOq106H+|(E5h3KqLJDKG+FxTb_&6ehaY!?0(geKMe zma8*xJ(D?`Eb2mBf6Aj@pgRAt$V@{M&m4%NiyX+JS|vBzULik3ogrmT4afYw@;&8Y zv4OeMRae$N*@~l6EuP-1f>h^TUA+0YioD+Q=c5N?ryALz7>ZZh2%WU6^QhEM_#63b zIq;2A=4bRQ(7`$q8?yWHo}M~uD;CpyH5It}{{n1jJ0-A(kW={}WW#@yE81#{C zF0FVrsF7zqrJ43+6Wfi?NOun%HIiZ+&%{*#mg}CEuq3u2UxFM(V2>qaJ`lY?>;M7U zYY9oxYnhR^%{*oaNh!}Yu?>JJ!O7u3QTpknt$qu?3trNhV2(^BkRx39>;aj-yN5Fn z5o?Z22NW~{1v|TYT0@a1mRd8H#U-ZX3TTYoR8xO(5*uu|&_(smZ}AWz-`KeEBmR=( zB!nsTtFVvsMVL&tpiAvhCM+ds1{5>BEKtC};HUU0FOU^tuU z#4f>jdW~#2uR$tC*dP%G9b`yF~1ovLZE>G2Ix3nd!{FH5IUcgEvjAX5{vR@RgDDw}Wsi;myqbkS zH`?^L|KH5)8P~qGZ)gpF!-JI$*Z!CI-bAeh00%Rgv;WVHnI_vNeBw~QwK%~CKMo+U zh?NoPNyK~_KZF`#591DI<`oc^Di~3+5^W8}k%T_7sW`faJIe*6lz{6lLKf1=lgF2j)KK9OYf7)>w;>j; zMH9M-8MEscBl~Vx2cqhB6IMun*Il6=iFJeIt|LDJtX++j`l464EWAQo4=zb|2j{r* z8+O5ZBxMA|>tNO3y?0_Y=T;)~+TN81!zxH~RiKRZ;@J=bbK-}=GwmMkfC|nMao%Vv zB#PP)J9HUKwXkfmBfIcJcbvC4MO*=JS@>q6J`}}@7(zQir*t63-zsn|^b%Mj2zWhb zmCqgVVbH7)4gfEMyg@0%4UvemLM9L{r{Ma-D!(Oi7BvSI8;+Vk_+3PPbJwbAk_#CX z(g5`SiF8}r9Df@ZTve;{e|s-Rh9l(Y5tk=&%qUs%E??K;plO!!hdNGJDI^N1H;EF$ zH!?}~@L1xel|NVsy^u2~m1=1C`M$YUO(R@l{|lpBLDt9$^-!?Y!a~W82&CmvYr3A2 zK=!jdssg6`IBG9<&jxHqnpH-XJI4{X5og#gW{oROtAa6Ed9-R%zy)G*1mIOS3J z3Z(J@hj-iL@8jOYgh7AUi?<~2Z{WYJ472`?0FHbhZyu1nXL~r{)v+ZotAE|5WdYCh zcU*Ko-*9|7lojFo6cpjRsOYKhNITF3#zNmf>}hWaEp!Iq3FL6`~%Iv|*UU;~1SDuIG<`{wpGi!H$mYW2gQa43_tMX@iglVCIImx~8J zXlQ^`$>Fl1#s&s#>-vUmBe+C{XlgrcZkSav)5AE}$~~C%)bCHR;0^yh8iL4>0$Vta z;0&?FyO`X3Pg{OHSt|X0bz%dlOP|y@s7*TVQfOeMjDrY1x#RpmafpPVqvj&TWk4zj z0A-}A$4+v4O*EG2<`Js$?>TSXpK?zK*Q?-Wn)Q;d&%zmJ*ILqDRlloX_`6!t zZB>1g$*{MEAG~B#{K>iC#IS3bv!bEfql~$6&R@AfO1Oe|T@myMLqSmt$lo;~Jp&g^ zcM3xRzII4en&SxGxsw6KOU`G#sP0S$SV&=vwV7e`aWCt;CA+!3-n>QtVGy z-Vu@=)KimzGeJGV$;kfcQDB1x2l|K!^pWd2-~kN*3i<$l0t7{`pcl|*U*0>=r@)q` z_52%B1rE@!!acmdsuf^~%nMp9d<~ukIRjk1hA039feRtI#8e(Q1+YTnOTH8 zKEZSPn3_@FYdC8#=Pn4XW+J9dg+khcm6A-uYotrHMyhz9Em-r2Em#N$Dj<-7cs^tc z-Ui|?5VePFaS;Sywe}C-T$<#t*!cNI)u=y4wpdhyJ{ZCBkluj4{*-st_ zRD6ii;gCJyMN2uJgFdX!lK10pZ%8K@#WKRI$B+PyV13}qBs*XZ{uQLevK>T$I?F7L zA(WE+B*EaSw?qfDs-SzfAE&-1?rbWZPcfGUw;VtUKh~>il$VB6ON>z|`D^Kr{|*vf zG7T$iRmDMAFge#cZfDw?P=Rd@`(7SQH!G4?K7`b1g=p6D-;(5{9=Iei!WhZx0Isae z)yRUY%OxQR)Z*dW%Sdrtlx0_!jF;V6&BhyHN!&h+8m@ha`=Ior9MYzg0_A9a? zOi-9FC=3o&*|o%EJ1)<%eE1aEam3)wAfm8?0Sw=M=)DeSaAJdxkER7Mw-+iS%-n^~dSflyz zCY&Lb;0N6%Gu5Z8m&*;)%TU@a$t|mKgrG>;H|x3<$HhBD>c?j4AGzL%kIm%8TtsT6 z9@essetX~;_A%6x?kN#d;nbhQaVc^`N#@n}evF;|gtG8|bkxVa;(ja+cnTDH=;DW* zTkG-~IblSG2e;k=^2J`bmhMte5B9S9C$J6*z8x_*AP9=yi7m$uS}#@7Y_{5%tQ_yM zkELKTOYQjvH}qas7!f>`hh1>glz>egnyP59b1QWryNcOjd8l#+8k9GccCT6+**Ccc zd;Q5V90wo!J=N>fJ{5%3gm_zU4wEwHTb&Y9O<2co_4UW07tJlgRrQ6~PFw$4ymQyN zX-T-_bUCR1I`QQ0%_ut*OFqi_EQ83(QSl54X@M_5W!Ux{+X2?c?_hVPXmBS}gTBqu z?Jpe)tVjCI%QIxk$hB9gCzJ%(eUxT(5a%)M4bIZfzAiWbr%(0$1Vz^UUTNr9!*-L+ z$?onojmy!jX@$l*m-2vrr2Vgz#|%@>m}*UDC`?^M6F#azX(b^pXG-V-6wNkl+(BI8 zHp7Eu=+?Yn+mY;6vcuGZ^d9?6wWsRuY_O^`F$%#`LAQ!J#v#U-M;F2I`ilbn6^k)+ zdVF3Ijt>cg-xc#Nsn$P8C$X=GEFr@F7}o@*S|n|2bNaVowLYUB44BtdhuOYAe0z>! zR1&a45Z`wKKOg2FhA`fiw$-{nuwinbsB9w|6j%gf&=22`VXPzI-t{H7Rtlq{ZFHn( zs>58nc3ytWQ^!_th>wNKvFbn8>D*0e;$+ls_?e7l8>ZFBF7%tWsfn=Mt*A`H0HO=2 znN-M$8~P%|Kx>$s=Abzc(+qyT7s5ZQo^GpB%W*6s9?$U(bn#vijp33sQ6E;5zca)# z)!G+f9`DhqN>CP!IiHpX^ODoxF}UWM@Q3c{PIBl{zh^?s2rH5!3&CQayT&W7Z1R|Z zg+l9(6M7HiPeaS8Zx0g+K@$(xBDs;SXhSIM4#Zc88AeGb zQ#+@>n8LQ}A@sF8__OXTZNIE93?jeAQlXfh;Ab>P?=;D;0%=nDJ7VDrNF^+VFI|j^|^&^1W^w-a2M)jjw`|6t{b;&guLMaJlhY> z9&@0>;ZMDTy3YQmde!es5%r@T;xeipU@R7Gpo@JpSBG>; zrAQpml-u4~xl?MfVf25Dt~=v0V6F}jHv@?NDgB9aU&H)`0onK9-{o<0blrurDTuqd zH=^5`5Bn*T4P|(@px8{P|4fL7eRaBPdb-m;C4A@SvE#j-{poqFFhrhn40C@{3Hzqf=c zY~#2V$XOXqgXv1By~sr76$WJF))A?yO#L_uLv9z|oTu%n&Xa4J@_*4RYZ9(PU@(WT z{1xa9r`n3L$VHwF%KakncciNJlcrLoRsiHIwZ}_dlCWZ6u_^C^g9=;24I`*)!bEUCXvJSY zCODeo5=Q9vhg*veZh8I=Wv+MJAbl3P>lzz$yS77O{CAjJ*;emPlpfLQBQFICcwqQ{ zO9GBS`TP|}f{w7|`(e;0s|J<Hv+25h5R9F;N7;Z600od0cqkJ{Pqj zTutTiDh&FTIiC&%psqt+b=D#?JX}lD$&qEIvLi6+*PBS~-4^xBq;dcU6rOb!=f&}X zTa!fhIj)ogxOH!*cp7B)_Q{*(FtxZW?gGb^sDntn)JYq?RW@snl_=9OH2U8urZV~U zDOw)=jk*pB4!t@Bj*Ro24bG>82<~fn0tTl$qGOfh{Ub#atf+FVDdXDht*$56^@sZj zIFb2_hw1$=TcR?&3SW<%hHv8Qpa3=Z&0W{Alp5U5BBKr4v7`A9!mbXwuI3(V81L8= zCoGR|9y%@ZAXi&MSev!qI>J7K3GN67etY}=J7_c{$5O)I%iBlr36s&aL>*ofB>Jw8 zO7k&HfNGF^)Vlf#r6}S&inYHh$McSpS)OE}8hY*CieZ2LTOG6IF-fzG2Y>->ptD0u z_jgDG?H(@5;R@^$(hG{y(7(57gkNkEL1>vuasaP(h!2v!lAaxDo=@>kXaekik<zrTB@Ss>M=_8yt~$6i13f`HjeEb3c3fQyKs(=V>kKU;q-R- z!W3qmuh-S{hBabl0_kIlPIs6f)Hj`w-u)>6s10V#WZHO{X5$&`1x0OTBr`n5W^>~v zGWEpKyQa}Sj3MW&!PQdV;#M_iLx582)&}7rVL)Hl zYq!DDFK%Y|#?xU~%<;UHEY;IrF7_Mm9#aVB0K7N&!Or`X^1Lh;yxeyge@R34kiL1$ zeB<~i%jPQ^?d?gM10CA-=&lyD#dGQeb#l9tFXCBZnF$5@Wm80z?*q2Pp8=@;Kzj&@DS5X3lpElSJU#ce}i#M^!cnouK1^J zx;~kgd(9IFch~T)Fa8JQa(FsFJJ&SrDAbbU`(Q0fgCDhBuO7~YTrV37)k2tq}mQ8Pi>Xd0lVQAjC1&~j3VjZ)@Z`X8XA6`~jXOQ>zrBN&v3Iz2f zlMN;Mz-YCVL%XbB5}BI>^ks5AO1F&KI~CMG@Z`MoRI6_-a`#jnUhO>^5?E{>&uI$Q zXE;BS8l#`6uv^F^C>ELZ zbhRh4Eoa98Sc(3`Sjjp(ky=ubq>*A2US_x72V_L;4s5{c;AClHK| z#EtMfh1ZC^*HeAYSEQz9iHnC1ZL_QuLD!#Oy|yKeKHv~*pu3J5!37n5ZGNzq%HuHC)3m-6m4kb9VBaz0 z5ATu`ix|^7S`(V7@8~UKB05-SAbCzf>sEmFHrTVsrkQo}-R^#=eTK7rM)35<7vc0y z4MV(*g(pX)Xe!qcfiuQ45^@jhdC=oq&yaPwCtr0_NBuikoI`j{uGK~Cs6FKahJ=!T zamf(-sy`S(CkInEl0ru)2Ty<_YWMV|8~z(xU1Gk$o4EJxa<|LRFW6Zwf@k= z?WWJc9miBzk>z0D_C3A2vY9g0>7}@`ictIkvF_gGa1f<}U zb;UxAojvKodun!|OK2uKi?fo{!RjPOd`utrt&mk{Wdn!XlC4?tMAf$0qq8bj2?Xr> zg8nOpFdOb2zrZQ++(u@4CS5bzDmzhPN*f+C^@a&OeV=Dn6nqq{k0%H3879Es35Lm<4jrm8{bC`n+2gvg+Hqwwap zyticYA=+qTCPCAYRIV)DoSoxBN?=f|#LZjBg0fC%E4sgO`ZK(YBhJq3jsnA6&Ph3a zB5cgEU&;8ag)!QoYmV?qVu_HHES|u%1foyqq zTC75y5=RAce02`|EEj{v<=^oMZrCFeAHE67a~=$wIQ=0l)|fc*;3_?mZ(fQqaZ?~l z_-K4P=dS#d@yrUVeB@LYxVX-yc72SL&y{X+OPb%?0&3zqZ(gZP$J!t>T;{nnN|&>L^>46e$!#G}#X8 zl~u(g<)F>G5x9GsI0$l5+47!8h|SU$3C?E9!_0?DmLAQ0j;)F9rtxmn4hDwDeBxPBUrk=;_j7MJR8EWRL zta(vT@Bj1cP$#zov*B+R>J;Q))zkspjj9Nu9yjvvonxDiU0&U?fP?SM%Dt-cV>*Z8 z5I{ruY8*XTy5pSgPps?#eQR%0jf)3+kUP_7Z`n@g6PO*_sSlVlHt zbdUTkIlja=Zipk`griZ$-b+8teW5V=+hL>}_Bt}|0OJ_aQ`XPuL|u)TRmi{sqP;D) zIwm`wEGxl;3_%W8%O!RxnXZ()Ndq4P;Y7SoyUS z%C5UA$EiEkqYn(GU9=U^v~d<3#*K;4I!tz2A*F<=Z)bQnSRvWLSM_i|l^=VOtM>G5 z`YOjM;n;{Pt^unmxz&LGbC!i#(ejWYcd3G%T9W+0<@sI-zI>; z*>;B*`S6D{nx7744&S23`KjJ~9&5#AobsJBU?;IaX+3RpX9N6J}+;|-&@ zFq68D2JNU~+?TnjjOdpWcFaCd`c8(X5K!C#fcdhmq_kPI{q%>T5Ku@z)Vr<1Q#n-mpiq;H6Az zynRXuXm%Ems;It;320`>-X_1Q6<{P~T40zP*-%8!1pW0Ib=NeY8itRv36sHv1Gxcv zNf&j>!XmVq#M=Ctl$|Kqq3oBcu+p39c189WSicM$Nb zFN7TWsgV|2koux#U}^UQ;`UAG_q(D0Ss?mkS&FwH+GRs$7enaE%MtCY1=-_c1NhKgzo-@hg2Sv#xq-1pf6rcdxC@+yAI z|0GVQ=NA$_K&5KA?B3T>g%$4pI<$%opV4L<`5$`n+4Uc33M-zkv&HZ4j=A-Ax2Udk zWVU8l2VaCqD2xxn6D^625+b&vI2~;xYFzNjqq*1AyzZB77%sW@Kw{^ncSQuWp4}n3 z0vImQ4V|RFx_^<#t#>}M)1ZH*{zoRuRG$=pk(%7dx7j>XsTMg(S(5%-DXBbLS88D% zo1Cy?eq*B=o2-I6Qli4=bvl|?o11b-tg`=^LeWwI7xVTX`*JJqi~^?FoQ=aO1gFX~ zodO3mE_R-H{pP>`WOwMT0Ji=a#A`b8+TXc864D&XYG7P$x}0)0($NS9OMJ1aeuk*7 za1P<1TQ0f)(_Q8tp^ohS8=CEm-ywP{qr1#KSog-$Js#?cV+Rf!Mov28c5!-G5?r_z z-_bSm;zg1Pc&A-zk`w9M%xa&z+G?$~g+*=ODChj*U23{;k=Bq0MRe3#5?CsNoU3ur zTN9u>Hx0h`)m>^HgV`WtuX-+@mE#&-@i_?V*Ii8)`k&Jnro4YedW%<@d9)n=LaWx{p*SoK+Ol4z^; zE|l`_?swJuZre4Qv~|#49nZl?UBbV$_g|)vNx0YE98bZr8aImkmG6>S2_|=pNV9R} z{Le0EmipWik%Q5iP-5SChtzOet!<%{k(>V=5|EWj?QkS7_zi^W8QXU8w7eKsTjN>J z8oC94z>u#4uMaDy`Lb_Q0^_#2s)oHa6`Ea8B?|ME1jj3(BhS7m#c)n&EB$P)yUej_ zvUf1Q{~_2`>)cv*b^4x)YUhZ=`KXtn0<65goXYhH^d~IS3Xtn;bmU;I(bv7*rv}Z* zy`6p7H@UNz-*=JvA4Lsw|Dq6`jeh#7n7gkq;8>y~4U@YAR2k|TdF=j=3YIM4_J0JE zuhtCb_;%-G;pYEdYr4Q4vPHFnbGb7lFaJ)2<%ZbeW_n})ugH~Z$N67f;4pgC`mcp( z>fJ~GY+R0hf&EiNbk%z0gBHZp|99l>Lm_0oc{BE)ce;HTo5$N*qBl-?Zr6kyMtYb0 znkzD&Xm_f28gMmraOykRJk~z~M{=6dJXWTm-gZd(R}fMEkCHbO6uwTAUN(Mj?&Gs{ zcdK&)BJ~Ti(QQf>ic&v=P&7ikyX`WG>-l3ilc&s?>Db}?OLT>hKfuAS8FnuiHbdgI zC|e>t>r{5{eRk4VEHl9`$zatj2|2#cPRSGaE8-fa6hObz_E!ii{@u~cTnfDNCiHpp zhg@p&m4e#HuZ1U-S3q{FSt-;{f8{HU$#*RUWQ;|qeIJRh63E2yBwUSYv;)cu&JK; z3MYc!Ww+Rw@2S6JVdmT?;31M9a&d~UGH@Z2J|2u-+t>5()auLK`Qh$A3Sb9H4x3f= zPAye#RYdpo3c@yoUO9m+?d`vO08of+vb!CYFZ;WN1FVl-DqyA>kv;YHk29Jjw7#;C zJ)e}sDJGAA4#v_be|iFI6~OXt=T08ncoL~`sh=osoW2kpU-y|P3yA$iLLs|2>-90qSD>Wz!G-&G9_A+;+ippb|vzXbA_NTd^Is2 zo>&?dzD!`~3QF{}w(v=>aQU2*ePnY^Q%-j*%-s}Q>O2JLjr@lcNf4~u}|{B5Lo(oF|!6)QaThbpkSR@ka#B*1SzhVZH+KBNE%jG;7pj9Q@&2QXM( ztI1E!|DwmLG13zHw_`{?Ho+b#-W?&k?UbqIyO=%}H?WppO$n>mrmt%JtscqkWkCe| zcpLEB7r^o$bi3!KoNnaBY{9yF`*lsLDQKVWv`@xP=GIil9fdjouPB)3n(Z+%+Y1nV z-lgrz8prY&UP2g!93387D}eT0frNdY7AGVlaU2`hx6jB^W@_ji@=#RBsPL==WsqQ` zRWk6~`k6d0u%p0l+&+P+;omC+#hL8!Q11oPM5U^1(%g>l2_ zc$+k0w4DN;@ng?xb0`y-y@Q4jh$EjIU5@H6R>^9XlI1nWU2R!I>oqu5n1Is_nzRPw zGHSJT=JybWjx9fGpa@x{MbDSO6lbYv0#RC{dCdt}iknmozlGOU&A=!-H?Fjtolvvi z-p+kE5`Lq#T5-u}kneKgAE9^bRyMLKJ^cXriT^&(aYucM=&JA_Ct$QhxKtEk4_scl zal1X8(*p$p!#O5wyJ&8gF6B4sFCp39*|#@UK)K!UWsYyMg67f{q7}uBZMlC`5K}ZB z@^DN^{mN3fy0hMrp|Jv!cE9U}v0RRq!I-O_XtXc4B>`}PYh+lkYjMt9dN2doz=TlG*MApZ6ZICw(q8$|Vn|qhucaF)6ibOXtlbQ*73L9;PTA+$tjhE50 zYfN!h`ikJ%&MFn)peq{c#{gi3j*H=1czzBOmvq14m1!XzV zyL7jn>p$TaVHsB2MydAttx``gp5tg9qYEj3t^6UiaK}2$ZFN^nLt5|02eITHcxQLq zY1z3VmDMfd;MzX?hbVE3!MfV+;+%h3PICXqq?7B~b;_w_`kSC`wH@A$vK|4sU!m>> z2XOBZw?#m^+K$&ZX(vrRc6LjR!JaoX=@y6ltIo-D&9d-bPCEzm#iOnLLRPtK>`vC- zp!$+09Y1cjV{FiMZlu)QM`o_U>GBgs@yYz#(PmQOZY~{~`<1NnJJIMs_M5Wyyez&!HsX& z*@;kPU#RnLQ`EEMPmoR7D$?>XpScKDyyi(9mQSB~&CVi9NA!YN^NRSsp^QoCyS*E&sguS0r~dtg@j(Hofev7A=)3@24G^fU&JV1eR4pu0DZHfo(!*{5ZF6UnBqq3KOK)Wcx zxpdMlMaO7Vt2psI>;X4{&?Mx(g-)DOE-HX0xqfJtWW{rQk>ypMjq;ef`kj6PH8`!4 z4@}-lKuz_+7O5-d^eMqc+Xbpr)KxBWA(C7-v`exQS;xM>P3aJB)@QC3rRHmhzEW9Q zfcJY4mM24Im}=fePEM&!FXM{Zexj~dSKEGYmj0~Amc@XKNC%bJVQ}TYJko95rdhA{ zTYA6}FxprebJ>|@m`cq(YzJ#@?;AXaO7@58^H{Yk<%ig+l0G)!jIWm%nD zBt#M87KJXEpSt~wRaoXAfirTO*^2@)A|R_f^!M#+KG6i0n`S`y^fj+&LYu3SeXUa3 zWJZNLsB8Hw0$F5oE#LZcG_Vc^+)k3y;8!3Mq2N#ae$ixthB`jvFZzb1k2A6JlJTjR z5ZjJ0$=g%F>y=M?b!UMn_w$p0(b^^n{G=q(S8s{+8h41D_G!-3N&90oNAmLLFY z!6;hnJxs@AOcW|1R3DeNq2m42l)3JaI?lokA;fGeEfoRB-Y>b$Z-W5mEhFFk!D$>q z&^48pm!iIdulSgGrdOHuW9RkbmLN+DAA230wiR-48ffS;)<1$AEZwAe%HSaqm zj^Vl|Fr9`m$9p2sCz?`D;K7u&%17)*`4{{2#nVTn&cRb}Ot6S<<3QVGh24F>-F;2l zOgG}a$8cm!P-hln#W>Ao6d3WMm<;SDFW1L|-92w$%+T^fQ?y-jH|ViKz$nz4^F!I^ zt4OtPEw5VXRpY6Ld*Ct|`J%?LZP=TF7th_rg9GNTEWPGF-&pAl`;cf4u{puGQFE10 z8=Uk2u4p;6X%93D56;e>E|;TIUT@_KZake$cpk*~W}IU#`KO0MsJFAX4i!;#bpVD* z-moU+atrtE*5%)=-M{`}-@oi6K6wdVbOH^Ubk3nmpPF8GsoVPKR&WW z+g?9)^H~>c=fbuSq|*+zE9Bcm1QzsiPbOMin~^Y;!Lr@B->-yFu8QPLA=hQS6&Bo_ zyqjdb>=$Ic3>K0$4XU!&l-Lsm6xoZA)a6b@)l^ntq!If!B?1xsQ&k!E!~g*qE(I?;Mwpw*$M~3;buJKauS2`GvZ1eZcNyo*9(4wuO9~r@2EK z`W{8D3^%QmpJMGOd|{8T&2f~zz~{@OyS*!@AEy@3-(1)S1%NL35$=Rv|| zFZD6^2~Ebm!TeAOpj zgsszbPep&KQLZ8J)^#76y)I?beEmXuBNkh0=ug!C089qPyn43HufKNnUS3*5z2)g1 zf5Q};6!wF}2oZDP&rvHNkNK3?-gWCR&JyIV`@*+tXTn=@EH-c`FmNe|4Rof@GxU~! zqTzhq+c?%)KfVHK_nlt9P=5jT7;~h-c%ENU%cxj9I>KO-55I?Ab7CNjYzB7GHz8n` z2lrD|f=C_ry#s8ny1OBoMfYu;%ilM8es#BVXa;rA2@GGnZh_+HAicCg%R3O1+~m+$ z*wh3Kc?*MGWEnMKT~!1@TtOj(DJ~218m7JrZmYjQ^`9go>Jok9dDqIl+&uaF#dLjx zW&Zjr12uZ&byLK*nlj$P3Ldil86Rt!2$UX>wW+BMPTm@m_*~jCz~KHnwoS%oU2pel zgWRn{#-~qjH<387q~#{V)3>=naE>>LR&#^?1}QJ3KUvM=(vjq=0PaOa=$GJ`eAiO^ z*Hp~A0`INh-rudGzh79}9Wjef>cV>sYSYUG5YbbCzxqAiJoAiETk!0=flUSFe8t*0 zHgGEN$2nenIU|mnxp0G8{z5!IGDM`|oyi_uLOOhVb4s6Vp44sb>TV!lz!w>rCbzp5 z*gwpwnf_b}UEu7at#KiIoN(ZI5?-(NAB*-wKYl)Vo#fk;Wl^+03c3o2@a=xDMtk$N zL*zRA@r6XW)_tY^f)rr4QKr$0B*s;^3p_}zaVm&ypV0u@5YS(0RqI-e?wXAD%f$O| z%UkolL~I!~KybNQ8{TclR7iOD)$Q|%-h@0?S=)Bkx`x-__c_s)+yV#Df6xcGO_bwB z%8jK?C79O`BB%+VadbM}9MA4vPgJC=v*F2L*z0oC+qm3hn94-b{!p(GX4$ly%efjk zfC{P9ELiYq!zmXs4xtS{Hq`>XmDOMLI#=o=^@s;Dv~- ztQh{+jI1roP+K6EQ5{I|XV9mWEv0V@J$2B%OCSimo#&Gl3Jx%Xl16b(f7lZ5w}f_C`EV&@So(6u}_Ef6LO@PI~t97>W-E?bkWUCpF;f#vr?S-#^Vv z#WZMh(=3Ht!p4*w)L>fOghchA=*3g}$>xAGluaM4=NecCrw|%lt=&}Yake|dPP|^k>WbNZdvGxnce!o{o%1QA3-nCIbVf5*YvEi?k z;gtJtE!HyazRh_$7ao&5nI&CvS<^&BW#*z+*OR<_C7YDYHZx6c`hpLC7I;L)E!}n4 zX!_C`PUxPKonjjfGvSqbOyEkwe~=ZyUR8)ci#$y#Y-kVs%WAyIrTg{vm&=+= zE)OU8WfGbrMBeg$9QpWtL@{Z5Tg%bz$E8`0^`xg~i!71SGd7BMUpIenbFaYNm8P%_ zHeIq*q(04%-CtZeS}Xee_s*kd@UM(!gs*OCr40+ijs5BjVwP+QjeEma^L*}^COmz! z?E9RnGVT#~V}MX!KmOVo*$Vr{@541dkw>a|+>l+?qT?L?t1!{b&}X+>Wh72uT5Y91+Rx(#U-RLoowF-D zTDP|h^O{{e9I8%IooMsj;f2e>-J3{@1N(CaPd&kgic!6_%(D;MKQ)UNe5?C<{O3qc zlL*8O{1VZ2`o?iK`}H#wkkQ94b^lS!%c-SRDbt}>W_ZeOl%N``C}}+8_gG{~#_V!l z-QpErGXq2G9a`~LSk#+3Eh1jdei##Zem%$w^S%Ag_RvUKYexE zWcm@wTYcO9rFsmf&DXE%^$RNX&5T<=f-D#4X_DeTmEvwO7PO~Ee8KW}57u)UpEltnA{e_hyo z-ICx_QOh9K>%4iyL@4tdHOkLLA{QG`Jr;BNhr{}i26fzM?R)cwX%CBbzU;I9cX_x~ zehR%4-e5o8Kgize@h%&ZMGhknlmp<6QAqq>J2vT zsjoNAd{DS~bt^UVD_;*Fv+?;kbR*Oyni=AL7(gcM3#f1J`K`TZ=s)Nr?6bb48h^Q6 z+tIF980@)G<+rw~*Y0$UhZo1we7M#~?4DLz(w?2N_K0W5i5XeHh&wf+QLjb!y!_T= z^=eZg5B%!|#v`VVd9IoXF;VF@Gd9IPaZX*kTz!+h2ZThE&|MKB`Z$#1m`j?iwiqPx zV%jf0`%Ku`n6dt=+~O=5$vQi2Szodw676Evg&0q(=Nwrfp5VM;_vq}6TQ1QfNl|@C zdzBWn=pdJaMuD9N0z2{+v>p1{gt$ns5DW5F^my<;K0lW`Xb{*DwV<8!L+zUvcC;+E z>ilTkPZ8K@Bn`JFUo5j4s8uDiXlx|!J$p96GOfTaDp!WQ=(J?DNZ9tC4sVx_O`$HP zblG~2SRD^$xL&M|x)&~hAsKnco*f~}1t(37nSGLWxL;h$pf+Y>otEPHG*I!4NoPvQ zrY(dP?amvupT;oG+$A0^Ve)A9f z)b;d@dGH-q*)tG)+u5$O2 zaj)L(Pk&kaQm-gu%(ScE1E;!m*XDJVaZ9rxpHSW){OZj$=HV_LCV~AQ_r=yEOOz#} zy{iX|MlM}Nva(O9jK(Fnz&#>=Bd!WZ1@OP$O;r1pH{9hk+?9G=Mc1|ai%0jakk9uf z(wl6(JHxS!`F%B?UH8iFEY=i;=7+nU$csOGH=Oz#@l#DzT&$F$>>dyOvd7|`&w(M zHep9G!GT||Oyo6>-^p>D@_uo&gGIBxwd%%8H{;uT_Go+eLL+)ie9xGlw>Ao8IFi3t zk)Csxj`mDc{^?^!#v8xRz38LoTr??X8|HbVQ#!}RvWcZ=&g2&|oEViqNGtBGD%9aA zT3OF;xSc%`o07YFel2+C*$bX4PCfVT6$xnsR35Hynnrp)EfW27;0*7mP;EytiSAE{ zSBcM*;k4DfEPY=WBB?fXy_DgJodlYMH6C}9KP9NRtH}0Ct)Y$rYoneYux#H)Z1+7=JN|y&i=$tk?xGTCB^Kj7$ zs(qu6(16Ccg5PEu-%yt)JH53{)%+00edoG6TT4cErdsJok5fCmvmcfl7dtDz&P6kw z3$I0~KUql8x+d9#{vj?+mVNzm9= zfacJ<7?p5P;MBynxV=a1@+BGUV*!)_?Ivf?pO*a`@%%>*sw#t@x`blwO!VE%<5XuB z4KLllXN#~J7;5Kge$~^APKy)&d8LTx@oB(KeY3J|W^}0AXM!(ly;sa0*)a9}s=7|^ z=Kvb>=)D58L;C)tXqXlQ+X+8(O1u1?PpC_%MinX>qTk8YThRPuu$G1x&lgG>CGJah zw^Xz^UNr|5=_L5#Yh#O~-XxCRU-mY{Er>_nd1n#LApR|pN4Rx!>ublyHSrc&l9)u` zZU^l~^=7K;vQpv&;ctnXVH}6X4Q@4Tg@yB2ay4&V*brZc)4HBe7nU8jd2+?_&-i?~a@?Mv~+I8aI?!#}F6H7=X8o~akpkW5NdS{{mP_FYf^ zq2^*bu_iZ0AE*k_SWT|XCxb&f)90<32=_PJXOadJGCo%pHO(}=7GVZMjiixVO|hxh zN;ebkv=#J9ggp?FSa8OIi2F8cuEab3P5h2mc*4Z4TPFDmMJc*TtyT9b+E-39hDJ87 zd6~}r%Jc}QEiEjrR9Llo&!vj2S2wrxFO`S#7?p`_H#KQV$sN;nVZJt=SJJ=zX@zyk zDDu?V{`5X-?C0GnpL(tRu=HW>y=Gj-Y0?!Q%cjYnHv;Y{>~9SZCK6t9n4-5g9c6|y zF04Hoy3A!-kN-TYG4Xq5P2!BR0y>X0z&5Ks$a}BxRTTHT`EGvuYwD~BEyM6kpqd>; z25reH$_ng|UC}(8B2x4v5N|F+J47kq!#)CR*ir96SzNX|bdxjyGJC+0Ngdg4&;|NO zGB8ZQtS~YMw$Atre2Xvb(ji4Pv%e?$@0iS)C_HFsB^Yd0v zB?d6CaEH9e1qhlTU?Yru1bV?x=b>P{m`qtL(9UM6Ogjyf!%+IrF}xTPEY)!khPpU2 z3dhEPXYh+M3cX+y?g*Ti0ZQT*B{7T?tN<|)Xk-(0hb%}b=OYw6Aa1$ZM*x*w6o8PV z0?K}cD|A$@HV4RJr;TRiFaue_#2m03CMtyyr5LeMd%!f@A{x3y#wua> z&|yYcb;?m*Vgg_g1N4k{f=u&^u68-#9&F(Rje#=ChWY@i0ts+r0!V>naY62$ohM;F z`L~RP(@)Xp5ZO>Y;5;rH4XKhXlreHZAsiVG4#Kj`AYr`u37P^$nh8(o*2J5?y!9`J zb{}MpPb#{yi?)ND0Tc1R-Lxn@0?1fkmM!Z8SdBAxfrQD@Dl}DeorDb>d#}UX4Z1`s z(69ePaHc?orU7ulP)2r-0AqF^H;9kyu0lfqL2zs$s7m4HA8rGgaUn_=UEnr;@njhZ zY{GFVVR(RKI58On@QbG~niMQA@o#oyBVl417y_f}Lo9gT6PS|}BtJ0;5M`rwf$KP5 z06Iq|Dr262zA#Zq42ojQPmBXP*{L5v;6Dfgjl#qjP#Y$C0&|waf%^}5%rKvmwBwWk zoR|xAjLfZqK?26`VH3z2FU5{(16jxx2#g3IBaBP~ZD3jYkQd%ung*jt^I_8g0d`b7 z$c}SDLmK2Za^#Sy*^0jcFb&5t@;}bVf@5<)b{NVSqH9eG!%ykqMaBb#43t%0If?}n z{#V8yL|^7%C<{AgN(x{4O}Nf1*ZvQCVSH-20R~A~5x$ey4ZwAJd8Gd^&L2aTf7M& zfJQhm5&Q~s(1XPBTztd~;4pbZrHm7CfAw#CG3K$i{wQzl9|)$XV9o(Da3mMF&O7~w zWJk6OBuKVU!6*YBbnk-Iu&j#^U3bT6T9h^cY%DO%Cd%2~KcVa|Yzk@Moni#=@abt` ztT^Jdz50KZ9`HwaU@;7J2~x#pAIF@dqzDX?K-ZYbJEw%PPdaLpX>35XFg6p^grP3j zJp)+TeOw_%Qh-G!9iiMcG~`Ui!-)ytVHnjMI)Pt2fyo3=><&Gk7>@Y$y@A`}bWKU8p1bS9uJep0<6K=;4rMou^}3p9jL4Iu;t z%TIg`px7Kff{XtNX8}%(2iIX#v;WPKPl2spI+@9}bWNpEJ3wnPQ3-MgsAX;%QE_k zGJk?>ZtxGZFaK3ff0GlFq`Q+_0GR+JFc`cj5XL^}s8OOZ08TKfrCkoN!zPcwoCOSE zR0D_!zsLfMI@bke)3=_Xf?*B3ri?)We;f&&rV#mwDS!i;Loe8k^F>3_WTZ0YB#;Fs zG9uRI-SOpxw;bTalz;34Wel9Y&KbO7?ybK=jS0gau&G?8H$%5(GWfSK|Bt!$w<`(Y zV_236B#Sqfq^VM*1^zEubJx88M{5XTaHJ~Th74IOi^zw72P4H%Jqhq&%jyCLapnLd zOD<5RodO2o*t_8Tzv2zDCQZncoF&rTn3mNIQgGb>BuO5iSE-#Sg`0QyJ-COP5hkXB zOq2~K{GKNxWu;zyZt!2aqqhJfB}n|=;!Gb3$NNfSm?=m;;(rY^biymbpF-&Hb%odf zsxa{pCoq>nZaOu8Gv}xsC4B4JMeTFj4q{t4MhTxWNu{zb4U{3ElERA z+y$^Wpd2UWX7>nmh4~!+hwBh>4+6svcnBjOfJ?Jo=zzpl1B*bTNqGuFci@*Gd zu`IW@^@9IgpZ_bv=&w*F4tl{TGA6lEgTmP7APZjXq{2rq5BHBT2G_9_TwHL0>j)p2 z*6Bq=Dl4RmxA;8&cY3v`Bz7bZRiXJFLxkO|&b27?2r3@8oa+PWA0ZAqb?Yr{3~&k`@9Rq<G^gD-1x`HO1tgnMDO&BV4S&8>wwXYcLViVjJ50SGL)) zB9+sm?fE&$D;q<=qT=>>AHfbn;eamZy?yxdXf^MrYn`TAVx_Y&pP^%Yy3>UstBkeB z?du;nl;~FA&c5;TKHn5px!i}`IPA;b)ZU=9e&hA<<_5pq7RQ|kd}E)A!qFA|=NT&& zn?Y!$h6l{y{jd2$lbtq849+{&6@B*Cuqv>YJnk)bm9OaiV4~urFx)3WJ+VFgboG_9 z_C{3)r1MQ^N$Vk*3VC3=S=4o!67}7 zGtomSTrb*iBHjsoo=1gfhY%9}Rj~ZyiKc4LBX6tb#C&&V+{u*~E(uJt(=D5C;_iJ_ z2NN%CV>WK`48~A9gV6b#+i92ebwk3B9DR31a^SsMh7`I&ko6O7#j>SjGSxfyrV#4F zsg`5@zGR-9^Df=7?)O8u!#<@mrd*PBd{cImQ_mkRwEl$@%aQG9RL{@oKijn%I6pdL z65Ka4LVX>VyYeyu()fU?4gR=E6tSoXAa`u$)9MDYj{f35dcLs5#F5|?_!Mv}h`_ck zna0Z+zj#s+!(W$o0>=Pnmc_W%Dhs%&du67(5H*$+#N%6MkdoSMl=*_ott8qh~?{Pqa%i_w$Iby4O zIetImueCy_wIuTwPjd2V)&_{>o*Cop(cwLYc>$Aw_FSm7{gpG;Yz`(yaO$hn<9p2) zdgE~IZ)LIrShbnjPjQFU?8e6&ure?l9E34OX82&P7z)ND46rV%=O5;nu~zW8dV1|0 zk(k|tYK)j4OV}Jcj6CJce)^4cnhx7v-j}Cecwg-Eyx59Hw2qEmJS6{&*NVAKmqkE8 zA{yo;V1}r&X6Jz4n|miz? zW@fAweC?AM5MOuVCv^Ox+(tAK$?SfNQ|t2VGtgYm{k6)AgQD-}S9m4I=nr(4*emwPdm^I&CFvujgb2FV*P-^aWP-;G6OI{+fNXv%Yzf3=fCt zSE;)>s|1HqqUw=+bWC57?1XoWht%Zi{G@%1hYX;j{Z?#3^Ag-Fr{{h{$#y~gB{-9^ zm?QJE*(yT@?Hjsx7^LqCQJ#Mfp(M-|cBvBG z8?*Lfv?JaJFlvCa#2-&te_Bw7n_|7Zef*q+QMjGSN9v~+G~Lw8W`@^ev8~`S+bcxLjIcW zxf*j({wRY8)Aho0f$AkJ3V!dM9+wWY{0v%NNXuh$UHw=B9em{Fn216#x$H)4_&EHVPC9Wg-m8rd#-BKK%N4^mMaC zDVxFR@}F9%SKMqXJz01+pMI5pls~m8|7>2r?VJ+IxEC_xYu+uu?{Pbq&qVq8Mc9QXX7Ylr7zzt*~S0*+7aI0d~( ztFB>~?HR$nvvK!kPTRiUlM@L$jhBc(RFvwg1PncpD>Hh%NNAYkfA z#UQymA&pqBGTMY5AY9bVIYni=PaPf`*ZzdQMBe1vSrQ zH%Il`2Lm#CS-n4Kl}QbG1Zpd2P>$Vm63xw#EH@5pdu2Qu71Oagy6&*WQT(W>mp+%( z^5~P1n^5MLi;?F?y#ZjEx!6)XUamm%)U6M^*Oyk9pHsz(kt+G>`oWz#`(He*&d3I7 ztSgs`7KA8W=5I~Zc5AO;TZr?Yb&mM0W1lSkpjN!$?K?$n{AWdn?$=tI@q8+V%}+X> uba77iC=^c3#OFTQT7LK0q)OPoxsQwK9-&L&vBQMfmgP(|{g(;{2mb?`>|B8W literal 10705 zcmV;?DK6F&000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*F);0RR91002`Y00000000^c0000000000 z007Y`00000004La>|F_%Tt&6+C_Yh9!3_kI2E(F~Ff*CS1_G0rPSP^dGjEo#y!V_; zG7|`dOqfg{35YCVM|M%!L_k5r4G|UDp9`RRYVt$T0X+nZ-HnH?r>R^xiQ8N7S=2F__{ZzzSW=xg^ZK!g zr>%T4c#yY=hV_i5dSa8J(2I_en)nG1!Re{g2@g50B^7>gj7cAnB{)|qe0R%aGK_K@ zPpjqQqFbN!qBCVkUeYAFQpYr2Py0%JEp7Ny84?VmI2aF35d4kDgw!<29GnFg;dpnZo3|2qAubzc8GS5CZ zsWpt1knoWH;eozI%Z3&oh66>TvwCB6bTpYNCg8(3&y@?{5yKPU@s?s%>Ah1#`3%Y_ z>J^CKNpdwJ+cn08wMPvNAGQvuCQdI-29QMpfW-u4CJBozqY}zzWZ2MYQEDcm>vB${ z2X)c&>*aN>3lFE9TgcEfA9_sdtepjlc!vb%@z0@>t^JC?BumF=?b;=uIg| zl}n$v)tl<5+YbsUu$=mQ=B;mx{x~WWTAfBLD>PCkDKQf?narkWpUqzyJ<-*! z*WleQ8v4ovjf|MFbYkojZ84sF@5}|eMTNT})pcioGH^aj zniD7Lm3fqH{*HO$PqDc}w+|`bjcBqj>;LA!zr%s24mSR8bKrUYwBi2}$e%uBE80dpp#7WPO{vT!2MhwW7g0@U0ls5w7 z;bW-al29Wh3CSse%lhJ)kbQ^)6~@-OQlh71N9uAaaugn8Yu7AF<<@FEHLV(@TXo%Ov z1A{A<9=yD7<(k764J|`W=9{mNj&6>WJxiE0hyKf6lfaAGYjA+1)aR_@F|lQV1y4nU z4@NcAYw7ZpBlV3OIudkf1!aR!F-@Z6AScfUDeazKE#V9oVM)uXO*zxWQk0w(C)_J45C@_kA1D(G>ykQ#F3~-> ztSZ+5ozvJ6KW9HjbWXES=e)Dy6jgP+oSG?K&UPv)r_~gdb8sjsr_U)Wr^6{Krw=Kr z3J0Q(Fo*+jhRWAFjb9{lTE9rVGx~wY4y_tlv1&vWME~gi z@xUtuIQy|nmsdf|WdUZxhU%!PMt)Xxv=Qg}>Zldxnbpx28(1adNR7toSSvM}YM`B7 z18prj%&3lU)VgWaQLEO~($!VkSn;jW;S_C^`W8F7CgLh>T;yD(DT>4fuUHpbKff14 zq<_`OP~X9W%Lj-1M+OJ_IL&hUmhfR@#vW?TPM{{`E?D(^Jc4YCv=xzyuuUc9)Wr*u z*=Q%PZEP`%dY>qlkF};gveLwuY#}mC^-^x4lk)YIiu|-w(Kl_raeg8v?k{mVIgZo0 zjs<5*<9L%kaXQy=T6|n`q~6p%Q@P+oPf1Pm@$y>f1ut^tIPEm9S4Iomb@2Y^_)?^s z%Dg_&j!o-4J<*>N{h8*vlWRUck&v(%GF$@7F;ltMHyw2MBt%qa#sznIJnp(199dC9O!q$xjgR~T7m!SA&acLx6>d3-BeQc0WTkE ze>o3IilS2B0|j1xFwl(g_S1~=tU~&SS2z{@vuJ45@`3*0HGL}=_b&%Q=KAPS1@n66 z90W1sIvG(kil?qK^>x593Y{EFnnj%+cgHcK(3?__l)|;ppCf~Tmwj=|jF;mrpxYwY zbS6^Wj?%^~$|bT)Y$Wx>NWPj>WC*SBW-4WpN*#}r>f^kUaDpYC;a!OIzLiT4U$v}% zWa*IdwktGmn+l5Y(mVeudMt@X^=7brycbba3YVduxY>fiG~XPG3eFhh!;tt)bY$t_ zOP3$a>Wj3lCrnJfJW^>Nlx3qRs%@xrGUO)3Q29wbStfjCV6okLxDdAD8$}FR#8$*K zPyZVmKTe**@RN@r72A#X6snfOdFapgL`S^b+*s^?WHdH;GRh*-=8`7apT5l)ud`u% zXvr@$id@mzmI|#Y67x(ALkH;vk+Sm|CQ&{iS|$Q|AhZ@_+UD%_M8lzX(!$i5_`MSNDY+?LoaSXogs5C^pd~CsMu^mg zD&h1zD)d+~K^fJzwbn6TqN<|+;bqcFZJ-jOg+xSQAyUywZJiRLPE?2ym@mmIGu4); zk&aA^_2bl_#MgGO@qaul=5=s@~+NvNZ*6>&qzOjly9@`g!DT+>{UxODMVdu?5_$5Zj%>?ANZAIqC5x22X@Ol4$+MaWvYG;A!~^Ag z6mg$h?_10|wkhff>6qD>Nf;b#2&057G7U3l)D6y9(qA_)bNaxvS&If|3@)i}s&5(` z7+5sWG)v7DLN6(m;F4#ot7m66$MmlACT$k6Y1ZOJ4Fioc>Zi?EJZqqT`oN;W#)ic+ z`XRM(=AwEv(U6wmj^?SCz?Le|v-a;la&XDe@ZnRBJZj18%uBdSD+@G5p74*4J{dU^ z6txqB@wCJ*S0E_`p=L)%UW`_ZvObA?FV(|j$gPSDOn6M=ssrA~H@TFlTz9q1o?x&c z1IJQi@lx_Ua%`DztOYM^r4?yJ#>dbJ%B)f4aY#8HF6`JR? z7?ZB?z1AQ4b${q}fcm@5V{X9}*3z2% zLKyt-LAw7V2>p^14T8jeegze*Qx_p(41JWFfUly0mjs25uJ-1(Y)eObXTGhuC+~Oe z+a4X`7%L`4cJPO0-B6puOhzT^{hGWpplnmwnp}E-sZI3WL8A8wLeBx((N{Lr`yzN- z$NqY2^}G$f5S5(Sn|pgYbc~+2;rmg^>9**%I!e#mIB>69K>HU*@cOBVS9{dLCVe0b zJ_tCy&Pz7;MFq5+`=J8hzXqI-B%0gWvYpL6^Sfy(3Hp=;I@QIcv#Jmwy$_YFFCViy z+BDDH512!H6Z8Rp=!1#+Y7J7onbgvUfOHKMlfn;2*p}w5>X$y6QjbIp_Vn>by&L@) zkm;S3ej7Ez^HTVCsVeh$m@-d02U!H)@p9~%Zg8E`Jux^m5J`EE?sdbjI&n+u#0ro*XW@YBNJ zr-#AM2!o#)2LEgr{H!qe*B?YCj!>emShsJU@bi?+N0aZn(*4Tf3n)t8D`~&&}<*)_iZfKAr1%lkhsA z@#lR5r!h?VCO6!q`E)>Omnp^-zX>@5Nc>sbY{JeAz|M{|HqQwrse3w+HV@egXoqW? z1b+fh)){Y5nSgr(h(9kI?-PKX1FUCTTij5amG29KKMpwAo1McZdzx-JO47toFlv#Gv34F2gb_z7X~uY|!L0vvyt`i7}ZJ0ndDHsd`U%HTI(KmmSE z`v}l{rdr^2t>2U7N5LY%d!BacZ<^npaSJv;1h4aZ)YRu8ec!R(=?9!U2)PHN zFJEZs%C+YTJfzOH;d8maAsiN3o54d2Ft^=IjR>K6e?-=}~+R>WoCs&0&6yiXb z;RYbS(<0LM!ZDqxx!`r*{1ajDy~E%uU~|VvU~}1S_KqH|^oL&MhEf`(CQ0568neCz zU(po531~-?ZNh&CELM2d^{@^9c$oOV0Pf%R7s7P_7VfsFP_2g~4<|rf1XRU|qqk-{o!D9g92rdn0>Jtxf{XXp{>sY|Zsx}Y&j2G%S zFVyi~s1v+UCwiey@j{&jl*2jLF?_!Plqx<&uZTi3Diz%Cm67AZ;Ku__?fh0*e3?E# z_Y(o%3c`E4bJ^zZY*%hxZ(DO$Ht)4JaUGcP^}2{zXIIC(uIBc3%%$1Ryw^J=CxIg$ z<#+cq7g{mvAe$IKR_a;i^}tv6LwTG69z5-Kqb+T@Y`(pDp7FG8)8$lD2K3hHfODnM z**>SYJKNpXyueF~Gr$IGCGVy6nSuDv2IBd#gAo1C4#b}mh(9+Fe;)9u4chb5WOhNC z%s!VUvkSrOT^u@Gr7y^3yK`N6zk7k72Pe*Q`9e>wtDxQ;D9p{z>+SM>d*BP;sP+b8 z-5I3X7gKBGMQN#YacU}Ek{YK=(`wvhsj2j()Hq$98mBL(#_0-h3OMb31#tX%u8_VO zguWVRo^tbrd=Ksd_jGi(;3zRrMOj`luZL?;5MY6?g~6{2gMT9o{!PI7H3qCNJ9>LM zdwa62UHJuE70&DG=q-3H>b@1G5f3HuI*>}@qc0-!XzLzGm8^Mfs zZQxP)0v)QSD?bI-2t9tUIsYJCc0WwBsW+v`>__P~^yV~my9LbBy?DG89P#Hl$ZrEQ zV8*^Z41PzD?mrC^epeX$?jYUo2}1uoO!Z#`3BNZ8eJ8wa=j2H(yMMlCes=#{^M2V* zJdK{x+X=eF01b?5kY^zv!K3w;2W{|Ifn~kleX7@j(61+}uA9K7`Wsg(&W?eh=PQF`9S z;e2qgK7z1;F96uzexCz6!1FE)68?Fh@t2v4pxa8%+nW9bfZ2P*Gw3)yZ{zXB6nI>e z0*{NqgL=;JYQ-ffXmBZb1h~RwQ3L!Y|1X6JzdRXU?TPElfaA~mcJ~#5_$z_m67oA2 zOslVJ$aZvPbM5u@ep`pH0x#}mtSbiO5`%3_d?lR4SHoFc9nRvKa28(+XK`&fi?4^X zxDG6Mdt1Hp+M4ff=~$5K^4cf&4RGS=%4=u)H$%YR3ITr`VElOx^6LZf-wnjy5QzU? zApXWc{P%$mJzM`E1s*?4fyYfL@c0pU1e|Yg2Aq?)-}&~IFyXg`!EXzL-yR0P190#8 z>rQ}acE1bkp8&lp=b(xk-OYt;Z=t(0*OH%`&$VW`Ptfn-<4?nk^RuXZer|PdvhZrx zVZTa-!(gRgTeti=41Pc0{0wovz|AB*Sw9mz051OH^1&eVLtzGZI1K)qAl)Ac6aFaR z-h+Awdr$+W;uT@=Bf{XrVeplJ`}^xinDA9$@FTJbt$A^e$tj=~LQ7Z`{*ZhSXY7+DLD{ zon?ZqKnonRSQ%7%bBg=$xi-fav8OlIHK`vC(UdC7vYA<}#I+S)m-!SAqEOn7U$c!o zw&d$dhyqM&-3OmcIP-cq z6D&Ai@@+6159ulLsqyToi&t<>KHpGhSwr2_`l-ejS9A{X2JoXc+#gfqkZoH!GMLQ` zlupE`sR%Z9?85C3>l%Fkt-Zp$21tkA74R|HnYCf?V*%%~aB%gCq2ZCffkhhY zvaC&q&ww(Z3F`o-4u>r68(KB8V%12QVC|qn2kkcs&Hx?XrzmphTe5W7;7SczmaX8U z{X)RGproVojgxt89r&#aZ0zpgny$NyhjviGPOIAkm6LJYyF`%-!EIyWNN-w0Y7%4O)*;IkTq#ua1SXHv;$DP z+9qUYgxqPTawW%(OvrzCt4oz=4@Tzo&=m&ncEe4I_xeK@_(Ko$haTh){SSZWf7J-p zt{6WBDE>0_*%ii9si}AR0OVa6JTuO>b1rH^n6wM_ym!{Dm`p9;PCGZwi6T5_E| z{PCPQ`GR`=4GSnda4_0>#oxP=iTehuHt7m^7G2fq#c-=eY#r# z6Z8x>)TaBH5xlX}c>S!yc}Ca6DL4pNk?)DS9Pa{)_B`Iw=O;X@ACnOiC?&MhP8ClI zD%!w;DBOiq-LGtJS05l~?`^~9)(>p)`?%rV;6~==IaSw1Q$uNUPZ0X&LFiuuq3;Dc zz!RPbgFhJte<}?AbQt{iVepp$_xHG0!h}!4pbY5tH-*994mg`$@3m9#9YJVrIbq8i z>b!(+9oF(~!rt~oR@?B& zA>f?>uI#aDMSl!v$0WgKCEYH#7=`NUDu+)3<;-{As2uSUku8PWP*|yasTvs_&Ac9N z4?^D&guXKf{og_89|xg-5`_L~5c+39=(~c@cL$;G0opN8Y_9tAAmP6VLf;#N{$*Ih ze-$MBz997dLFfm9&<_Ib@E4g_L0J~+P=RYd>&ps)jZcXUsHjqG9J(Yx?7?oaw$X72 z;H>w%GF$3IgCOw~cBorz6Z9}tu#=kbsuhyNV8Sko#5S0JUcv-D92Is;nUKq!NLvpL zIngG$D^TI<&BIQqHl0^G(I%ZoP~ka>R(T6O(qHIN{?OI_&^7+hwf@kf0rmB#V}SJ= zJ)d?fY;(oWxZ$8koTHBO*75TW`e|vSoG!MWT&PLIpRB;G3eY zy)F3QPp+%xU+y~DU;HWl&{O@Pr};xq2b7m?*b&oQXsP|d*cpNNGXwFT4aA=nh(9|J ze-7}tzn;N6U$qr`f?<)etNB)az!Mv zu~y)fkx28!30uO8IC`iauJT5H#T)rmZ{*e9$nSY0Z}dif-y8V@Abl5*KMcU$SIvYBf0NVI1+zbz7PyTfG@ z|Mo!qJ0kTh+oWycw+Iyf&Pcqc_1+6O)vJBg@V-c}rQIe!eLtWf9`ixBXts%Kouu{7 zwpEBXslFYsP4b6ONsa73+HK>oBPv5ow-fN>U#`DCx!9!dl86SoQm~{RE5+H~I64L2!0A5gL`H+9KU$e56ybPFY8lYd_u2LHMnZc_Ytf9QwMzn)$E zVSngkKxxX_`)Fr>p}Y7)clC#U6i~drl-WPu+B3he=8Nc$`AhydpsqQ~iwY&{?KXu&_;h~lRtDipniUFqBnA#H}WKJ z+A~@p^scpa4F6S!My>%dVd_ zYg(C-+JViGkA$`5FJbW40H<}^atm^8#(U*9{ptR!pSp+E;Iga@y(KCGdU8f2+`I_1 z37?5d&a&NeTYAeh)(&j=S*Q$9es*o}_#mjq^F3bI(0-rZUIsKqtNV#o+)?I4W4wkp)U_9^au^{WgxL0>fx%_nOAnvY zyliA}xIeqwg2CaX%Z3jAXj#W;2h^3SurG`Mn8D`(z>wuNsH1SjfAfOS^Mla&AoM4L z(EA0U+k(*TRiV*8lXzBbS+jT;=?)ocR|=M{j(6bQIal@%%>uHFNQRp){2tPc7Cz1to?` z!N_&+a8oEV=*_3WLlsk>ZL%7f?hz_w!*moKDpb?0ojjB~=-r_D#cIMT`_{_ilT=I> zt88j5;O80a_TbNP+9}+sr_r~{gx0p~=+u-~0CoeV(H1Vj>=tv|LuqR5><^_N#jVG9 zq2P(aZc}&9Epqaxvb9z(R6J^>hmn8)p@~f@0U&| zg?k=Ts#}}y);co4Qp{VlgVRc;hh)SdP)367dVfW<4 zP71dkeCB7DvSIA8qf-xeTaqcbi-xz}7=DjiWcKS@#lz}BRZ?*JSEiG6y)a!bQqs^= z=b^@&UZCu9(`%!nA5g~3WS(Ka+=PG9QTO47r`;`+J6o6Hrr`G<>{8TY@Cv4S!8)+8 z^El5*v6GX+-In*Iz~kW*c(^qUp`hg%uB5{(M}}XbVz{3-yy;gm=2WTPQTwpON)e)% zh~1QUs5VVltmIOgQr}LrCE-T*diQm8g|ptJq|vtHP^k(w{9SY`U@9bW=KuO?cry!wcQ# z$~5{#-NLb61Et}~Y4Av+wc~}yg%fL-${YVyFHEE7LcCBtKSrGn@{nIq;u{|VKb^~=#mO|=&uAYV-?x%omDemh^_bviueQQ_pdvo^A ze#1%OZc7`deybjC9%c&hv7fyhIh}O2g6O?pQJ^Sw3iZ5FFX)Hnc9*JPT2XM0G+h)U z&oZWJ?CD}FcvM*+l9YtF6ve|zp%k?0p-$pfiV98LOe-KB1!^?tiY4#d(J3kvQw98| zGGfCDh?SyB)!jS-Dbx-`UG*vztAM!msDM-yB=R5?!>i77!wsBJtvnj#$-6K;5RZS8 zA>_lL?2*WHyisgLb;p6G?$cTtPl?^9HFwWdxs@l-rfF>QRlXLe$A-DEa$9}8P`H;) zN05Y5g-jQd$H@>IFD9JOqkMtpwnrKR*)7FPA)fr7+}gwgrI4h0f%@pOUZ9v(6a-F6 z$xJJxcoeu5)0rwW=mlmIipAES>J@qf7ATEtv&Y8MxQ$2Cii@ITrwi=tjmI8po8@|M zZ5l?da>1&%rQ*6$EsJ#ukB)LbB~D{mT%lNn>Pio5TdJIL+>_EcpkPC*xUjO?!+lYt ztnO~DF2!x+G{m}jco?W+F68$o>_%2sYZcAc3l=(gxQ(1651r|hctOSRP|epN6%_6Q z)s=xf+)@;U+sE7#>s`}!r`0Q3dr%yrT*|7c`w~z+3D9|{*P--+TPQZsVx(gD6ID&5 zU?D?l{j%PqNLyArUy1kt8)Vf(wc1K?x|4_d>kaPPF7AoVJt^(#)O7v!t@O)3#GcBy zREk0O(=_yO+e1B~5M7E&)U1cwgWPQ~uY<&55A%MqZlE{woTL{hDgUH`{|5j7|NnRa ze3n~G6jvC44+_*Ps3?Mp-~|eFTM^~rvR#;+J-a))bLq@1vhiVRq{X!f6~Sm|o6z)y zHYT>QF{zD>i3x?0nrbOFG3h1J^rf*+y}TJse6SCsm(Z$-+W-G|&R*DsNlwo0obUX% z^Z);x5G!EI7-OG)HsFJajltLeBN7Zax?wB2<|vxY&5$JXC2Sm4YL9$%w*Y1wB`&E+ zDz^+kmaZ9wAN7liN$SN2p%;GXiD`f5PV&c}_i!c#@V1_nZGQ-?1V)gKP`~H(R z5HkuHTbYFw)B33oznmFek`z0B#0&Sqh$A9Bu`8 zc?y;mhxY=!A_arVi{Q4Q1exk|*y7Zha81*0WZ9Ai1|VC` zUWT=s)%B&-E?e%hRvarX1Kmg`0;}|(`qeNp16@J!YyRTMRb0j75;bK-bkinNC?$cS2e<8jK^7c8oH*gju*H+{+rO244cx%}&&PzS3E^Rui1JUsu?w>CP80TC5

    BX*$qYKGq)B0J*5?@51$2G`=U3~3U8aFT^WfLN zw_#X{V2D_!n}U=4sx-0)EdMsz@)Ra=Mifd`#XXnmy(?Tyx9`fnbKTnH= zOi}e&GO24mPrTk8>b*H(@ z3+u6^rrD(QX1XjCUAl2d7$Zs~$h#Gp@1YX;`Hy?kLc3`CskkKt?WLgA+}s1=V5!7$th=E1Ewsn`l|{!~mFykG*hQ3V$a3>Bh< zc&hkSLcI#qxUGZ~Znl$c@3&1(!4lafoJiRQ(YCp|kL*GSA9MoKNs>cOw`3|(0J;Zt zYy1*>N%0RCeQ%>uWJPUKmr=Bk-jU;G2VnW#bSn<`F6gU|8L&S#GeNa3%tLuupBnmZ zzDQ*>bL&2CA@fAfa@#H%=+9|?qI%~yfW}VprMP(bv5+Ue{tBiiBw$GI%JJ6=aDIQ& z?O{Q8CAzJidG$_Es1!+>-b{J}q!*7ufY^v$vf`@DM&Q?#ci(!1)?x(2?SU>qaNCXH zwZ#hNSf2mlg{dGmrBfmM;>B}wr$&)*tTsO6Wg|viOreV&Lkb<_Wa)W{y$ti-RsoqRr~C{ ztGbe^Q`P7oARx8ypuj5#hz;K8Ce)vh(+A2Y|V%{S(%AhSeSrs zR%Reol_8e1ay2IwH?wjD+M*$X|L5XP=f47E5#fKzf7dOe|KXmXmwxcMYLaRGAxSeu zO|Y~Qv0Q_Z(NN_+MxLjnAK6)i-rkh_$kwUJ6{^)K)s{JKN7Sq~u;d%TBgG?fp)w$17Fc z3jC~S(>4(c=tu=Ly&pNld?oP(Nqe;?CYuj>Vk$V{te#w1puuQJ$~lFd|6ub}0IW>i1!~#b>TD zj&~sb9`l#f; zh;3h}3j7>I@PP11>se7YVcoI@kA1!(NCJ_~x=EIm=@|EWWYYh^FeX@aL zN}U&Pr0;qY&E=@J4|^~E&1cC@n4hkD&tuQdu&Y>ClPUJKr6|MANuFU)jPGXWF#e_) z!Miyrkz1Y2JUr)(ORpIQyyJ7f#5|>8uZ&3b;)fWWz|duzKpb15(3iQ9w+@W_IDKzb zk|&9;d;R`Q#61mUo3nz9fjM)n$Coz*4;a})8&5k}=Sq2UlVonSG{d`_z-ci)cGYFe z-0}6|2~A@iL$*6z{mCD3qz{(5ciaf4FP+z&7i~az9<+P-q4%31rf-;Ucq#c=owHXJ z*%Fmbf{`dm7Zfj(Ka>cWsN^0}-$L*FU^XDkbWDv%&C?Iw&WOsXeCXZC=>jnlv(Zv> z;KbmWZ2`JJW^SeB>QBTDOWZosVni)Tljme2bJ8GRVjp^7TfYTI{qE3yKEe7~x1Wka*PMi8Gx+C+Tgj(jRJ}eF<^J+bNH)+uyP}@l z4Pbeek&xLH94t1*9m3Z48gk>FSxAwVhkdy}*QMrGAhNPoPNtmUs#X>|ti28&G5{m;Z8Xw#Y~)q*%Sz-q?lmL>cGfeBzd{ELt0 zLy{}%lGEuxAZJ_vK9*1AV_f2cFZtvp?zM6)@KW$LZ4ML{uvAgNyc3D`9q)7Lwjlg# z*)MQ>h0AZ8Oa3?aH?x=%SQi}0=A=3UMJ?p*S5brv=7Mp*Ah+q`;*{Ys{cuNRYdlh3gxvU2D z8_u8t@$5eM6yjeExZODa-_es(^I@E`1|Vzo&lS0MhJH3S+Q>@Svylf|8fiVMw3${d z?3L(R2M)hTc($P7LA&d@Xa0tG?e2YE6|f~wTmA|w#$aS){oUk}I!4IP5FKQrf_6hu zcwPFQ8C-y=k|3yGtvy9gzEgmaelyo1SG=8Ggs>oNOnuNN+HOqH*#KigOofZ`Vo*Sg z>2vR`K{2y@5+$1<=+Q_^N9YRHHT<%vpFQ?OkX7K+#f5SjWntYxs$feWzuSwHOoaI$ zMHF&4Ay(j@aAcm9i`R^jx>4SB##JAU{fC?gK6#-4ER5}ni`VBsfN?1k)UsRdBi2=r zjctl1Vo5$5lEd$yQVD6R5|k>`9PIWFB@TLyyw8TV1TFcxk(DSX+$|APM~c--~C*SdhbDC;a#m#*U*%zMe$J${4#>%pE*ZpX{e66f>1sv7yp?*++SctO0dx7%(mH0nj3 z6MugY-fz!DB8}Ip2s>Sb7jpEu)QiUS1FmNqo|7{4re)KSY8^;2Q5MV#Wf#8MN+L;u#b{yRbFO<>A67}jDAAvVFNK->lG(hQS(YCaR6P);F5(jjCm)<+ez2Q5J)v!RNiQb+U7?a%VGCWw`{r z<~>ctGoI$-sdtm{G$B*7vY{WKDzgdn-zX650U$9h2RgQKIm}&N)CmiS(KKr1__?x)LVuX=I}2fZ3)Of8;biP6{z|SUY%}9bUL#Q@pC+A?wnto zeUm3lNo-Oj3$2b&Op(*=DkVCZ-H)dJyo_dT<{q!Nl4vvV(AS7c%0`5$gju)2<8~=w z;NU+&2$hQfq7(5w5ZOBM-{tv-qMg7zc8?@0-SzKx{`w_TzenG=qAit;#L_g5rgCki za*e01Tg&9y$mCkcsGADE)wtBI3s3m2M^*JPcErBosKZr~D^}b&ODor*& zMV!VtX}Gt^m?UUH$}d+-T!hQCyc)PVAUs1ujlF1vgvC_E-@)7MiDG~?8561XjF(yT zdr=pDZPMF1I4_4EKz@EQYD9{6i zcA#**zmM}OJ2r2~8vdEg@{kexv)>HigTnNb2}d;G7xw>MwPPp@?yp~leQy_tp zX40vqoom0!t58qpj`7#z9HLVx23reV#X)G0)@{73a$nuYE&8M-RQ0EN@2e|X_f(_H zIi-e=DVn;hkj9|Z@*rCNlmQ6)KzV+T0`kXZK+_(plv2G#Q`vXvhZM3d>H?nUU${yV-DkQz#l1))H5>VU%?ht9XXmN2vw!oG@3oCB+h+fvn!?^(hG|y( ze*opo@@d>{ZGAZEV7*{sbuf@ymj!w3I>W|XZbKP_qYV|rt^XZ?9})dW;;tHLVsG?HR*do<9KFw zY-MwZDH~vjB`3Qki@lW?@Be^35$JQt3|Br58iH#sNTbgDIP!SE*mZY4E(0t^)`oUI zZumZsiXRc5V=o(je%(`Ky|H3xBD!Ru1Bi-f^o2}c+Hxt}h{@;@r`#GT6zE_5Pq|%o zblptb{E*pu@7OaFIm>2v(^KYxdVm3@p2>M48bzM?0V~`(AZCHM1_Ei&3YT%v3Pk~B z6$F<`p(A_D3r%5(&yKW5?5U;Z(CQi173M6>u^qmi$<@dLMe@S895||IfTOA!II8-9 z!;C8iC@=tp?heoJ&mtckjpvwaEA3w~w;2VmWX=XB^ms_4g`CtY)^ zUk-?o!yfw8(ngf&cY0awJ6}gD7cVT4OBNSPD~JgmfJ!>ENznaTz^-g?;PEf~UaL%w zsHjAas0oB25Eei<0O0{d01y#MAWXS$k-G%o55J&9V=Aa+P-y9EeoClbX*^G#*XprkN)>900a?;{&aLVO@akS61$4M{IlU_Ttv8=RIqI;s0NSi+&uZlS+?h*wPo4Z#r^Wj|(EM zL!I!!47+7dC(!8{&?zq4wqD-Yu#GrDufA7LP^nr{gpc!MES?F}jPPkrtY-CuDPle~ zrmBLgTNeLVHvb*_9VL;n;GYk_J35&60yH=43CXwueJ1tdc;DscDo=%h%97w%P)`^g z$dq?iP$B0HwE3I6&zCNoy!<&WT*>U%Qm2|THBRn- zs;itNR)7^t@k|=V+ckiJf`sZlMS;3-(U%Tf!lMK0j#QwaDqtU~`VpMhn^xSlbl_vA zHWt~8yeVLB1}i&GHk&#TxnxS{)ibDw&&q z5lg0k+(R0Tmu^5p8S7ilIXs2}ttWDTBILmYR_8PV`X9n2U;ftYsQ?pDeCa?@z`%C8 zwYQ(cKf!PD(;|h z8rO<5MRlqOmnTJ1mM4vOgiVPg>%jda_bJ@9=K9wpWnc(dU>gOF4kWnLJx848oG^p; zI+7^p2@<$;Ny*|j@W-uwVB;f%EC2J~4gPeRJNQ?H{r9l!$}JKT`w;igKbr{ab*}w~ z0a+}s{1Q<}`Gnv6pn5}G`yiUb1-@OlNJj^_lK2zNz(}s_zLVo|j}LWUBzln{QnV-Vt$LljpT(2Ghu-_>g9J*d#y?S<`qaRO$OwZ? z?B^S1ZKp?<9L=y4hokiQ5TV`g*mBo^f*uyrkd@c6(;X?L!F#%wt*NbGTsa-2`0xjW z_<^vMv^J@NjiaWkH&owL*c#~e^+C_#`j?8vArvK||ALLt;%>(HKT2ZvkN*Bgo$UY7 zJ19y(|86@hZOj`?{$Yf7ZFD$x#7(6D8VXm#$G$IdmY#wT_81fBOU*e1mUC#;Y^O1u+mTKd=%%AiPWrPT4+>#4WrR4izwGJDW56O~OVfF$ys zk3kZvUIN9D1qyXHePR{3spX}d1@C$!I25YSUOEw&{Y;IcpWht!;fQsLBaS z>uf)eI@bk)Z!S-3n|J#f*V!bepG;8{!Az<6<+0hM=LZK$^b`ig5c_Y(MzGiEoQn=1 z?Tf<6I-+p71Dys1FzHbng zh2MB);?5ozTYDw|*RcXX;R`4r9Rh_!pdbQV*dl-A&RCh@FMChLpl!4KXng9~9iao~ z6gV4^aL{2U$sho2T!eje)6bLoWrf=}YlRyRgaHtYKzz;s*9Ji317Sa7g(5IxDo9wx z?S$elCrU-z<%#S6Z_59-vi#pQ07~Bwa+_H!^$A-%J-O_Kolx?MLkauwh2O0+><}}9zz_VTqw901d#2>H?c{GqR_b)l;|k! z?lxRnXypoiSsPro0M8{~jOLgvLA2Wv$v7YiS8f$9t4x8imuz@5*scp&OZItjOcStT z;-GvI_Dat7%@F2Klc4TZ_0b!GlsZ}RajO@Vj~_01Yz+97c1K}BE&Xbwqm~bnBEDPv z@Fw@q1uH6OSDltUt(CJT;JV5fxEkrEO_s1wCy%SElENiW2d+mdNv&K}5H{BpcpOSF z374+_X2RnVx*UJK%n?Ukw&!@tb5SeNxHdaZV$>BnChYWbBr|rH}PQgAwxE@_jK1RIM@#~8$;zaE~J-8 zaq7kuvZD%GDEt>U?owufjNN~+6Ubr;Tm=+q+Zz3yib&HT9ZNzjkVb=_U}`(;K6vg3 z*7j+6%R~)_l%ezf!aeV@A_`P%)KwtX>asL7wK=cU+8D4R7~10*bebH_Zq{IWistwTkMSibPz3dg)JLF^0*Xb$x?C}LAFUeADk#cx?k8adfTy9Q%f z%((5BjI&Lk0EW21?(4j8e`CIN5(IgzIW2l}KmVzw={pc;i6RQRbHk3e8f%)ei?lO_ zuW;UgD*l~vhWA>0yD-+ZtqI%~sDO^$Kos;edjXB_$1}z!gtm!I=+J{C+Np>aeT&Yq zwh3RW_x?4J4e&4-s{O|1WHb(m2y?P72F{E0Z1Qb@&13_tr}6=E2_|35w2Z@tHLU+| ze{MOC6S=kx6`F4k&XD0|3i zRvSW*IVC+yPAs6kEYOzu{XK0iYz-0h?xOaiTstrcLpObKp!x^st~%HT%Rvex?+c?r zt*BZs6ncu!*USVn)24JPd=i~8m)~3}-}DcswutBCZwI}<*%(j_eQ{x?#@}FVDW5q8 zEGEpOzTn$1EQZ&L8w@={?^R%hl7&Bd`hP>)frMU)Y%yVAPWn*;(6VwO`f}to=3^4T z6dX-onMZEe*$Wm>51cFJhGL)GvHS}EGVI|BY_#BvwbDA_o4vDJr^q0Q)bvyei;?EN z`gw=urd%8)AcEX~zaij{^=72XI7LE~a*DU<-EaV9eHqqs@F7Pk*fHiL?K^IF7bM?F zAJVh`C$)okN5kMr*uMekRwav)rCBbM`BrZ0HuBD(MU$QGtGKIGp)Vj~Oj$8=CjJ5ZOLPY&MNH zX`-4Eweud)wowlmk2J}JLSE~Y;&PWr8?sJ~$f9)EE~^R$GPJfqk6UwAtmq<1cnZ*f z+38%D6(z>sAgTstFUzl~t`FrSHoV)$%#PeXne=MA7IE#Kqb$G@5A{CKmMGHQc%s`+=a2qwZyGzcWZP73ObDpNkvD z0a^?naX``0tL!(iQln_Pfwk=U9iu^klO7G@{1i~R@UooUd$fsrfJ2FJ|4z7?tu3o@G$JcFLQ`dBP{i*qxR()pE)tWw5?+JRV#YAb~#|(L-9y$6&6Zt$h8qN1?1&;~8>@uh;$Z^XL4VOfe#^og<6i?l|*s z2dc^a5wpkvG15;NM!|^K%)=wp20%H?HRQbCv?v>8X6o6(*aqlT2vuY0cWm35ru9A} z+C{7YcrWw_)wV5oz@77f9&O6R9FY*(jrOoMrM36n&Vc`=re_Mn_3(x=D2D)i0hgB; z5+jz4z9!zAU_L%}IGAz1qd(xJV~q9kC&!Bm130?yE2Mo4Cee7x`ACG>0HevefR zwkj;kw+5TvVvMh66qb(jBe|?=;r>5P5`~JP7_AX^>5efnpIO5kP#EdNyp^D)dg}zCyX2YZRKibkp53IXbPN$_6Q-V6QH^7mi`&K}C>=JY6;1Av~9y z%;lum+u3LF27LbJZr1%#s(UhPla-N`VlT~Ee!~6q1Pf<)-;_JX)Z&Z1diR}NtK$>K z7r|yvW+D*D4dr|#;{+6A?)aqX_Po)zk=XGjJ0s)9N8pl~?uLDAT6=673*>uYQ8oxB zyuAtffXJPBP~$0lP!wiNnr)rlA;(LbY(`ij?d8A)ncc?`tKwX{{_odPZi^?C^`N!h zzaHC;OI>^F@})s#p072xQv(u0XbAMD6Gy<8wd$S4`@8b6|MuRabtU z`D?L3%dJr>#Ilo*ddO<@ z9XYEiw$&GFUIkxA){4Yb2We3~<6;L2D)z&h!?`pF)MeR7d~|UG@Pb~<+eGvBa|YP1 zAgCg9<}?oEWwpZl#KYKc4;=8Y9?6-nV##-YUXJsZnNfVzwaWR<@u8JZSMESpd7Zxf z>|_=w4rZDg@caXL5J1@VL|m=4R#t7%%x__f{epun9OM~7r}~xRb$0hr8*WP8_hTzD zS}?*)>WZ@*DO2^XJRI?R&vLE~I4xVPMUN385pKX*cFeY=LN8*4=PF3IimGm9!bz$_ z1fU3UU|hMl)9P6xKi?t3$pN~~>%Ai+Ri4t$gL2m%g7JM+AZo&T|LAyGGgFl_OSK&Y zqg-*tq)qQUOlS)3U=zV+e=WKMo2X@lO5$h2wf9MdQeT%**x5r(?)b7Wj2a;dj{M9`dJx+9 zH2qPL%6{3Ed>=Y`1Ws_g&sYV=X^y!EeED-y^=#l@(_E*7&kugA%v%e!Rj)3+<2ZQg z@mDG`!-{dU)(27}+^#!AaEw3VZ(_3|#6tzjAAMRGQ|Fw}dc#e}GnIzl%l(lnehhx$ zcI=^MxwPP&K#tfh{NTX*ZU`Ya9FD_L-nW?BwUPTu;&RRz1Q$^0`eQZMVvxrX7Jip1 zIq?f`;z#^5gVDZQKO7<6SkL~iNq}7;p7$7X+`aFn-h<@v@)soSs@jeba(=u?+AgrG zb_#H@o)B2R2O;|wd1fBZ#byaYml=2GvtwRGoF)7`1yRlcFr_WCsbf_h8-S|$7NXZb zt;wYMwyvw!JeW_lLaZ@Fur&{l&Ec_CRuNZr2UVd6X%X~jB>ZaXrog->K4guspx*T- z=8IFmo}IcFEC{|aB41IhT88_p^ZgOZ_mlI#lN7J#Jh>BH2Zzeu;4AR%!~H96Q<}q4 zuvPT!YGJq|YyU=qC=QySkAcn_$gqjQgt3iA!$cRm%+3iJTC*#4l}1PeUieT@ZRtXz z`sC=QZ|jc$)ts=+#S*)~@cE>sD?mG_2?_J|LCU(EHes zD|w@Oqxk2tYBd|C_4|Znjmxq_zL#Fv{tOgE<8)`A30+@Q1Pu zZjp)o)*Qq>uEJT2J&IZ~;c_?Qg-wU_oVIQ(&=xS|huh3xMW5uxzERFHo*wQ>?+Y5y z&98%IUBO5yo_~mzm7^ra_F8D0NcF~cys?-l3}0a7s=4|OgJ?U%mDganx}q8w#4-u1 z(`jp_6?@UOvSGBWT^IPJH>g2HlxXfrt2treV}qfqtjPiS$?+{-QGxvk3yT33y;P8Y zhQi2(c7;k8Gy%l%TMZVm6Gjx$0+Zxzd59B!4t~&3tNtpVRTTX&TYV^lrVhO+@%F$C zZCLGI5h+D>4{Do44283X4~EnPqh<$36HU=E59`?RVIk)qeK=px+ERg}v{BD8O)@K= zYG9@;o9bL^rV)+xqa@7vYRc{JA?B@;t&w zA@y|BIe^T1HvWTD`OxP`(S)0ou1iR??ahf7MNt_PwCU_z#)JwV5xFON)VUvq6p_VW z5xdvD@GcYDLNE&I5w1WbbaU7JFt4O#c!lC%IT<{IAOeMlvWTZs2|Q? z!3&cVNo;{IQC0Mi-s!SK8t)a8N--b96vlM^YUb@iYtGW^(M0MSosG(RsBQa_1*rpB zwX&qN{+E$Bf>35_!|o?{WL3JcLa$u>^@g-YawlPyjTnNjrJ{R^3?1Y}`{R$9fEFY3!=6k0Z$mr4g>dDqNkVX~ zJwLV22x)?dTD<|%nJIwVi#+!TZsibq%On#!5sq6aF``N~x-I?~{yf%;5dC&Urg2@B z&irrd_Q)FJh;3(u7@R@U$eL%U6bD1ZGHK+l*Ro0J`kJ22jjm3!MyjpEV=sr&0I`-P zjryx?tF(c-W_LCDk&3xf7x!Kog0kUDY!6~7xY~UCImH?6VdJnxTzwa8SZ-A{Dz;d$ z$j@avNz#I`pA*_Vd;Qk&*7dE&6J!44n8z6jrOJv zs41FB&T*Q{;BPbl3bq`;T;rsaPNdI>RMT1>f=N{P7juwsc z_?`-)C#4$Iv^|ZCK!&F)rTbz4BdD(Z)=wG79NB^N?lzhrM8=>Js>M-dYi0JoSHQ}X z1^B<77f2Z&B^C>Jvszt5+OwC}5D0$#wYDb;y2{cUMf4*Q%n8DxQtRDsCGyrizk&Y@ z1Sh&Ct5*HPG)FWFCNK}VqcpwQZQWvQ4XkbdTCbnugjCfT9IZ%ga+jEzn{yjntu(9( z^DH)n^o-FZKggs!)byZkTw+LjH%dpNr%vb_Bvo9YBE5k^BvdHsBFT>? zxX>%7hlcN}2c(kS#Zjx26ux`JXp&cvE0b}dNr%{3coDpxB(b1jC_PH*)nhWSR!H2f zg+MtZQAbrcQ^R^S9U~IL>XO(fy8JMhmTt8lDz^r$!g6|tGM!~4NU5#xtiMsu5+l`?41Ok}@TSn<+vsTwk;2i2r zw>}mE(m-@DyIoO7MNlQLzUZD2+)BJshrWHRB84kphQpIOw51OxT^ylisVWHl=D`SQ zGgz!obLmK<1y4XAvqu0Ff{Nwc&ig%R$Pw|Xx_@2YDts%vUd`+1{^ibC#j{x{W3)%k z{wT?3fSU^b*bO3#{_5iPO;Y{78^!GLzKW~|k6z`2ygy9>4qY9-KLYlFyq8G~uHpWo zTdJ^^YD_vIE77wikO6Z23jGEWNkUDM_%5jgm<`s|&WTC`rZW6__Q9Jz+$yPcPFH%< zR;dwFQPt8c5ir4+3URme&k$gSD6R5&R_}?jgF0g7RI^VV=*bdlXSL#P)}O<`+NB1L z6(k}j8Zr5yqIev0oOOAMFA%uMwKvz1V(G>N>@78lt0VCS&yEUofpcI;w#~F=|LIaA z2Fr$viLcXt3XdAjV<$R26rvZ^Z^2IV8pt^Jl|>>CU?<^1TLg*}zD=33n&zG0ub9MVV@T~#celZ}Kw#SQma68@ zni(Q$45I>{mr5CgH*WuV;>c6{pJ?jgA&g-ag^k>g++1GSdrQQ`ek(czjXrDw!;r}u zNnDP2YA*Y8&Tq;X=v#5?3^)-TfxU%QGPm4}Mhs<5ycvRXkTqdy?q2|`4k0x$g76Vj zNWO)!tMlW*VYB|?j+Tv0fHeo~8>hXXRRh`!Qx&h>SKgK)?s@1(Q#9(=;1$)?$Yft` z7yjO(9biv^{q&2fy`Tj-=Dk^Z)bF?dA|w5!N2S#QR@z4k`xm|%z$JC7{ir~8*=aeW z!%z3KQ|#z#>UST*mtGO&jUmv-i$#AnBEi9b({$t8(dG2f@UPfOP1ZxyQD(r+b zV67vN0hvIJ!8doS{p2U>m*L5yo!4n$^!(25Kaw1qJLZ=H4D(Z#_3y(oti51mL^KRf zA?bVo-5Wy`f!g|w=41cp6%{~n1JwE4@pwy*xH3cr^zrggnlW)%v=qdrZjTqJ#mEG4 zV2JlmLE65Y7V>y}@B=+1OoBB)J1fuxZA9g%!8J(6^a1wdAw`fy2aAU}gPh{!3Z#DEsBvjXYIX9T>Ki|XU$ZbI4szK{K6{#kdye@espmouo3 zeHHEtmEJ&hP8(fNfiq}~JuUR%_kW+=sX0Gzuo{lbF4MpTHNk2hf3ua(R=*1}8qLTz z%j|;wD>u-(`hgkzPUT;Jl>JXQ`1%@$tm&lj!G5FvcQd5h^|7XmQ5`?0IaP%J46w?o zn|9ElBaof*N(t=bUumZb)_?TRNuJR=!G8fO^LJc8ZR|IH+E;TSgC#^LN;+r~?)*`y z((*o&F6V)H2VXBZT&z%E{AJIM8ettGbCdu|i^EHscOLkn=$}StW1bXogaxLCnl7$D z179^MA$$ybixRi2<28nw*K2h% zf`c!qo}mhKD4O_xh%AkEQm)qPyfX1g!V2?9pD-5jsbd&ZLY|T54Z-9I96<+Ql%TPvaHHObhxVKo zW(38zzpXoSVlp!!CpI40U4F*>0%dK>jr(){cLW4Sx35hLkVgdxY)flXHW(kb5ar}j z(e>pw!at=l-zkJB&#tgU%BsxrQHfI?C=p1O%FXIv5~I2>OueU#%jH{VRQ*`_F(o;@ z#s}3Bof7Q%AN_F)Yl4l`anp;%q&d z3tXn0TWVZm0!OLXlIMRjjjrOunNKRtxwWw=&T+j+2lnLlYMsn?UZJt{W(Eyb7cev1 z7oCh`D`5B|>zK6a8N|e>zbzfbx)D4GSy;rTHm(#$$xW_`9_E-BU(iE{F+yigR*(=n)nhF&wJSr`KXaTx}t9{Y#svfr*Xa zDDiCk*Oi80xq68TYSU4IUD5$lA@M%0&0d$3=5W9s>#5amRbctw?Ckz^VXf@1mVaZo zb@ZG~STay9wS1K5&!J0LDF_ZQTIv}>Vk2jlbc6vuaf++!u*~RF!C{FEj@s=Np1Xg9 z2)9^iuyiGozB1$@FQ zUgAJv#0TkFLblXJ6G*^R&DQynep6D$_Y3KO65+#MS#PU2T|?GKt&qz`?b~|ir3a?`@$5JqlFhL)Cm|F##&Qas&S>5+j^l9j zXva-xcI53DRn{*@pdaYyJubR)lJ`(-y;#9zT01NfXS>S;-aezvy0VZB-e+#7~ zJa?&gv)s6vX471?XRJJlts>gFxV}JK$)LJr%amziw;!%D=%eKb z2rV0?Y}{IK`01`&zYJG6T$F-WMcXKyarG$H)T(Em=8#5+$7Hm3FOc|nOsez@(xI&U z)><bMmL?`ZHdO# zco`L#^>KW1BYI<2*TI+G4xq5P1P2wMf}uH=^vM+^xwa8L13lQ9)sA%e1aDqhAML?@ zzLrSM4tII)+8dKw+Mwxbb{K{Fk74b~A5RZIZnF$|0ZWV*Cs(O{tOd7?;tPEQi=R7< zm&3b8RBv{R??~x>%mvJE=asSm7mgbcNIvc*T`7Z)MStNBsokCLZ~7=$5XIj0Erx23 zJFt(9;6k52gHfSjXco$%Fpi&sA#|>4Wd}@8f3b~3&DC^Ge+eWGfTwL+ zx6y5rm@W?{qFbd%(%wL$_$~yYO8at=tHU{aZe3|gZ}OMOD8vgB-By!dk*J6hmPt+&7X4C)SD)+ClNHC;4Q`4S2Oq-7QV3jVPYMOQai?A&DPAhFOEhC-} z{SMt9T`TQUfWC2o6_w2)`EeXUFC$Di?2E4U8)ZzVo?a#?;YIAyO@`)gf&hw*A1l>K z;hQh1ba#nuN)h@CRe{tZ5{@iNTIfB zmgM66d*Pt|nodf!>PP`VO3UUBNjqAU5Y`8gQ8Ho9i~O>+J@vUqlC-L`IDXaKr5dfk z@K#C-FL%>SPVh3RfrAahtnif+_#74_t)V77wiWOsB^D>ET&|H8>bm&_0?W;q<{~|J zNdw7^!##wN{6$)+HZjHePNjL;t{Gm0-+OZax6qI4eeGeov&fk6)A{^YCsQ!QW9c?U zl106OgkDeb@%?$-ZLtwyHPW^Or)B>DP!))pEs~enSGsV4uslcylQ)W0Q0CGe2-=$uTnfGMW|raC$FQl7v=Z zL><^$zB|R=Fi7`lEAAr&Z>hz^qt@a679KJnvILQFx>&Fm9qAS-oFzuDuepgs>0>n@u13>7P3y>m&3JIs})&OtPDuggI{7eHf zC1QxCs%U>iFi-sWkAZDORp-sWc5JaETcVw?4pqkUY8dIqA0(ec6Jt94NCfI+=J z3IR%)`8pZqZHmN}6xbjI_2BUih~!7s1y~+4roIt#K`SaW$WtZu2d#|2T7z^=Ff<{M z1oQbQZ)iF%UEuFm&nE&vQMK4oBmE8=snfh!9pOfUQ1$8@=+fSzS9BCP`nk3YAou{J zr}_y&Zf&fQh9Q_zd-(N1JhzZ-fW0LefjjQ^VfFIq_c8)H>jT%f4$1j-Fc&j2Fx_JJGx?+fW zkg(Bdwnzw%ny)@2<+naWFU}tRPIl5BZcc4*ZpuPrMDxu)6iVK=4Xr%H3`{e~YBn$N zwxufc#lMgxavnI=T?^gP3R&M|lsfu*tj(H63QY2Du?X1P&3d<%gwAoX$m@k;)BKj%{7Ar#IYFHauSyif4xp8}pyLtdX z66w-p{SZFer@COpB5)?&wl(qQ8Yi^o(UmC( z3cQ_~G3;G5gW4i*dK-ELZfyJ|^Kj`4A|$$Y}GSLW4+=G<3#=M`RW z^+E#vV}msnWHyyc7eIKb)~Ci(RUg``wCXLT>o2`?xinzw|Lw09O;OCW0mA3WT_RJ+ ziV<=ag4yp7H-nd}Q);B2F_@()ilJ`ExwN$0`rXB9H9x9fkjfz)LfJg$9wxfnE^OLs zu(kVtd}O-~gOo5r%34xC|Hhkyp-COQLWfwUX;4u~GcoL3-qO6lyL9q?l21feT?>I# zc}BHFLoJ-5&ao>MuyC!C9Pn;Q*8lj?5KpG9$eqLFjzQ=eOtkw#Wu0eR-}Q6$Z1n0v*i+8wYTSjQ@@5hFVYI|yn`cz-d- z_ZVlraEC>;oFV(N-UqgP5?85tZO^oFAm6%_+>3L|nKLH(xXjg^q6$xfIx{0_ySr*AUsLyiF8F%xKIl zW-+JP?c-y^oeMCp4r#s4?^DsV%0e5+we3?8%;72yTs`~MmO^Dr@CewK(yw}WSmRxw zY>0V@CMv#Nr8RF;!wH-eyK&p{OKa0ds97=5$Q$6sI z6sp2>?F~Us!Da6m4S6Qx@g$GMQR9MfHhE3 zuF+^ZqFkdPv=4HK(0=o22v>yuVeIUXTk4@hv#x-r?Uj*M)3X(w_Ownt&q$~3RgXb; z(`<6|p;2=QMHeo*7!e2;0M(qrKQ@gFjutVfQW~0a(|5hica!hSTT|^1A%~s*Of+3d z^u7GEnA?p=sXkC9$V=~Iy}VM10dW_hM&E#7*Nw7m`24zHy7{kD;ZQ+P`}_C#n%Jl} zJDgW6-EaZpsd*|p93GJHe61(!ll3;(_@TNWZccmw_>`fq4G(2Y$G z?}~d45ri{2Fk9=WoL^Y#s7y23pPw;h)Sunlg;U(|)AN16#6o*op_P?W#J)oB3jajt z8Y7C!3V@rfo1m>5Zt@w-<*`;E!1}VqTct2$WGOfJq3{Rz8vuBv8T@@hmxXpPjJe1t zGA)A;U*2hkHgKXjg0h^PE}nEJs2wEJe#G^TIgcoj#NkK>=pt?X4+7>vBDZU(Z&@40 z^sh)pLxxp|n8q{RNOb2r;3&ESfXDd~<>nq#yZ#^@&ptK$;0$NSa;hG!mFsB=?Gq8Ap)S#G znJNC?E_V@wW&q;kPj$nmL^2XPDY2h>W)BJE#b2VVuiHRISsZ|H>WPba<84Fxe>L*u z;ZVH||8_Hsoy-u~x3W$~j3wVt2`%=7IhK(O2~E}_W00*xSyRfEr9&A-wwX|jrG%47 zmO?T1ES308&-*;r?|Gl=dEWQ^_ndQG=bX>^Ecbn#&wXb_vewVn&wX6`92mJRJG{LM za|$k^Fc#3tJGa$WJ|8BTJxp&jW8d@?4K}+K`|AzYM^54`-U;KZ>kho8{cx z^`DSVk11k*{Ndx=)0yH#dPNbdrogIKaNn2eD4-pFH8*4iZ42Q{rM(lEEC`f$?>*z1 zc2-L$XKDvX4Q1av=|)~2sNLhf?~1fylwA(?70k9?uQ+8M0+-flxnO4^=n!pU4-Ya) zWaQ+1Puac<;)YvW0Qz=mMBK?7`(4JN)^R<_-p$2O5XozTQ-t;5(Mj z2)UkP-7Mm;0$Uu;cN+GHWZWE`{1oCV71SXaHO>`Q@Z?Wfxbe{TBSXnXu(Qc@;9O~h zQ{-=}=8J;T~gF3COno54` z4fmiSnT9H9S5G%oe^i{@9p`p3Kw09^xX}8YJle<@9uHwe0)tIN{guD!H`Bo6P4VFm z^+N$cXD?Td&VLH|5L;9w9yQJ<{qF~^BGjF9D3fzOoNRdL?ytbUN=QqE`0bAtNQ zuODDNx-IQ8^D?q?bMMToUtM{c|8%8f9v@S|)?qm&={PL##A~wO{VS;FOmDhoaH_ZN z;}QC|!LeM2acW&ubp)|;=DkPgg;vAMZ3RZ>?cDKp&3y$w8}b}5`ksOgTPI5LgOUwi z?$BOWkK>Pu=ifN7)^X4Dd2aK5=f{VHY}-i#5y+Yf z7vepaQmlEsU!pV}9sY-+p>u^Z@6%YZ@wobNF^6?A_q%5tsR_>_UqlwJMIs zs6RKCb=MxP%@lvWe_~!dd-}>t!^;Y%YRl(3oar@B;qZbZf;Js@yHMzTCqMKa3@B;~ z=Q}Nsj((z3W~X0f+FM{GP>%Q5N>qP2T;5gP9EHb))*t1n%5_CSVVT8wpEeDs$g9aXIqi~9fLB_Thj~E zMF#k*?Ku%p&X0?o^lJvJ|1`R5NxExhX}OyybnAJ#F`vzmuHDSquXodOJ$3Q2Xzj1p zP$^e?b0R+G{J88rV-SlPl$7(;sE6$i-Nh#r1s90ymYVOWN6*w=sjsPetzG3=tlo6& zk|&?T)0@p!tmdD8HJ<1gWN*MBA?+vlv5}4&QBi^y9RFldmut9Eo{}|`A9um&1g(>P zInu_dwSmpPC*INAUNQ1kP*5Koa#`7LU{-r}&Tu$P#HxGa< z<1E8g5!+G7?lH(;cCGq|r#i1zG$?%;=iKhPZZfM`O|Ju(Nq@1Ta=$8Qyt2z7vtpB7Z0F3V#P_S2HVt|KHMR#+j-LGVc+56) zwXFZ#fvqV8tus+(hck6AuKBI6nVXbm+Qb~ocoWieG~>-dGXj14k?vZo?Cqh2);V2Q z!zJ$0Z(mmI8_x~v@ur1tqi}P3Z-5tmR@Hu$w>|AdD;j)MXZ^F$fwCH_Xk`l;FSGIE{*Mu7*8Rw7}4ZT&Ha_7s+>db_uqT0UMZ!j@92Cdd&9piq4oLt zD~BS%gUp+M`h#Yl9`K&w=g7U}<&V~x?Ef-!&Oh`*XThkK zU16YIp|@QiD^Sfo`qKY=+p{J!3PaAVLN?Vqot@L3Un?};zSoX}UsKunB^^2!u2%kF zj@7{QUa9CCLsaFNa>wS1$sWXC9nJZH!bv$T`Sn&Ldd*6TmYzv*Sf^Z&ZPx48=9JKW z^w#??{pTkfe_h(*gW3{hd6o^wziJXV(8B3ThLuGeq2bFA6UUU$fhLn7ea zkF=KX*%H@|2iS*4`>CEp#5t;U6lx%>j&3oVd7h3QJm9t#oBX!6L36wxH-dK|&j#Rg zQ0~G-bBFZz2T0Z2WoO_r^K|hPBzo-?oeq>-32eM@cj24fa1|2mENZ`Ny5;!l{k5^r zwr#JBl9>MKiXdM%JHKvPS?mXNmgVx#GLEKzv2I6$^_mwy@|d>s&S_QWBm3GO-_kdz zj(QWCn;9@T*|RwFUM5VViXm2u6|Fsy3>79rDPpxf$&h<3G;rv1uo8Vdf@Zt? zJi^}penpZ%VuNkDvQF}7np>Ju@!Zb#95?p&+qZ8|Z&!GH?CcUNs|#dqadZ_GN-$31 z7+)uC$LMeYDI!jqx?i?wLU zRA@Nys)}EWcw!ozkfL?9Y~*~{@X92?*>u?;;#}-W%xJmxylJsqJ+5%$o{G>XVr$Xg8I(egA6r5Mqg=G1;{_OZ__Xwh%= z%sPxOwYw(T;wUeoQ_K2UcYG$*3T>>0ib_hgO62#e>yM$248^!^TAnMSO+ZT_iRX8h zQR|jSPg4Y2(KRHLRJv76$*AjfGe2}BWXL5$GO4ezpB#Gb4P)5g%Ci0jy1A}zT|S8B zyoa+*?$FPV@{Oj&X!3N(&`$x6Hb2h(xfg0YKc067(8{LRDj(zpQ&M{}0P=jMKq(ZY z7EiVGqx-$*wO~9yq<+0{@%z4`M|tOZGcS;7*@FXiQ4eD9+fL2atKztD2lQ<~6`tP# zijjPg#J%9kDb;EO*6Q0H9i|$9QVE4ri$5oB;5uxn~2v;L>)PW!DUh8sh*48?CSQ7T^YF zyaF{zfp`c{rRWgE05=rn78r|GIX`?VK9o!2XMN)2p4-syMAzgC4D6s%QQSEdHdw?<|CLSC_u15#BLbAm{+%OwtzKR~x7`Tljrh_c>PC$Rd z?jU*WBosz2R3Y%t>_jmC#6I#9YHX{+e%f-NY?7uAvZao@b}w!DvVKy%E@J82;i}s} z_&AT31X)I|svG=G63`*+`%lE9S|KTgpa6nl1*wn&*%4h0y$A*Z+2{F(ZkHPV7qDPp zj84k}0EJjL+y#1Ij4qI!x`D2;hS`6$8T&PIUqxFem zvH?G~Bm0Uv!C&zFK5#JBHa-lEB;H{5`as92cwHtJfFjGNR%HWSFt;}FAxYB*5^2L+ z&zMCLbKceKGKGOc1ooHTNYb3d zDEYt<(jOGtg6*DX0GwGtX0*p78JtT37>}4&`WNDPBLb9}|GnJvxYMyn?k(BqbjlLNF{L9U4&>Lj@N|fj9_5#pn_C1Ap`h zvKVIr?I8y$5Tt1sVM-yONLs)_Isg_$xdXZ*+-#vBvVaIB5$J(4dUUogu@f3%5Ylpl z{|Tl4FVE}1QyBIQm`f`3f)G?YG{Fe)Lt-R!Qagln2z({j9`FsR&>K>s_Mr(1fFu$V z2d)IyNIfd>H3r0xMD`j-K-$&^ilD0KGWX(+;$(GMn5mEbkM`C}GWKI-gih6<6In)a zk%KIwOpvFg3*YgE0O}}`m;~C9Wt6I@AScNYMJWQ=$qSr_`7oBXooSp#FpfaNG@=+K z4`_n9^?-#W0W^UZP(&~Uk;C6u^x|e+`iEdw&@_w~B>~8XF}lGtQlK})L#3bzBv1w+ ztwK2D^_8Q*I+d%&+Q0?1ltE9097O=1-y;OKMtvrd*zr1X{BOBGg_$#kqp?wqhbMjHX1g+ zUyq}XTVzo%7C2zY$_nc%NkAY;2Jey%Dk9vD{Q*;siKLx{|2HJz$j(fAM85gA zzbSbWO_2H{=WLJ{iAeyTBJvR|jTn+`i^FD7m|Gw}BL6TH{@NfX_|8hKZDKVX(G)vA ziDtb5*1!(o4H;S+BmhFfe zB+IB&r2&bsc^}A}s)4{BcKI{T`**H)mb{=u$N{i0X#gTpF**ckKpI8K{wLS_x45~T zfV9ZfDg*->8OG@Ox2gpak;7IHK%RzSS;IM!j4#ANP1mi;23Nq&X{~Joc2kbnmc8t_ z@X$pA(X6Ou@i4BtZ@+o8m90K{Ev~9yzm>|v3BBYmbPKVs5W)@NR#xIu&`V#|SG+6? zg$duM%7QQKPW70cyRkcaTjpWu%Eq2|3ifr(aEns{wK=Q+8qxUCSopG&T82Yx{2 z7n z8HTJ!)OeFtz~Cb&m#QU%d@T52|mpJE~_x_#JiSZ)KKps_A~PQa&p5wngN%0bP14 zXUWI;yG2{sR8L}md4|`+&S@dXjpjk+#|Q zu%Az&%yzP;f8enP@9MPTUSW+Z;j>}(7N&b!W3^3p+fwFhQh#_LzhXC**9|=-Oc?7xhCYPM|hf`t5Okx)@CH5Epq}hyzIQQiu&k0TV z%Z87=ny_WNw-|Se%5_j`JFORO9K7;s!f3$wb}#n;e_8_;r_k%G;}VE6nE*z#*=eyTK>I zjwDUhs>t6NQ}*}8*R_bX8qP;P(k->Uu!kdkEqe>T=_oetk0tkUV$*Q36e6&#eR{2+LBQ$z>{TbW#OBSw7ArI zv0aJ%2HM2O6uN`X<}+YhGXnV#?{^Iw7xE`JrXUB$%($Kx#;6Z$4>{D zdK?BN2E4`S{pFXM@`}!dZqBwkdw~Nc)RA13FOM$Ps+Pm9qROMV)QnQq>I?E>-p#L< z3kWH%Dlh3VV9h(~MuTzK3>`JDJ?<(;RWE-#8#1FiUa~2iLb3c^o;msT*2m%6d-WW} z^ON69>99w&2%gA*1J4xqOGD;t1si2oHRIJL$jXc4@(R_|RN{&BOOB(jDREm()qcx0 zN?T_n1r6c|A^CnpTqB`a*44db1J~BUzX4Iv(6bGr#}Bp1J5h%^loho^UP~v->V4a^ zF=_TvDSG)*2K8CEUVO$)JlEiq=I`ZSy(i=pUYz=3cqp*;)=Rg`YqF(s35dAI5|@or z{3q~E+}BGp`>YWXXJ2h8=IaeZi&vQR|Vx0n;L(_rfMGHBJ|)`=8d*<-Dq5)pw>} zn0dM&^xNB*eZ?VrUqtdZ+|+pc>*Ge+_Vjg(M&seDiYp1<%1cUOgh-~BBs6knZoU>N zlzh$^dX;d+XO#Z@Q_{fxUju6+H0q<*lXQHYv~m+to&8mkiMR3)az63m?5FT)(>hC` zBxfO$PZQbq+Gg*Ri0fQrBglu+#cS1XtG^KHq;Ql?eNHIO+F5EJv8#PC7E;U0B`$r- QmF#mJJ!zKxUrzu309$4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*KJ!0RR91002ZI00000000^c0000000000 z006`&00000004La>|F_%Tt&6+ASf#Mf}paA4F(q=!^~u6vWPG}J)N0`p6;f*XOi&V zvopy|AdqBYGJyn8_8r+>SwwafH&6s*e~Ro%Km-&4{rsNW_lfv$0VMDLZ{O;!d#mr< zTc?|l$kf+0Rk!N==bSoqYPsud9gD@TK-@7T?&_rQK!{;Dp`KM`Z0Na#K&8&XPz|vGTURtgQ?0Z9{w_AS3g}) zIr*?oMa5T7mCae^=c||LJeX(QK5kkM$9jghAr7or+dH~^Y+GbI1QC$fPKa+oq8E2YSE&?GGXp z#z?#+GYHg$l&mAemyu3Ex)zajHL*k-HMZ)AlaXqo^y2yevZw=KF#)kDip5m7gz}vl zRw6QNth6F67SnY(4~5i+Ixx?akv*mIs-DN2N*<~>PQw1C-n2La^{e$OYqjoC-4aSL zg&;P!8ZN2k>SQQ}#oHiK0X@(Gro^st{?^KC8q~^aIr1PcFBSEnq<0~$a3mc!>RdSD zZsf6w)7-qjj>n`?^@=_y1*y}nleXKUl`?Neq&Bx9s=#u#^|-ga_U9kEg^E_EQC3wn ztRp`ah?%5`#s1yw!+t#;KemgL3y<}{V~)Dh|Gr^+()U8_4dZvno z`AHgD!5b#+Q?bXU;u}Zz#g4zi?V=r!>Uy!S7&sr+n-kyc_1e--^K{TwzOWw0Tt+og zQjna*43)JMQ_6<_z>&J3##CPq{l{_CGwL)rRDyWHjE4*)VOMR3*o#QPZ*+Pebl`rN z_Sw?a35gT9S1ygMWWF6Fdpm`dX1vjAH!7dqFb8dNn^aA>(!8^JR9T_815<&fx()|O zJXjv9QK)F0zJAUneB3Q%pD$gpdc3VeKef@J24#DdAu{zSw{m%U{JtI`JD9?wGIUPN z6mdO;5mvQ~Y|2?-ER~Y8{e=6u1zfgy8=|b(thNVM$*rAHXD^xRwS7!2SqPd=(FDo;hHa8XiS zP85355Top&V^ond zFZk$t+#~v<^3ln3(>TqV?1#yI;F>Y%2hH^ZuD_Q}@viE-qs&;2D=%F!K6>b? zrQ_>B6I+7)0T*@#@Thjq6*wScF8FE@K(-nd0k^YzL>hmm5_^qLsrRAGRBGMwMPtjz z=@S8}e9cv5d(bFVg4T=cLBlnIDP!D?`yf?ohe}aY4TnHBeUpK5{LR@^j<y|jmRa9M~Odr&Js$Qjv zuR2!zn5r_S>R6>Lt0zORXP$w#5b2exmo8tkYn6u%{YF1+lHx&nXv*>XvJd4gA zccb3hHu-75s~Cn;bWD{8QNA7ygkSLCPlh;RFXEedQDYz7fxhC#JIub4da$jAxv>4a z-6P7|Tx@@j>&xdr=Qtwmpi;DLp4j6>U(ebP1}jS5A5L z%2`H!x;`vZ#|lFlMXOR(rf4QgC!%GY9(6uJIDh#>_?Q-YFb+8G80b^Ih;QaOXtMp2 z{Xgmd8*d)q+;i`giqGluoSp|sHSCZ1iYHYJl74ysvM7_0GU9Gj@_4N8_Ia%8kgbL} z(Bob15qZ7d^9E%+0^Q$^RO!Q%>ykQVUPWZ9p$ocBb&rT|magle4{gB5&eYjeSn8_b zBp-rayaB{O9?jBA@p&5hLBxh%f;Y0Ue*F11PCuvOzQuLO(|;<@4j|(kk&2c&4=6_F zt5nXtB%ZyJY9VS*cO&!a=CE^XND-ElDWc0!vDS^u zDSeDBS-WcIaBrS_ZmhjQ7$_pesYJqD_LrENwelCqq!ZnFiws{4| z)PV!6UinL?=Q{BZq`M$R;tAwKO?N=#IZPD2h+)`RX+@g(cSjVhK*Oj9C$!BmWgD(R zk8F;*E1RPURbOCU1^UuQ5f8ZI(ZwvIkGi8m4U##rDR14vxzfKqDclf8+CFP$>*&lS z!>uE;x<+QqUNky$bV*xhTj%J=$fA+X+0F!^=v7K1ax+vLc5`A|W8mq$NgGG(oV|Ea z`$)&kwiz=Q&mI}>8d)^j(Y|=*Fr;?OTGZyuE~I6+JJE898Z{i{^M;QcT{5<6dCQSU zEtwPh$F{F+P+K>Lyv`qWYlkMQXh&TiN90<>*SwHagQDiZb!Qar#e&Gmlz}u%_j7uK z0v_QZLUm@?A0#~B4TYd7BdA=TN}QWIV}=h)-k)YrLAjbN7wAu zw$`q$8SS0Urd1*IRBy_!dEka?kw(`?p_pEWC^W*ZP{0P-O?j2DH=tZrdJ>sLHks;G z<^EJ}uU@wgDrD`QE=IP9k^2H!$57j#SOsP|39wOmm?IYYF(An?l}+?yQaVpP?~$v` zAO%!#FEDp7lhNt*ya&5~t!6$dxGzbrTfA&+#b`V|6ptofYy2VLQO9I8A(b_@YBFtv zeF9KztD-~nyhr#FMkm5l{nRTJv_q9s(b(%;1T z{#}gZbsY;EY1VxiNc>{X$-C;Xz>%vp^A(`p4L~+m7)WH|$=pCbok^6^_UqKH(A=h* zH0?iN2~`b58ka+-0g7MLCAqsMbx*)(ZO{2mt=c!}wTA9*CsJd1fEd{Wq)oqQLdz~R zlbffNda^V_b$Zik76QtdIWbtuX~mvw5Ox??@~rrz2cjJWp%=;9yV!-cwbVURrtl!C zBXZ~{pk!+;^Kf}fS6D*3+4f5KDWJTmlgMP^`9!I|s4AESPC42jcoYoq^NqVeq{e=| zrPdnsF*)?{y83Fo1D)b$KuQO}6D~HHC`8+qXbL^)Hdf%rPl+4*G?2|%Nq*s~AN7<{ zYxysmro=OHC4MD`J`1RP>U&-;`1gSF(Lm1E16co)7~alpCC#|iY|te5hh{MOBbZP? zw8gcmQ!Mr`dFu;UAcbpWQ4TH1p@VYhkQ_Qs4xKNDmH|cA`qm4r;{)g4!{tJckVDr1 z%Buz(O7XerlCG(q4;n>B0?$>WHNIlCPOs;KutxzapFh^Rk|mqAbq!#T1{S}_*AO*J z93zJwD~Ens4m}l6Zl4ONL?&ItM5{H%lMU+P)8w*GmqX8xL(h~$&yqvWmP0=yhn^#c zo-2o*Cx@Odhh89uUMPoN1gLB^_>NrY4RYu&#QHvGhwQG+9?#n$wR5w7${Wfx3uwtC zzO5YkJ~?zpK)F^9q?(bnBhkB#i1Bjox*KcgV_EEg$}vUR{L8eWlO2uwH_D- zlur%vet$WgO%_rEscb2cDK~K6a*?Gv+WNWJ5?X6W>|{VKCJ@aAr`RDibx)0iTmmiE zQ&T)E!3PL?Q$y)ws*K61lq!_-iR3|vz7*^C!ziHlB(jk&6!Rk*K7Q#eI&U!RTqXOs zJ;$94j>UW`)m!c>Bzhe!_qXH;d+iCHJ&9tfoQHC`!4lh^D(AB0VqzdQw}3z8N+UoQ zTZvu_8ABvvUo-5ESb5f^JeVCULes+hax#-k9#o!}D;$(4z!tqEywZ*@M@7DnDy9lU zDaCnya;Q*F_9wF0lrwu?70wdIa?XjAx_jVwAPr;ki2!s|wpc18oGvS*$`F?uEF@FT z9l(#k2t-BStCRO&Hl6QZP%fqp9!%j0t3tW=;3CD$P4|^+j|ANc-XS*jD^95?h2CT?zW}P{bMw$) zaEsJ@=#L?hNjS>hXTQJypssB>m2WS0wWf+?+=I%^tC(c4=*~L#+jHO7!@a_^cMz*a zK36D}om^!EI>xU`dca;1M`6|K0e$(PJzKtml3V~Cvnjer$3dotJOr-wJ>*>gvxXz& za6G(=MIhGQUHMW_K2a#8ouS>IP8AY`WWUpcM}UT~Rbyl0<(0#$#+Rxu%a92p-$+Ol zi|M{B7hoJXfnt;>iea7){Js}SO;k2j;+5|*_I1t-y$qzJu1qF`=%Fr85YT06JaL=F zS)D2~U}d(d4)x{W&Xp{mNhF;OLphPfyo;GVo$b?QF<)063cd2GQ*D2`ufJSba!Obw zoT+dH2S{QoEm`AnM!pkK(>$5W1(bJ)zve_}X`*wfTJBS?QE~AvchNrataR zS##$^Q|lZxDXeqtQ7mDsV$H$E)19h7?g@2W2^8FGx(mo)rj*WO3ztt7in(mUG59eM zU^$G)v8o0djyc5Xz;e2m8XXIcoEweHt3evl*^`A_u~_cmt}jtoP|oE`>4Eei&IIPD z`6+ufxuZbuV(6>E3j~ zG3$xe4B7ldPcc{Mfs5m5y7F8MEY;|8DW_?Bk~Q-^*k@|sRQ74fQki?Wa*uP)k8l=PN;34#)GXdpJIX}=dSd14li6Ljht4me+An9j;1xA3?X{zFRb_3YY zG=M#)0qnUAV9#p+dwv7h3xI9P;JdI{j4o;xqt7;r(dWRZveUy^<4`J&3sq_RYx1%Q*zio$Ky*oroa*%zeM8DcbTk2s;IdrNVdX5}=E}$}pI8QG0 zd_Z|cOr62%7S!`W9drTkymr{u8XxFCL`UfPAoPVmv(C6hp};}FivX0_>a#!!o$+&G zp`Qm5zt~&^)ii$^)YLBk$Q~k=!H-kNLHI8=i2qW9_=|yOSIxB6{E`MGUkbdit1okv zw!dipWx3GH>qDa~CSL&*Khb5`D;mIF3G7tJ%@56JYi*C`3h~rHTbuo(=~sadpRH}J z1mx<5ARoWFG59r&!LMx$eqCemuQmq%T4V69HwM2Ra9)OW-c9aJ7n8W{T5z8Esn`EO z-Tw`6VV(6G5#N*oza<5J8$kR-1NDXmus1e<{cZ!;?=^tEsR8Wwfo){fytzUAEe+yt zZ4mzh;Du}7ZGg)5R=3NA-XVwHDTm%Ahu#gSXwJC@K$_S7Wb;QrewcHIaUnL5jSpt= z)L$|^H=XK@^EpWSn_2hDjqzi*P4?TRhwBTCvgi3peJBi64M7XWPvy|{fb#RyX*_z4 z$C%>wO@0gl@lX9U?0V*1pV_S)*%$0KJC*{ypa_DM6Wj;7A z7rI6cJyH%mN)BBMsLV?1kJ8$^U}po){o3%F@v$iQMm5*8;=4#>*WTLF)?$4niXUp= zy{-y6o>@9R8c&T>Ur<1e5j-}~YWX-DU#)0spVQtkr?V{{&*F4wpeI#`x6Rro-rm~Y zu2Flk9+q@jHyn;sxD7nQ658#GM6wpqV%%tMuntI}zDLWUp9YjeZglO+u~p;ck!aov zfC|SUBUJljKq>pM#pSUz<15#UE1v$;)5Y3%qt5~%pDC=M%S)Cn8(r!Rh$D=W=S$MP7l4F1ry2Y{4p^Z+3Y?~WSLn>7G zW0=5sC8zdwW-c54#YRVWB^_xJT~7u2DleUCHPvj8bM(4UyjNMJ^<{#fDOA8e@C}4) z$_J?)24VYLu>!}+%c0+KHIDqD`D#02G5)W5+FkZJ;~N`7e-~)kSn5RwQS1G(_&B~c z{b>zD7VI4=&8HoLtbF+A0F|kncaeMS;Z?GB6r}FKa&-%GXi*LwltYK)&;@enA#&({ z%Ax;l3Dvgdp8yoUSX+E$wB^0#WwA1VeBcq+*3)>WDULN*tMz0(9;uC@g_fFYOo!#r zMS$|GAe$@k{m@>Gt0(KxTB}*wAby2hb)HJerj1X^g|3!E*8tiA)8Q4xcxrw!l`rw1 zFZ86d&P!9+5IQfz#ZwvQjb-;qQ0v{{k#Yr(l0(EGFGfBl zMm`Q?L|*`9EY@9{q*^h5;yfezLxb9^-7cSdm)MG}X&gJ$Qmoe6!vK{{*-Pz^nw1W> zR99>15rDFDI`QbTR_w`wr0!+uWv!z^svTGXm<^Jq@_?z-=i#{HKDP!a_Bla^k_Ns7(k`IbS$v4 zQS&Le!pF&>$BXqnL5w_6j66x+(34##J`Ah*rY8%Ex|8TBsNe$-_%>AUV6qg)r&z52 zd&;SD@u$h5r^}&d$f0Kf%2O)oe~~|{LHyYgd^Z$!!)GLTZLT~=f>*+kp4%Y)Jm9wi zALlEr8edNqGlwXXi69kM1e z_9YkDF-t4-WI<53hc0%JGeU0&_;(JMh>@4tY1>_E$Ym~aX0*MlX4@~@A!{OImjj8P z_n*US^?{dUzT%2(>(Z(9JlXQ>$#2>#T#+3*v7Yw;yK6O9x*{V!=C9f3Dm!FNWbA4? zq^9jPc1TU;wPNJ=#K@cMkeY43Z->;{c{7mG&EqY$SgmJn6>EGOkT$0xnwf4F3%$cq zsAl0iEuor`9<$R|Yw6>bLN$G#vV>~-K4S^hr2fhhs~Ng=XJ>S_;+dyA>>CGgdX|TLG0$Ok2BR1>O2~S8U{~SDK;T zVT;we=bf&~g2!gJu@$SSysax%V5#i^#V_jjdNiRsxI&}0RWs9j0F`>hPL^UdXWZFV ztk&9HfWz;C$mTg1qH>msAfrL92XC;3iXn;hCM zht7~gJLJ$#IkXE7&$|XOu9(>|JOYrmYEeJM_i=+bMT`s(*ARg%YdYZg^o1G z5i}p_amT5}Tfo{olqq=qPT)2$HZr=bxODmS#Io_xRm1U*42`Z@x@_#wk7|-US0^ z_}D}()Fr7^GdD@1Ot<;jg@gV9{DWftt6t{$dg9Jno&#T%^WV;@JpYB0%F~Hdo?pjM zd0vKK9+T6Csc!yd>nHv77d8AIJr-I#F=hvC}qaffjKFqj%MjG>31!0-1C} zim_cDt1tE!7(94Y&jS(YvAds#A;l@+?uff(hTn20+w5+rFIJZ#Xqmnswk8q0m zPPYhsac`wtVmIP+#j;=cDx%Y`PP37}nY?tXU*Ip;!(U*izC$R*6QL9a)A|KVz-T?0 zAzZ24lIk<8=l_V;+j0O)^@93LH$KHC>vWrnfi@LA!dFv)rmqWAfgS?L=Hs}=?qcJp z{*r&n+1+1YY&HemU_gw<89rug(D=pWO>%NH8aG+6nRJxlg_Aw!?Kxvqh8xJ)+~_-$ za3hCPgo|wk#pgEP87A0-PJf_bf8|Y09;kv{X)?W|swPwvzwtw!dBwM3twJ36;Aa%< zio*I*6vVjxVel{tj8hGR!dOj>%PvkM_2%=nMvtbi`3npw8IBK~he1&-P&WN5?zA`% zRj{KfQ1;-zPV-P~?Wd?RRS=`Vsn8WO&cufyB%CB|@MuOs_;u}OND1bl#>;;8qam27V@o)(L9tyEhKDgrgM85DTW>fQv=criy2If0%y^%>wTjw22-QJXiGQ`gCbm0V`TG5JkL3hu|YEw zTj+-vZAtklruz#FNVw_-#3(Q{H7Nd_3I;-LYP9wcKg8&L17b`U#^ny+s@A3-5uC@I5S(i;m1g*mc^t}S^`#0YD`QuBxo>1Fc=b& z+S*72E1Hn_2sQpO_{+yX0tr9x2l0_AkN}=@cJAzMciTyB?(W?0o^$Sb%?+~xwtzAA z$*28(nAoc@R>6pdf{t$3imo||W^*$v$$TCggO!?tU*0Z&8ApjrYLdz=1CaUb0=zo= z)uZ7RFe9=A1`4ydjM55O8@w35ar-<>1R$89&6dmvw~JUUyxz3u*fqpHoX6PWEUcK; zkALvR)Z3s0^;Ed)A#N&NJmebh-_g(*c*=uSfaZXk0AAq1r{{)S0AA?9b#ueJ0AA$5 zXu=$3v^KN_7JD$9eJ;2eBrjph0D8IYUJpzJFNZn9>y{(yv8AjL-rhg(pc2DY3Ilg# zPzaTW#GJ$$8QO4WhQ5F$&{ncUY=Oa4#7gwd2P#C@X9$D zcnUN{vMeQ{sa&&Hus`A5?&-_r^I=9Wi*&a1xG8f`s~WlnWEndI$j6g`e*wxe&r4@V zQH|J2()wTYufrm4;uvWTf2|^}`{qPdjVo_8%xIman<|u%WlI_ufNVK?0oJZ>s4ZRO zvgIyoC9vW$(A5+XSfvL|SPCQ4(G}#srq2&v##PK0QBzh_H*Hergo2e6j_#AA!{QvG zHtmGL0a!zFU^_hsAuur5W3t|j2i`(r~wLL~r{3Nw6*skDxL2dg6!qh*3# zKL_mu^-!XUQW7Y7aNA}WWWkXG$)o-ZTN*Nc2iCK%f$P8f*{D#p5dNcKa#S%kkkrY( z>Fe;40xg;aWPxHQoR|_cB{Sg|l44eqmxkW0y@fC&h*u>cjydMUHO1b@MquIlZ^khP zNup>^DT$jXys=YPet~6L7vT*nF>p0ExEA7?tZ-{{j?=x`P5Bge)Nf%}k0Uo9`p_aQ zQLlE>R83Cpb^wT8$gN#3b$vcrH5|w{J8tEv;jN_T^}3c*Ac{^RdcGXf<-Hi^ZL9*k ze&(ldZFD|5L{!{9B^Ht;Gvug}CPlben`2-n(D@CVS*^2nnL2XK{a^pyC|o0aoo)(F z>Z?-EqM+}$k>)2b(P2cPWL4bLUhge(F_AAbJ}x_<_cqX(j{ozdSjZGrpCyyJ<}>8X zbM;q0LKfpJ>PM9fM-OKg{d(x9eb_xAM`BtZZi|0JzwY{2gH&YupVH zQ;!>I>6RSjs?^B3Vfn{>WBBbNe65Q^XO>NF^Yji(mmSpKYRb2s*zWSedTgm_HYL4@ zE(=8$uI(4bh!P3$E=A@$sYHJM}2BHP3vJlnwAHdl9(T?pZWhro1_8k#D-QS0dR9L(V1ImSoN8T|hw`#MHT2zlj)FCH<1TI? z^F+^b+b$Xy$Z3C~dS^C(`VKlvaq-Y2Ay0h$6--Y^z_8w#b6y|7`Olkf53?RuqTA}p zmu`iGN|B`L&7?O-dNC9N#Mbe=l~83i48JbD^TtE87Cj(t4|EBF+io1MEmkPU^86Ps uOa-wioeJ3(FP`I6yfRRfQn&E>FvaFx$CUwC$VweUGQpW} ; -- ; -- ; ; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; -; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Mux16_1 ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Mux16_1 ; Top ; -; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Mux16_1 ; Top ; +; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ; +; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ; ; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; TOP_LEVEL_ENTITY ; Mux16_1 ; MuxDemo ; -- ; -- ; +-------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ @@ -97,15 +96,9 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 396 MB ; 00:00:14 ; -; Fitter ; 00:00:03 ; 1.0 ; 941 MB ; 00:00:02 ; -; Assembler ; 00:00:00 ; 1.0 ; 353 MB ; 00:00:00 ; -; Timing Analyzer ; 00:00:01 ; 1.0 ; 465 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 600 MB ; 00:00:00 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 595 MB ; 00:00:00 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 595 MB ; 00:00:00 ; -; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 603 MB ; 00:00:00 ; -; Total ; 00:00:10 ; -- ; -- ; 00:00:17 ; +; Analysis & Synthesis ; 00:00:07 ; 1.0 ; 397 MB ; 00:00:17 ; +; Fitter ; 00:00:00 ; 1.0 ; 386 MB ; 00:00:00 ; +; Total ; 00:00:07 ; -- ; -- ; 00:00:17 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -114,14 +107,8 @@ https://fpgasoftware.intel.com/eula. +----------------------+------------------+----------------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +----------------------+------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Fitter ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Assembler ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; -; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Analysis & Synthesis ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; Fitter ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +----------------------+------------------+----------------+------------+----------------+ @@ -130,12 +117,6 @@ https://fpgasoftware.intel.com/eula. ------------ quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo quartus_fit --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo -quartus_asm --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo -quartus_sta MuxDemo -c MuxDemo -quartus_eda --read_settings_files=off --write_settings_files=off MuxDemo -c MuxDemo -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Waveform1.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht -quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off MuxDemo -c MuxDemo --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/Waveform1.vwf.vht -quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/simulation/qsim/ MuxDemo -c MuxDemo diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt b/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt index 22da6b0..bf52eb7 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for MuxDemo -Wed Jan 25 23:41:52 2023 +Thu Mar 9 17:22:00 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -43,10 +43,10 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Jan 25 23:41:52 2023 ; +; Analysis & Synthesis Status ; Successful - Thu Mar 9 17:22:00 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; MuxDemo ; -; Top-level Entity Name ; Mux16_1 ; +; Top-level Entity Name ; MuxDemo ; ; Family ; Cyclone IV E ; ; Total logic elements ; 10 ; ; Total combinational functions ; 10 ; @@ -65,7 +65,7 @@ https://fpgasoftware.intel.com/eula. +------------------------------------------------------------------+--------------------+--------------------+ ; Option ; Setting ; Default Value ; +------------------------------------------------------------------+--------------------+--------------------+ -; Top-level entity name ; Mux16_1 ; MuxDemo ; +; Top-level entity name ; MuxDemo ; MuxDemo ; ; Family name ; Cyclone IV E ; Cyclone V ; ; Use smart compilation ; Off ; Off ; ; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; @@ -161,56 +161,58 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------------+--------------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------------------+--------------------------------------------------------------------------------+---------+ -; Mux16_1.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux16_1.bdf ; ; -; Mux2_1.bdf ; yes ; Auto-Found Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/isd/quartus-projects/MuxDemo/Mux2_1.bdf ; ; -+----------------------------------+-----------------+------------------------------------------+--------------------------------------------------------------------------------+---------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------------+------------------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------------+------------------------------------------------------------------------------------------+---------+ +; Mux16_1.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/Mux16_1.bdf ; ; +; MuxDemo.bdf ; yes ; User Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/MuxDemo.bdf ; ; +; Mux2_1.bdf ; yes ; Auto-Found Block Diagram/Schematic File ; /home/tiagorg/repos/uaveiro-leci/1ano/1semestre/isd/quartus-projects/MuxDemo/Mux2_1.bdf ; ; ++----------------------------------+-----------------+------------------------------------------+------------------------------------------------------------------------------------------+---------+ -+----------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+------------+ -; Resource ; Usage ; -+---------------------------------------------+------------+ -; Estimated Total logic elements ; 10 ; -; ; ; -; Total combinational functions ; 10 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 10 ; -; -- 3 input functions ; 0 ; -; -- <=2 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 10 ; -; -- arithmetic mode ; 0 ; -; ; ; -; Total registers ; 0 ; -; -- Dedicated logic registers ; 0 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 21 ; -; ; ; -; Embedded Multiplier 9-bit elements ; 0 ; -; ; ; -; Maximum fan-out node ; Sel2~input ; -; Maximum fan-out ; 6 ; -; Total fan-out ; 62 ; -; Average fan-out ; 1.19 ; -+---------------------------------------------+------------+ ++------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+--------------+ +; Resource ; Usage ; ++---------------------------------------------+--------------+ +; Estimated Total logic elements ; 10 ; +; ; ; +; Total combinational functions ; 10 ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 10 ; +; -- 3 input functions ; 0 ; +; -- <=2 input functions ; 0 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 10 ; +; -- arithmetic mode ; 0 ; +; ; ; +; Total registers ; 0 ; +; -- Dedicated logic registers ; 0 ; +; -- I/O registers ; 0 ; +; ; ; +; I/O pins ; 21 ; +; ; ; +; Embedded Multiplier 9-bit elements ; 0 ; +; ; ; +; Maximum fan-out node ; KEY[1]~input ; +; Maximum fan-out ; 6 ; +; Total fan-out ; 62 ; +; Average fan-out ; 1.19 ; ++---------------------------------------------+--------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+ -; |Mux16_1 ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 21 ; 0 ; |Mux16_1 ; Mux16_1 ; work ; -; |Mux2_1:inst14| ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |Mux16_1|Mux2_1:inst14 ; Mux2_1 ; work ; -+----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+------------------------+-------------+--------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+-------------+--------------+ +; |MuxDemo ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 21 ; 0 ; |MuxDemo ; MuxDemo ; work ; +; |Mux16_1:inst| ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MuxDemo|Mux16_1:inst ; Mux16_1 ; work ; +; |Mux2_1:inst14| ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MuxDemo|Mux16_1:inst|Mux2_1:inst14 ; Mux2_1 ; work ; ++----------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-------------------------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -259,16 +261,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Wed Jan 25 23:41:46 2023 + Info: Processing started: Thu Mar 9 17:21:53 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off MuxDemo -c MuxDemo Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (12021): Found 1 design units, including 1 entities, in source file Mux16_1.bdf Info (12023): Found entity 1: Mux16_1 -Info (12127): Elaborating entity "Mux16_1" for the top level hierarchy +Info (12021): Found 1 design units, including 1 entities, in source file MuxDemo.bdf + Info (12023): Found entity 1: MuxDemo +Info (12127): Elaborating entity "MuxDemo" for the top level hierarchy +Info (12128): Elaborating entity "Mux16_1" for hierarchy "Mux16_1:inst" Warning (12125): Using design file Mux2_1.bdf, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info (12023): Found entity 1: Mux2_1 -Info (12128): Elaborating entity "Mux2_1" for hierarchy "Mux2_1:inst14" +Info (12128): Elaborating entity "Mux2_1" for hierarchy "Mux16_1:inst|Mux2_1:inst14" Info (286030): Timing-Driven Synthesis is running Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL @@ -277,9 +282,9 @@ Info (21057): Implemented 31 device resources after synthesis - the final resour Info (21059): Implemented 1 output pins Info (21061): Implemented 10 logic cells Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 402 megabytes - Info: Processing ended: Wed Jan 25 23:41:52 2023 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:14 + Info: Peak virtual memory: 400 megabytes + Info: Processing ended: Thu Mar 9 17:22:00 2023 + Info: Elapsed time: 00:00:07 + Info: Total CPU time (on all processors): 00:00:17 diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary b/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary index 27061de..7b34f6b 100644 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary +++ b/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.map.summary @@ -1,7 +1,7 @@ -Analysis & Synthesis Status : Successful - Wed Jan 25 23:41:52 2023 +Analysis & Synthesis Status : Successful - Thu Mar 9 17:22:00 2023 Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition Revision Name : MuxDemo -Top-level Entity Name : Mux16_1 +Top-level Entity Name : MuxDemo Family : Cyclone IV E Total logic elements : 10 Total combinational functions : 10 diff --git a/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin b/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin deleted file mode 100644 index 1b30489..0000000 --- a/1ano/1semestre/isd/quartus-projects/MuxDemo/output_files/MuxDemo.pin +++ /dev/null @@ -1,216 +0,0 @@ - -- Copyright (C) 2020 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and any partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details, at - -- https://fpgasoftware.intel.com/eula. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 2.5V - -- Bank 2: 2.5V - -- Bank 3: 2.5V - -- Bank 4: 2.5V - -- Bank 5: 2.5V - -- Bank 6: 2.5V - -- Bank 7: 2.5V - -- Bank 8: 2.5V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -CHIP "MuxDemo" ASSIGNED TO AN: EP4CE6E22C6 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -RESERVED_INPUT_WITH_WEAK_PULLUP : 1 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 2 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 3 : : : : 1 : -GND : 4 : gnd : : : : -VCCINT : 5 : power : : 1.2V : : -~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : input : 2.5 V : : 1 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 7 : : : : 1 : -~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : input : 2.5 V : : 1 : N -nSTATUS : 9 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : -~ALTERA_DCLK~ : 12 : output : 2.5 V : : 1 : N -~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : 13 : input : 2.5 V : : 1 : N -nCONFIG : 14 : : : : 1 : -TDI : 15 : input : : : 1 : -TCK : 16 : input : : : 1 : -VCCIO1 : 17 : power : : 2.5V : 1 : -TMS : 18 : input : : : 1 : -GND : 19 : gnd : : : : -TDO : 20 : output : : : 1 : -nCE : 21 : : : : 1 : -GND : 22 : gnd : : : : -GND+ : 23 : : : : 1 : -GND+ : 24 : : : : 2 : -GND+ : 25 : : : : 2 : -VCCIO2 : 26 : power : : 2.5V : 2 : -GND : 27 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 28 : : : : 2 : -VCCINT : 29 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 30 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 31 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 32 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 33 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 34 : : : : 2 : -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 38 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : -VCCIO3 : 40 : power : : 2.5V : 3 : -GND : 41 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 43 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : -VCCINT : 45 : power : : 1.2V : : -I10 : 46 : input : 2.5 V : : 3 : N -VCCIO3 : 47 : power : : 2.5V : 3 : -GND : 48 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 52 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 53 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 54 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 55 : : : : 4 : -VCCIO4 : 56 : power : : 2.5V : 4 : -GND : 57 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 58 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 59 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 60 : : : : 4 : -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 2.5V : 4 : -GND : 63 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 64 : : : : 4 : -I9 : 65 : input : 2.5 V : : 4 : N -I13 : 66 : input : 2.5 V : : 4 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 67 : : : : 4 : -I8 : 68 : input : 2.5 V : : 4 : N -I4 : 69 : input : 2.5 V : : 4 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 70 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 71 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 73 : : : : 5 : -I2 : 74 : input : 2.5 V : : 5 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 75 : : : : 5 : -Y : 76 : output : 2.5 V : : 5 : N -I15 : 77 : input : 2.5 V : : 5 : N -VCCINT : 78 : power : : 1.2V : : -GND : 79 : gnd : : : : -Sel2 : 80 : input : 2.5 V : : 5 : N -VCCIO5 : 81 : power : : 2.5V : 5 : -GND : 82 : gnd : : : : -I12 : 83 : input : 2.5 V : : 5 : N -I3 : 84 : input : 2.5 V : : 5 : N -I5 : 85 : input : 2.5 V : : 5 : N -I6 : 86 : input : 2.5 V : : 5 : N -I11 : 87 : input : 2.5 V : : 5 : N -I7 : 88 : input : 2.5 V : : 5 : N -Sel3 : 89 : input : 2.5 V : : 5 : N -I1 : 90 : input : 2.5 V : : 6 : N -I0 : 91 : input : 2.5 V : : 6 : N -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 2.5V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : -~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : 101 : output : 2.5 V : : 6 : N -VCCINT : 102 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 105 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 106 : : : : 6 : -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 110 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 111 : : : : 7 : -Sel1 : 112 : input : 2.5 V : : 7 : N -RESERVED_INPUT_WITH_WEAK_PULLUP : 113 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 114 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 115 : : : : 7 : -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 2.5V : 7 : -GND : 118 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 119 : : : : 7 : -I14 : 120 : input : 2.5 V : : 7 : N -Sel4 : 121 : input : 2.5 V : : 7 : N -VCCIO7 : 122 : power : : 2.5V : 7 : -GND : 123 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 124 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 125 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 126 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 127 : : : : 7 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 128 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 129 : : : : 8 : -VCCIO8 : 130 : power : : 2.5V : 8 : -GND : 131 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 132 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 133 : : : : 8 : -VCCINT : 134 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 135 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 136 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 137 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 138 : : : : 8 : -VCCIO8 : 139 : power : : 2.5V : 8 : -GND : 140 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 141 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 142 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 143 : : : : 8 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 144 : : : : 8 : -GND : EPAD : : : : :

    }OWxeC$zK?1Ay z+^^+Q4APus>G~$V^@`ID=q2G3SK&Tqn7Qf{?lHJ%IgW8K8B;9`GO3V)`4EZ`L(3o) ze#rN2C5f}#bD6u~ZM_e2?V6!hb5To?;%Jl*@x=my8nE}k#Hxw=zhbz58{#;75LmXP(!o1QPzzXd zEHUXNan@BXE!G~VZ79pb%s#|97njp~xU*g>unU~7sjj9-2lV7 z@J`yzXF)P#jP|M2wGH+7>VgXwVzDMukZOe|J;`vygcM+X$Y$hzx9TO5+At}c&(v5? zxkjq&z(s+Ge!EI3rEo~ot3_t@T{3zYuy%3Spt`ls!oW_z?h5XXtV0Zc7ROM%XuLA- zDCGK%%tg9NsEqoH`!_h_{>2~Fjh#%hQi~3#8`{W7`0=ljnqCgbk}ZEN`yXfP%h=HT zq+#q}$Y+vWY+gv`*X^Ro;}<O7|FL<-myj`EKm2%`L6tsu54$flYf15Ki6nFwv&?8QFRvodcn z5+`=kmE}w)BTLd7#hct=1y-RvT_om55D0V>^%qcla17wf>)7Dc(f@sxF^x~fCsIpA zSO*T32+gY%7{E=Yr}|2OfhZvY6CkWoiskfswiN@7f&+hW%K1&qD#SsfZ3L-H7noBY^II^D7K9nmFEA~5B5`9H$B~64(6(L^Do<#Hhpw!n+MHbJ zd(l##JwAInnDga1)y%AN-2#j5r~btOUb`8Y$w0+*#~J;eBB>0#vSQJCV@HxxsLcR_ z3byq*7EWm|>~dF7u!v(0j)cjPuS6(3DBOSC#Y9L$B*(8LI`e=xvjUA99>3GG2_V;F z%lw&!`HNuWE{l4NZ5oOxZfQsxVwB(-aUZ~6h$#U$om2Uy&;HW=YDgeii4=ejC`G~(o%aO!s__1;g1o6C)8j*Yh-UYWl#qB<)Y9t(tA)^H-FG?#)-S& z#&laqHPI3NBmqx@#af}`;aQ5c%G*FDVHbkI+aE*$Zoj1}s zg){n-S?2uY2`(xY=HE(;L8Rb>jZH#Q6d8?b24U<3ZhWF)abywRLc{GOPB|F*6?)B& zg(mT%%)zFUp?!aL`it6WrtC)?HybEygdBsq8e`cR2Lnxp_SSEGP_?2NWx%`Ir&f$1 zhw}Bd?5+7GbO==&{f4MPJQm(qH>9((ZmP95zz~z0rH|sLz>s&t+L5e2rV`gPdJj_7 z7mqsq5S4Q9CZ;1Q;|Uc)%59?8WaO-Bg(?Ojs3VIB)yaOX~nS40h@whH51}Fl*NHnHPn=Ctb!33vNid?RW z*2*UEn5Ow+#2FSRgRw=-+U}y$wUlxL(O*tUC4zhorWIS(vw>JR$tT74w1^Hid?jRq z&aEgk^a9a~k%*~2QA5mmq~OybI}jKZarAnWNEsz#;F2c@OR5q?!8;;t%BqOBqYY3+ z?t@fcm_(qU!2D58h#G~VrT40nc*6BQQ>86jr~7-u3F*)E{N1)F49fKU{Pyj8BU)~yKY@4jz&m&?onI*tsP^0@tkezFWLs&pbtHEPI%DPK0-y$G>WNmwEHqdRdR(!)5nhga_9Z zmRiaF@4r^U{XUFXSms~`sOCzgevoU!ohuWtV3v%!QGZ51G=e&o5qzWHNxmP>`XTk0 z&8m0w`jbONfdm{{&jHTnMW9J=W@y*>6FrwaUj~p_5~A(P65bi>2o_eVAu663>Z5dt zUp?O#4r3gWCDMj3J2`*@29oSobHLIId2!uM8Qr`4XGC$pGc0^}28g~V(P1cb=rfYJ zY;J4&$$yg_y?UyZ^GNE@O58AsAk3Ki&OA%7ERL|t= zvC?lbiJrfAW5`lAinI<}F1|PpFCh5Tz^-bfaN38END1)M{Y!MNXit;=ikSu6f6%M0 z=T*N0)0~}!q?3jQNf3YeI=(7#c$z{SvakJN{*qb(;+p*qAM?N5?2dAx4+^~OI|XHv zN1K{0WTP~uLNpa8+dMP-(_0r2+D-)sejFse16C19B@8h|i=THS&k;TZT?wQrPG07% zfV2O6n`!&0-wkIsPvU}r%0-1Rv);1O*Al+%UT#$*sgh}}4j8OkJMj7Ha|oQT@7PKao(gsZ?UnzNJfm*3VO z4+R<(Kl`$je)NK6_?v;B?+SQ=AiIES))*iU=8zus{m9dz!Fn>;ZHM0{d$bAtWj&0z zt@{`!b4K0SlA9=@bMkxfg67cuk99Nmi6(jhbK#=g!YqL?{C}877c;NZs1ysBoHFSf z&2WZtf}3vvjh|;4_Z?*gL*54z5THJ~pP~E5XJgc}h^6Y#(`dC%uPvmwcILeOrC3M? z<9#8ji1-41)E*sZ+5X8`UMW=k-w<@ zU`3*ut%U3~B}*g?&l7v-&4NHDE$TWY9f12Bt1C6R`-93=MQ)x3~1%I(Z`Mj5b4W7$wdgbI%{|AUnJaJ7M39FsS=TaTo3Ju5S%k zrgsdcX}Fqpj#GNKS)keLLMSzMM z`st@nC$qqim9N+$+oIPFaX<)d{cv#L44ti1*##b1*p6D0e6p}`=KL60dD2Ihg0>sT z$G(I>=a@fS7=tEZE4ABlAH70RgGG1y4})=T=rTT#(MsVUxDg*JK4r{A41R1zTYOIg zS>NN|^Iz670~u0n_s{So-tu}bEu>&eGxJd|fsU`=C?l5;YJ=-f*?R|{;d7)j?D@aN zvK9-dv3P;24wXIG>R9*bXe(`V`yY0nscX}a++EK(!pr`WSbj<`MaOR0eI6WFgFHkE zEu&11V2hjmIL{u%lZsrF77z#3sjY9VeTt4Y&X59M;W|X@jMg83vG)yqcVFd+ z&Lbd<=GU`*0dyX1{vYUOaYj_{Is(eXk(;D7==bS%pF_46$u6&wBqV#(DfzmnS z5fVeo+CNWY>k)v1MBcq0h9_jxBt7&>|Z?uoW6yTUUD#jKLe zw4Y0)Zhz)g#dHHcsm=p=CH|N@ih_ExGwZGm2Ge6hItc%-w#LZ;KC@rq^K$;#+d9^I z(nil=tu%b_Uzv|yb22~u1bXjEgRy^kZ786K_Rh7wyvWmz>~bEu8?vDuno&`Sihul1 z=Rx8l#BD%WDTOCt$PWL$px{`csknby@_ls_VheJ$X1dsgo6-ponQUC#*m=8c60Edk zH8SjSc|43fL*66n8a0ik);lH7B@@0M!ce{;C`O?vSZ!JPp8$HvGy~;svs;9CAu@&= z);`8&-kPPtY~SRZ1^G!vr0qg7@9y=0;5-RGnf*BMh#rmi#xT_tby(H9s$M`)9Ge-2 z*5X=R7GzrcdSZhjYSla2^;_^Rm#43=T|tQ){4$DWETWu$zOVXDRqfoE0=nM+L3!F6 zlpM7B;2D87yU!+j)cuQbU3tUrS@g5g^U$DeJ%S52NtWodf=Jln?W}#reEqJVx>Ijs z_>#o)Fptq}BK=)hW_vq~0F9rVf$!k)Ye>VUd8Urtz*w=PKKVmlYLG=h>)5H!1ni`K+$wOYYpCuP-Oz!`sz&p?$Q%-!@ zE+jAfOM~jg4b|W;>pckaWN0tP0*sxJf_dg8BELQROo}CF8xA2`D2j zw~ys)M-AZ3mf7bAQ^F_n6K^>BZ9H2?D;l+^?LBO&!=ev0 zSyv0T0^SP0iR&l*cGy zj4bi+u|D<2>OrzE;_-6qM)WykErfOLDB0~0k=i`sq-#Kpowl<9wl7_5vz!#u~Yw4+>05)0e?Fm z%@4vE@SSPc%)?8URN?Ej5($c32o5Vb`}k}c#+>J5=l9&h92tK2HnPa@oTby_W4 zA&P|tXVY51UjG+!_7A);V0CuxpGJ4)H(59k{w_io$qRA$>%8}aklXNc!r@w@O7M{)W5&RP=u=1(? z^Pa@*m;@L{M$5k^$nB}!v~>4IOIAUqc4bA*L+T)Dl{XFF7VYqay~cItFx0f2Nyqm} zb^gj=`B&g>(#zTyV)x|Cx&li7sEf_@K2_)YROHSOJ#K*^LXbD_6E!R6QL&_U?9>?1 zJ`6yR3Kb^n-RK=uT~srQ?3c!yVWJ$(YWdgrH(Js9@4gqN4PLi+1d^;g3-IGN=_Y(~=+7w{&93QmH|I5cp- z0u%_F`I63K!&|uqmv1!cX)%{E4*l3Tfa?73r;@cTOF9g2K%SN!rjRA+8T`M2#O8*y81>$lD?blW0Vyv<$P0+Rz@I;-*2<^S6=Vq0irYa# z)BPBbY`(P0(IGckl56l=JX0_q@jD-*kBee$UjJzJM4x>AsU>Ah{I#V$I8F`)nc@J3 z^+c5BjLzgcfq)#43f_GA;|v>;2Ubgrtm?2kU7dIE8&ikVQ=i|*-MqV2_5*L$69k2o z(eaeXe#3Mc8qbm%ky#JCTdJzRs9zku5@LJen-Bg>w)m#T4tlI;96mPF-+)=C+JQ`_ zW%UN?x^?fw9DYx*?nF>6NhzaQ0~#5pAik15n;FYaiK5oH1^vGoPg))dfh@`5cq&e@X3X1>eF zF^B5qG+>KHh(t#hNi5=by_J~qcTkkRL9bGr#5b<1euib(t;X<}@a2bXIKifKA zEq-TErq&sOL4C1}3E+ER1U6oQrK4Q~b`Vuj?0c_B-99fPbs=LjDbZT@$9hF078Jmi z<@XUrS*hJy_c4R?=plocG>Z6|N0HulBIm*b6 zS~S>PtXiufts!v$>3xC)!4b4#RjKIf<{pHW*^5l0J9myKKx zSQopA*QS4c zB@z*PlkSiGI%Y8}`*}EiyRYS%ZQvpx2XWuP~ zA3t4%I4jc_auz7TKAGjHLgb!CPm+WjGM8SA7WXYub_Rinw`*^vpZV`@PlC2?jLtOL zxTHM(l7);3a0?V>N%B4N@`Pvs8XaV1+AQC}{x;P2jLB+XwC&DB2Ru`QK!%^_N*0!R>M+*{w1nH)ZgzMPkUYIPIXsvHLsuIlgG+)@9 zG4VHVII7~XkpXYoAuJzP=xNVxG`u4EaafC1%IXBWem18XJuxv zbi*_?KrrPDab_p73o&6{{3x=|4&I^KcQy$zBxMJuYI7IxF3yQmL>KBnD&)^Rf|`DZ zinkf+s!zgq1C?{9J$j(JiUIiMH9wlqO5BW8wOTD7JaFa)Ke(uQgow7hNtoKdX{i^V zWm*VJJzjf_kFRh#&wDB{)9ai?)Gg&>uXE710I;osr_m@;7W22Pyvhm-W8%2QiP$z$5!P-CYS;*pk5o>54-ZJka%Hg9{eJHO z$TOTyLN0ZYDI$O8unORzT6HwIWn>#AET4pwY;?ajUNzASa5lq)=AEkW_&|~d3FafqSQx{6QWOh5f%7#ayN=hsg#2M=CWuT z4V?orJ?5`}*3Tb)H~Yf8o8f*VQ>OUBv=yFZDrL4{YTd$;_?fFn=X`XNQ_|Jz8zlvo zoErBmQi-J>FPq3z z5Vm;V-T6JtBTg+r5T}(Jq?ZgvC%tO#6)*bj=^I(XG~f1H=SP?)%{bgNx4?!P`_KF! z+KxPMVo2+0QeMr43rW~1h0BER5}{Dw*WbRz8Q5NvutqLr!Rkfib%vqe;UAz}Wb_*!2R<^2SHi=Xu`>9@6dWmBz zB=fxz?Tcclbx|J5Pw0N@V81_>WDpzAc%o*B+H~3t3@tkX?rk0;GStV?tN4zi7ud}4 z&Z8lp_#2vf4-1CJcnv$z??P*e<4YNuvKGA>x+7lHWiGo*?E<+B{_8@QSb0nM#qpNo zG-xHZSUv2tc*pyYX)}qlIz%zcd(+MOkZGBC^lnt=M-AMjCbWUZxnS4%@#hoW3Ih z5w|XRbGveO8s|o!*=a#!cfHeigZVysNZhiYQ{dEskz70r`v%6#| z>ig>M+v+bb-f8jbwu#fcaq6;mdy=2p`-(XIn#&ryr4AZGOX8t}!*!JE#Hd+`61jRZ z_m4hrS!1$gl>F3?22F`i037yY!Q`O5}U|5Q`679$FCdX zERJi~{Gg8{zc~eKN4nETo-NR+c#i3+PZdbF=enT&@(({WD<=AV(yyEvp0Z?7CW%oe zr@V+5Z(0L7dD55^%cMm?r|L%&cyu!tFq}Duf``#i%a7=6R3xhGDe6=`Hxv#DqO2I9 z@oMG`|^u|2jZO|OgFW~%&-l1%;Q6sFEY{B4F+LQ~_`CjydI)WoI;)k)OH82wE2Zx>J`|sB4>p>cw}m9XVq0bFBS|)?bRzY3X6UHQ4=m^rq^P zI&@cdX)dLU$eoZq*6QNdII?U5!YvWls@&*n7FJ*K%O35%Rx=k3d$Wj_1%?caI(E;& zOMQSJq&BcDIxABC9SUQskPxhX4)-~$;isispX&+A1Q?-SQtRb%`mOu?a5QSR%h;Qjnw7lQPA=`% zQaI7eLR&IYh3XhbVAvb-Yvw5M=>jRycyPny6c2h<0_t_SWyF%0Y$?535Rkwn>^o zQQf-;e_KutV%zk|AK?I&qir9hsv~LeWqiISelyz0Jg)1Wk+lVo&seuUUfWGFp7ul5 zcA1@`z8d>du;F|~uOaHN(^E4cI~+qVA% z2nR=gX_fU-1bi3r3;%*RS#|b-BKz%%|3VOV2T+n~kKrp*V|TYo_8rR)zKklrL2qEBB0`SCJjATMK+#79OSs*4~k z!=q5CR+F)%khHf&mMfQ?d6ea3EVV&$EPk+$N1^YZ)1D(Bdvupmq%S6==(aZ}51vximkWlhr>zMy`H^+>2zY(|P$7-{wF} z_4LnK9iK&%syILU4XQ29k}zD!VqBiLq~75ZlZ0iDpS8$T4LCYiJ9$l4|pfHr#X zf`n7%U>)UfbvV8535xtQ7r#gB8;r~F_L|zrq+X!Wu{h0odRM`6^u^(|04!zz^%8K9 zUiSu33p#yyl=NRM)b#p4BwTe^RNdEALQ1-%8>9sU2ZW)!TUrTe>7Jpx8F#K7j&h1mo=kWCLxntsGoMs&7&p~kN^ZbJ=UZ~6M}Y&cD@0%>s4Wqm)U*6JZB@%IyjJ^FBgEj}dC9;X$a( z9nk(PN`Lc$?K@mxiYMVTw+UDjbjMH?{hKVitx`%7u^TM?Qv`yEt)*;Qu(5r?GOLg+ z=~I<1jvfWV1DY}=`Xd~q7}+yF^Qb+Rl!SQ@BH46HlU44}d+V9=IGx~Lw#!AIDFUbR z>E^PiDv^qvw-1XXL&xsBOya`Sva1M2!_r4S_tk6n%ueFx(^x>YqkY|}T2-9~k+S|_ zoew^PGME9fI->gX3S`kPwi^gmY7C2bxljV2>BU#Jmrot5l6If##^1|vKV5(nr`%WzT0bqm+!)goJKd-9^(gYIMTs%hHD+PplHEG+-@FgFNxxHw z_cIs4-E#%9fI(fRvwGZ=7rLU8>kFzKyz5M>R(93drY*0AYTZr=#!k;pm=&BpxM`_# z_2AMO&@f#qJxc=|1m3jmS*EWyH@~^bfJe3j>m3??U9S^td2H<$*IJk4bYGUFFPkI- zb5^r$u^<4x@epn+@lT==t+3fuDcJHgEmJ}0<{uMNqNev zGQOJLS7bRZsGN$2sqQ&d7M!`W`ZVGQp*+*@2r0Fq{s0qaqZkzqZo0vAUZ%t4di#zk zJdw>(u34Q1e{V3Bb6@(((DvMZU`CMyKlUIp$v_cou;n#XBs(tQ8D2gMrtw~aOR4&3 z#sFOo0gv$b#WM{k8T_N-N$)_7H087)2`v)9??m$g%IPn^poDX+UHX*-7x>fk_f=~43-(cYr`5G|CiuhT%Z_x+xS0E7I1*z`@;4| zJ+apF_4d9O|n}%MNghowerXgJJ$bfD!nvLK1FrL3`S^PiFxMg| zWP5+6Oz>3FXxqDFemuyxwKAvMsOsuvz2V2V&udfW1@)BUt)Ax!-74%;!M=7kC$}A` z9c2ZE_sF8E&2LZbF_iWASu2xyWkl^vOs&%9g&WmP)u=k23*Gdc5>$!Ybt~^-zN%Hg z(27k7p&Eb$V^IoMYM=q2j2uXbt88~r=PDbRwwyC0!(_`w;F*AS!fJts&{*jAZTbH^ ze2%3%ur%})w*JOV=4H_g-?KVS-WK&=6@0`&JyXr3EP+P3|u!y)!JZV z(OUrZqaCD(R5IUnF6F*{l`M2Pwn$R;m0~&p;*jNMai&39F}Xc17|FM)X-7B_r;wvo zP{7YKezl0(XvMXl`NGefhbiVbFKDZ3YQD@gJyc*@@%xf=KIQU#y0esumv}wzeB$e= zi`th>H&^Ozvj>RP1$nv7*$!M=N9MBsdC6+*P(g>R-vj1iCUY0f!1l~&;6gL2UV8wE zyfDXPzo&&3ans>u;?g5dkchI)AubT`V)6VKT-47pVwtEq zN!H|>VSVNBVL>}8ni`i7456BvrsM~e(6F#_(6>XWgb8OsO4Dt zk73;!QU)}m9oTNp0Jc8H*kd1E``eg1@OP7U47QHLqcd=JY~gR#H3? zHYc+25EH$9&Xj8PdwYHP6KVg6ixkOw_CHm6BF1LB0C|P6`$UT<*f#t2<%iqa<2syH zV4TzM5xhE_H>J%|kn`Kyw_`3Pk#zW-;9yJYwtj1QIx!vbFNwb)ay zbdvee2GuXjaf_TiK7($&4viA)f(z zZvC-=`<3y0V0iqAY7sNvIE2r$472M#wL~_Xla0VEP>d}2WQ828FS-~IgKUOb>SE@X^x zDAjxID`q5LNc~$qGhF&AVl9Nb@MD@!=iC40z7^39xbFY&6+4yL`_ksS2*sZB&K43gSXb$~z0)tG&ASJhGd2eoO9C%0_t=KnjcIA1&iML`VKtGbl?EXZzd!DB|cu4nr1VUy3nayc4IOZ7kRMqYfBGiIw9m6W|k%Tr9^+P7i-X*TVLa66{n zj`a&cL9$=m=1L;IclW7j%Tc6zPVSF9x9^FeQ_qu80^zZNnoKwkBMPkY&kS+xt6C%n zRjtz1-RG4j&9)`9=CQRFJ;S~09)j@Gnm(CVw2gzs#Op8oJd3ya2fo&JMIChsV^|~s z+wtlBBQn0Hxx(N7S6g?CUP$&BYsqM>?kr{%nB2`>i^Sp6WUBGsJ>?xat-vp6Y; zQTD|Bz4)A&WrC-6%57=Y#y6PDKejcqyHqyBJw*b&j|}mT3H2$zFtP;azKND)V&5y7 zZ5;YLZ&G!k&$BDbdr^( zCtAt1GP)e;_r1Hsvc?$PohgG|jO0^^($~(|zNVM=7{wzgdsWa$63i=D_{<&{c2N}j zs{`-HyfQcBT_a2GhP9uye`a}!7Z_8JV=o@&f{$AQlEhfD7QQmFARpXJXw*0QX` zSP4@qP>-g&$&CFxo7juEsr3{l`Sk`sqtfjCNqtGHlo!oC0hX}_(P*I4^YMpFcBx+6tw`%{>mFtl5fle5RGNYeN2@Qst{X9)?3-!U9$$V^+&&E4^?2 zR2I$g84aj<_$s3b=;h81n{@OD8@ony1_NjwRgEzlpKwQJS1?i%Vz*{iY@~}m-~Gq5 zDUwz=S~wlpXkt-6eK?z7U#ER)ioYU74*3yuWZ?|)XtSHjbzVMdWHIgI09YY(r=)z{ zfK1%Aapu6c(@!nvrMqk#7S(3m!EAacQlPnx|Dgo297_6|44D5_z2F0@ zwo&PIDWR{iyouEUzFYS;Vy6AdrwmO$lj9Ejk9n1E$|PrALf!WJ$+SGi8~whGvaPK2 zOh;PB&Rb=a-9}}`WPGZhA0C5?2<_C)K3)>`3CE&1F$2Q2fdQ&gaX+~9q;G0ecAlQ-MqcA*YXm)c zM}TqwXPh=m1|2}#_ND~`4fyfzb=#sXmYMuahe8bb29%u-WBx#jg0z_*&4}|BZ0?bt z7aM)Vn#hI`gZ`G2o?XrGCzjDEf4$zR&7Mb6VC2h06>z9W6F*`SyiX)QC-&trr$4NT z2(N0fyzK)*KW@IbbLsj!_yZW4B=6V2Z}Wls2#g2$p%{cy;7a`vqTsQHe}jSMQmx3_ z-jL%+uzZjI{XpPoyy&}^XnT9xHvW$Ah>If2j-AG2c%RkEevdF8(c{2M^l;B?YIT{; z6f-fTI$KD*HTYqcWjR$RG3v;(>R%^r0OLKLz??PffE5Yg76ni_lsCO1Ckhr)a6VjE zBB8i>{ybVr19rV*$4DWkD)@S!8GhVqZk5l$8?K29OqS%b6BS!JCMfknQliNx(?ZlTPW z)5Th!#~+yTFSpwll_mZrNK_MOXX~9G2@b8MDWd$s%N?Fac{iunLTz5XJyNS{_)1vD z6}oGFna`0N0CF|+`+^fd*8e9<^~C3DzUeYEzv69DaB0p&0CLCXHJtI7W@_@7B$ZHs zeudTDQtJ;Z$aeoI5C$_n*=U_q*?11AAwE%>-MWaUb{;-?-zEAj-gtZj3!Pu?FRYL# z`T`5JBj(#s@-3g~o9?&!Vnq4d)z%376)Lw@6j(s=Ld^KXu;7ltn*E~Lt%9v5;|9A8twq6U(Q}=(lemmVHyy^JvZ!^ zOD`l-EQGY8n?oe@xoZc_>HkrqpY}<^BK&u4-Q!R1luo(jvVbx&-n;}OSrSzd}+wt%~68L)U66COo?f6I0t%}6s7Sl5-spP6nbJ^#y zu_1voP>WxAA0$Ym+2?#9f52F@q07jA$uz4oCu&gsEz9I$}=7!Zb+EHU$YWWLyccnyq#!0b6nT6-}tPgTx zsbz|ZcQ8DM9w)RCm4@ts2Oy!97;tTjA8WbE6!oETfT;@gEe)n%7TG};t#J}$p9uyI zxtBu>7SF}w@wPoaf|04spz3N`<%!_noeAu#3crHg*GqAI+zY%O@%%-=6kSyZ7F0W` ztPagvyp;s1MQL}EUa)`1N5ZheQ|NIXBs6iInWBl8nIoQ;)?bCCq_J2yqb!{h&QJKg z5jm16t?b&w%b?CnFN}(}pmox{8A|^em1gZhyQb5LHdehdzS~HflD#;&g_y#2mLlEy zT)=u%aP^@d0UUS(Nbz!QV7Q-^&b$bBIh3?6E|3~-9xBR%^)KE6C ziiJek$IVb`Tkcl-^V}nnuYj_ToESuP0?x(;ugstQ>p5WEAE=#VK-pY%o$?ex|oK-G_h|J zx%=VQ%uIVpv#KV}9YJ?Zi#7C|*CHM;_7Cajx=w2pF+HT*avqX`Yah!p`}!EGCaTt+ z5bu^y_$r!@iZI$sOl_fcme?QmN+uz9aE7MrA;xhtv$TZtSyia6r<^o)>5&v`eP`;j zHbzAJ-j`UL1;^576*m;j*iD_6Og(RLFM-tcT6SjhNP7xj0l3{>nhBlUN!K0Vg4Q-S zh*ON{!8qE$NDBOkzYz9G4munOG^YFwhhQLonLo--Fc&@J=2K*9?OsrK=rQdSv*+>3 z#_vnjVE<*YKI@gY7xbXt;*BwT5QI?mtUq#)TEud%s}}+I(u)NSd^zIbbVfFeU%}`t zE!YhaEq-MJoQ0Z-Z`ILET3^t;*o+`kiFkX0+n4~IweKejl^E*WrLLaP7++bo{|6AX zvaKooc+gt=*Mo4g?-lv~6&##olM2Wv7iPbJRF}1AkIvVt7ItWUl%BYFb~&852w)HZ zN4id4md36}jJR%HwgG?Bq4Aq!)X<-4L`xO*#wAmO3LL9LsZ|WzT(x(ZYEPXXbH$wY zC8+?Xsfx7~$S}|%?hGzgF1wOq9CB|%4{=}Z3&5=icyH^Z3J*0#bIP^zes;5ckB+SM zUo9zKS&7WMdt%RDFf&K1ts5gAL1dtyyfiYl%l}do5}-}&9~$Wnys?dPKfkkuv|rxZ zYG=ICO6cr0_IUp$z0qJb9~4?j_xzg0=Ynx4WIP@J-VHltwKgJ+aJts;zyWiLi$rmq<%dtB)|RbrGnNDQlWvO#ghN1wG786>6PB>kf-y#p} zJ<m z4>RTZ2}mT2nQz~xC3%h%)g$VkpVuy=I9gCjjSa6#>K6o-FBSG9XrNT}N1rX%+F0Fi zU?XWLae!V*5L(wNdSjM63+rA@S*3gV-+mawq>JodhA>}WZjYU@0x+?m75JCZ#sO#K z`yty^&m;vu1p)OQy`cZUn4+e&{`)HzglXTV327kbH(cnE6mT~{)69r{RY%*6=(MtF z2Dk9A^sf|9AysF};>Gs>V`A2|r6*2XOy)_KTY9($p#xy>eJ z9jTGJ$f;cOH|l;EXM-}+o7>jR;DB^{`DOP;pJa-U5n>9?A!0AMYe&r?Q>A@`MH$%` z_L^Z4OI4bR@E7{@-%8N8w^0WI(w6nsN82qoO9cRIG{74?Ae~-r!eB+p=Xj&oVriFa zuSh=LOQKRes_sG27r|6B?_Uw2ta2%v(4;7hkUUCpQKS7|oIKojfiCF6W zF^_%f$OIM(TDQv)!RVJ)#pv|Aw5n1^!Jw)6QkW+o#*bvf9SyZwL{uS9Kk!^=+5RWA z9mB7l^xR>sV#`7A^eu$Jr&b?a2(xZcwrR+cQy6nfN_BpW?PL*ZApA`Z$%hHLT@-M6 zTK+NM&rCxa7lo^dDRgThsM+_s(MunQ-$LT`r6joJ(dH=-hjQKP<5M8YI23k5a7tqp zO01>P!FaHQv^F)XNTj%zmdd-xIl$=Rf8%8lYKc*W-k0;Gr+2>&K1MqJs1ArGB4Iz( zbu#-YKvb`$NKF@MYsY{T2FG0ge$>cnj9+y9@(!S}-0?v0uS00W>PaK%lf4|8g z{`o{!7;qk^p4kEL-|d8hWE^ezPgeYJrQ$$^pXs0*b(}4XZ0_6S6N4JWKw(=A1T*LSOzP0$ke{`Nrp^%GL6FpoE2mu?qf5;~OMXT?%DQ-U@ay zY8FHa^i)neUWx-cIZr98LLRV^4}Tbu1JYC0d>s@nKri-7w!t)#6Rtm$wC_9R17{b; z@}C9>HmO)~-5m;c3(3CYfyt$A<)0N#1*M(xSp&)3s92df{#<~chCSbtM?K94x*{&u zppt(kLX6rxWRCQ%u(5;_C#BIprM4>Vdf_f3CF-;KH-oWiEgec;wukAqwS1V4BdBnMQgo{cDs6*a08WlJK?v{xRp=owc=kL7pn)e9~bM`Iq@J z?eXkH`1Osdj4@LHG&VY)8wrm=3sXvXsL^|)e+BWi0)gkY)@ee?k6R|XW~Rz>2UfswQ&)8;019&{;kTYXaT5*SccV*^8P|k0^lWV01q%oTb}si z^BQHcRIcY_@m~&tJq_1Rt1vyaq}7T}LG>k}dD!1Ej33Sd9|?2uEC`_V zf&GuNWM>n?KjP3)sIz znhMTr756UHP8 zP+xDbFi1U5d)xqu42o-P!#r2nM>SNK&ZH51I7xBK;f)SSk zLTPZV86{Jn7U>^jz;iI*DHzI=igzZ)tXg)iT(_rS*mE4xQr3%SB3g7OuI&q}|=MYp~{tNX>}*3DVmugL%?F8AAD0m@P& zgx%ej8njKXI?16$4Z00h<5XXslroEEgNrHSfjMzagoAAp$F8CvsP#T^=FL9@glJ zBacU;iC3NErPFPh>mKgjY}G0;@C`nn#7AiFl5<}gJ`q-^s>hC$FpnY5Z~D;YEeh!% z{s>Ilyh(U92 z1=&9|6=pxf`AnadCwN?OB<6=i`Oj6-Ns>CN$3|!D2YBuT7#9#8Ud1YUr)1nYPq4Bs zCzDY&x$O*NjUV`(_UWV2uRreB2l?s~qS_Lu81=S9c3XaOY#sAiTT2hEDHLl_&ZIo02UtLVOIU@Zh(k(t?4EZd|5iOoTDMS}jNcZsR-)J)6 z^2tPBsys-$K?@#l)J-P=1?8!Qy56;;tEJo<-`=mC9ocJURM+y3R0s<_(x z&}|e}teRH(=1<7Q$ftGYFtvleK*)q8DY_BH({}@9j8hj-@ILy)_7UE`(yh z=zAfjZC~CN(S%OBu!^0;jH4Y9g(@q5fZ9=p++OWvF#u-JDiwlUg;~tknNaa>fr+XLnSfL zHY769JuuIDI^kC=+A13JhW{l2b7oYA#$>1{gM+<@N8a;Z7rGio@8~`#=}_j93K^Rd z!iSA~!73;7;DIB>EK|t50(l$;jX_#q5??EesbF@th*m;%AW7ZY<;c#<0PVW*nAmO! zu!_G=gtvl?Nm?i891dEY?YgwE>Of;9I-!(-!`bYwvlSR{3#U#2BHM4NR-pZhT*3GdPmw4tsn1+ffasS0~+Ao~1NjyDg-mB%I1WK}--%j`RFA;2*)lYB};<%MLsW z=#{}pu3uJ2T<3*{Lt-mqI{VC$kkf%Ed7iG6LPPOH_R`jokARFvP7~lfp=DQ1bw!Fl zgN5+^BJ(b6g;J|usOwx{DCA8vx*el&s+j7WS*%p3 z;NOyB3wz0~M1ifOu1N1~U|Qjo za6wr)s|!z^c1daz%Vp`87rgaF+$Csojk>wZpe5!yIe`s@qoOdivFYuYZ0~+jO3(3D zy^Zfe5{Hrl4`A}M@=0@53u8g?&Q|1V|MrDyZs}hZ3uJ@a-9L`Gs3ScmH(1T3)+gd( z3Wm>K|7OY-ZcNE1TCwJ=M&B*e90c%}De}KuUPl)2-7zwkt&$xP91s}KpGYFq7!Flo zj~9$V5%X4zS@YkToxIAk>bVkj*)b|i3&H$Hx@iti#Rr|OK1O<6(Wr}nsGD;4I2i91 zhtrM-dg>EOFpp~X9V=(uU*?xjZX?OgzEkgE#kM$)xLSp1AAS6@qx$B{eH>t$t4^dk zB92(^+4mJi_uZTXB%$`Vl6jl2N^ig7Za47N zy-^RbBMFGP=1AYs`!Me+-*kiB1ROqjy9jz2s6RO)&GasGHW%cZYB82Wi`7F&c8H_Z zD8<@=-(UNrijnD%v+{q@RmQe2UMm6SX?rtAYWuRm8ur zJbe!|&0`#8rUkwR&83I&hkwqNTm(lA0=4N=>%A!`s#;SHa_V)+7H#SqoIvxAq66g&y zR3UyX1n+ZEAPhecliO3-1?-{hVp_7lB-P~zt+o$x_y!vtn>|kmIWI{@e+dBA6is7i zlkc1lnz;@m)ZJHcTVbeLP^_Q4A<5NFkjVVzAq?RP5cv?;{OvRNAymZ$VX@pNI8zMd z;K>nryusia6~+UlCSJ;35~3aA&?8nV<-WrplYRZ$n9Q@X{y1-Jg~`gU%OEV}fasYF zN|VylU#SzyRh}GK0fq-3>q>p8gBi6*v?}d5wAM!hEA(s7s7F2u{``dlb9e8PS(&dR z^ZaFTnNPXV#KJS~C-~*XUYf;Ta7l zV~-s&q`(rY|5|9hENU+MJ(7l$1n?E_DIP0^wJ95`t}Ka{9LHbeLH>T{jQ$D8{rcYx z0SFRY;I*rfuV!JfGBZwE?3D)B?x&#TqwUuWE{hMa3Tn$ zh3n?MXn4T+tpsdXI1rHD8xgd5Qm7fad`F-6a2jIezRp-79K%$5-mPg*h{N<_;@$y( z{51Z}USH5ACT7HgmA!DCFPyR{jo$M;_f_gDt|X@4)7+Vx=}Ih9DD97c`P3?4t0+}F z7!q;|W7$57(E-2BPNcJX52_>3mRFC_Q`oV%-YR2e0~*i*FSR zD^~?qSiYEC_K$c7&@hv`knM$8uNKI+$@NY&tB#^kp3^CIG(QP_ay9?fMGN_!uU5NN z_)I+NRx<4NoqS%wccUz`$?uOfR#pcN|nis>s=8?d=sX@%41Ti4kj+`(!Y2`6dCIreg>HK;7g4I& z`R@zd`O&-Dac9h8F4qXJWX#(o&c6QlH`=!Goh;*Z<7nd;P_8Cz_p*_@0!T97 zE@BqJ5-2gGDEP0y^J8HpaoX2dX4={SFYXF4lqMiW z8=%=$-_TaQzlx{4U2Dsf*6LbXRqnLig#fR9Opb#AYK#{+fp5v69+%?Dx1hA!zuiDz zqoAe_Y%yH1qtyC%%ehu#)*|W3^n6qb#bg26#ZBU$BWH(!l9_H1F1~;gK_=%W*0B*c#$s_u_Ml!G|V);*DAv5ThdCU!qy%HP;D-zSG@N z{+IpX{n^KVx%>CWwv8Ke`>kNUbh@(*F%UiYn-u5(3t71;gc!76swv3+SwmXOU4LO$ zVa$$5x>9Ps*?lpt*`?F~i}5@Dv#wpQxi=pW;c3hC7My-5&aNvOzA@j+*IhD~s-z_r zTh!`5Nu#aAzW$We(`A6PF|1t72N2L+;M5WP)=y?^2o0 z1O?)0;{ywJMMYIfW3W3c_Z!Ym5?+Sta6DYLCMR3xPDrlRl)@WYE8q znk_y@o>>(TvjrvXeCnCs%8P(J2+X9+o+wp*HScFnTHlh$*K9kR@!4?(KUm5*k%>Oy zQ%YVF$W@5WN8@BS>HUhOg#>G#R;qXpK=$LdzHIxJ*8$@CcO@`2DrFq`u7e;} z5mPK;7SJ}xHIevj*on{(YLA>vy*)A>ZA#fF;`l-*+k1BPtNN;uJKCZzP9Dc8!-1u}wPsGe z`{s-EI9Ze0mEL>@J>6biJmH_!(v*%;q&DZj5}CBF%V5gT-sN8;EZ6b{Uk5EfC9Qhc`oi?KGTb`;5DyZ#_IV&AwWS zz3?c7vALd?YqwVOKdoh9bz`4=LH3Mjy7FP6ooos4!2D z77r!-$zW6H-~ce?Wjh1W$f*Z4$y`M2g-|Ih+raX=L9O*(on`rJ$@Lqfm}kFP-a(gQ zn6KT~e>A@0!^07xMgoXgs)xCFwcwG@e!EZak&#M$2-s@o5jIHAVcz|~CLX!)Qh