From 275257b3af4b027d31721d92eee2f46512e5c839 Mon Sep 17 00:00:00 2001 From: TiagoRG <35657250+TiagoRG@users.noreply.github.com> Date: Tue, 7 Mar 2023 20:59:42 +0000 Subject: [PATCH] [LSD] pratica01 part3 simulation waveform created --- .../lsd/pratica01/part3/LogicTop.qsf | 3 +- .../lsd/pratica01/part3/LogicTop.qws | Bin 1850 -> 1255 bytes .../lsd/pratica01/part3/LogicUnit.vwf | 368 +++++++++++++ .../lsd/pratica01/part3/db/LogicTop.cmp.hdb | Bin 32571 -> 32665 bytes .../lsd/pratica01/part3/db/LogicTop.cmp.rdb | Bin 37672 -> 37854 bytes .../lsd/pratica01/part3/db/LogicTop.db_info | 2 +- .../lsd/pratica01/part3/db/LogicTop.eda.qmsg | 12 +- .../part3/db/LogicTop.smart_action.txt | 2 +- .../lsd/pratica01/part3/db/LogicTop.tmw_info | 11 +- .../part3/output_files/LogicTop.eda.rpt | 44 +- .../part3/output_files/LogicTop.flow.rpt | 12 +- .../part3/simulation/qsim/LogicDemo.do | 17 + .../part3/simulation/qsim/LogicDemo.msim.vcd | 180 +++++++ .../qsim/LogicDemo_20230307204548.sim.vwf | 365 +++++++++++++ .../part3/simulation/qsim/LogicTop.sft | 1 + .../part3/simulation/qsim/LogicTop.vho | 493 ++++++++++++++++++ .../simulation/qsim/LogicTop_modelsim.xrf | 21 + .../part3/simulation/qsim/LogicUnit.vwf.vht | 75 +++ .../part3/simulation/qsim/transcript | 46 ++ .../part3/simulation/qsim/vwf_sim_transcript | 66 +++ .../part3/simulation/qsim/work/_info | 150 ++++++ .../part3/simulation/qsim/work/_lib.qdb | Bin 0 -> 49152 bytes .../part3/simulation/qsim/work/_lib1_0.qdb | Bin 0 -> 32768 bytes .../part3/simulation/qsim/work/_lib1_0.qpg | Bin 0 -> 147456 bytes .../part3/simulation/qsim/work/_lib1_0.qtl | Bin 0 -> 39117 bytes .../part3/simulation/qsim/work/_vmake | 4 + 26 files changed, 1838 insertions(+), 34 deletions(-) create mode 100644 1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.do create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.msim.vcd create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo_20230307204548.sim.vwf create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.sft create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.vho create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop_modelsim.xrf create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/transcript create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/vwf_sim_transcript create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_info create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib.qdb create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qdb create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qpg create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qtl create mode 100644 1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_vmake diff --git a/1ano/2semestre/lsd/pratica01/part3/LogicTop.qsf b/1ano/2semestre/lsd/pratica01/part3/LogicTop.qsf index 6de59e9..9ed51dc 100644 --- a/1ano/2semestre/lsd/pratica01/part3/LogicTop.qsf +++ b/1ano/2semestre/lsd/pratica01/part3/LogicTop.qsf @@ -1177,4 +1177,5 @@ set_location_assignment PIN_E10 -to EX_IO[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5] set_location_assignment PIN_D9 -to EX_IO[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6] -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name VECTOR_WAVEFORM_FILE LogicUnit.vwf \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica01/part3/LogicTop.qws b/1ano/2semestre/lsd/pratica01/part3/LogicTop.qws index 0d675774a1a840f6368cb94973e25599a45a3126..f4fc4a793976223fdc3b15c4edd1b7f6e50395dc 100644 GIT binary patch delta 95 zcmdnR_ndQrHY3wyUS_$84e~(7UZ&>Bd93Fr^Rpb9EXOW0`7KK$8&d@X1LKm(j*KTK j+p?82I#14ENmOCl#lXO@jDdmGl7WG#6^POJKv@O=8Xy*Y delta 345 zcmaFPxr=XtwmCBc1A`GmK0_%(2}1#xjAuw=$YjW2NM$Hy&}Z-g%B2JO$qXStRsn+^ zkd?xaHc`j69;DTWp&F>r5U9lxXiOpyr!wd=7yw}sP?;`J#t=vw0a=M)F%SzR3xdf& zoC2nefNUd%TGE`N408)3BLf3tJW%t0AZGXrA{ZE0IvE(4ZckQZKFP>9c`u{QWEG|W zwg3PBe*@wlK +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off LogicDemo -c LogicTop --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht" +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off LogicDemo -c LogicTop --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht" +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/" LogicDemo -c LogicTop +quartus_eda --write_settings_files=off --simulation --functional=off --flatten_buses=off --timescale=1ps --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/" LogicDemo -c LogicTop +onerror {exit -code 1} +vlib work +vcom -work work LogicTop.vho +vcom -work work LogicUnit.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.LogicTop_vhd_vec_tst +vcd file -direction LogicDemo.msim.vcd +vcd add -internal LogicTop_vhd_vec_tst/* +vcd add -internal LogicTop_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +onerror {exit -code 1} +vlib work +vcom -work work LogicTop.vho +vcom -work work LogicUnit.vwf.vht +vsim -novopt -c -t 1ps -sdfmax LogicTop_vhd_vec_tst/i1=LogicTop_vhd.sdo -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.LogicTop_vhd_vec_tst +vcd file -direction LogicDemo.msim.vcd +vcd add -internal LogicTop_vhd_vec_tst/* +vcd add -internal LogicTop_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f + +vhdl +*/ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 6; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 2; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + LEVEL X FOR 1000.0; + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + } + LEVEL 0 FOR 200.0; + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 2; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + LEVEL 0 FOR 200.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 3; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.cmp.hdb b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.cmp.hdb index fd5b2f2ee4d57a0aa6346fcbe7de3b5df9eaea7b..602aa348fc04609cd23cd05c49e4d2ae1006c7fb 100644 GIT binary patch delta 31956 zcmZsB1yCGM&@WE#;0__ULvXiX!Gp`;?(VQS!3pjjG(d27cX!t#xVt>^|Ej)vRqtKx z@4C0UZ@Ncrr)PFrULjN8AY&zgKTedszEgq!;oTvRK}Z>(h1rfU zy71EC(j19e)!e_MnUW;MO-|r(<2a9-adJ5s391-Q3*N9HF+yw=SXz;fF#j$k80-f@ zaV0E~pK6K;l~VK{;_S-Vo~p*1o{oKPb!Pz1@)p1eoZ&V8__nZYRoQkc_>|#w*5lN) zs!YA>Sk#kr-TvSjGA$MmfaLSWyj}_sjR*F!O>RGTB~F` zb59Z4J5|n4&9m#3UA`-0IDP!HnPR*yu@$`Q$m2b9H)NwmlDyjHp}QQ(yW75+b`1MrNEfa+e?ebjqce;8^>r1B)ffwpTJh<47gm5I3}l%VT= z6Tx`8b0j(-Gu%|qL^9)1y3w26s|Y;oHa#7-4UIDl#NAS|R$NIx?0Vs@-|;6(g05fO z&qkUX-GAvg9(AuRfI+X1cHG(4`L%aL^$rhIwAr_>U87hOV-;1# z_^H>bu&`8HNAdsYPG%wk@w2xY?&Xb~)95}ZH|GyT6SK)sCMJmd7DbpgSh{I28X)1V zC!90<7<92}%N>0f?MI;F*N6(ItD*NT8mw3CcwNoa6+Zz*06;Q($7nalfG0WJQP-Tm zEEVZskn;#L+_AXl@^7Q|$ZR{%@9Cj|e}+CnO$?Nhm;IDB?{?0KR}Ea#IVGP0209Fi z_fXAg=tAVvW1m#6^++Y%dUvF=30Ha$1rT*jrGKyE9f=9=OP-hdFZ}hdq=uGn9}n_* zaJ-P_SW)^3&7V$ikjA9qx=R{?_?2ypsRCslna@4xp$%u0th?&=> zGqzr3bN!ZnPHNH)T}g1!eRoN@!sLOvksry=yf;(-2_RTHFKrGh%3&=ZC*sN#7_N*Auu#Z&QA7S@Z2v`cST-DWLw4N88Q{FNzQZA%5mxA*uJJf8DE z&T+#LpBZ5<${odN#gt|=`IPy3=s)&NhUU`d2l)8anEuF~ExskMK~sJ)G>=iyJZB!$ zTr_XrF(7F8yUZnrb3=8~X{7P7CoC6m&`gIXEcVenQc7fN0L?M0b_}-LWE`nJtw1|5 z#hP=Ct_*>{i-MocAQu4bbv%VP;^ZT*Fn9d3+w#X4u5sb3udpkWX@Om+nebcE8O(;L z1HM__6_JAE{ugG6!B+`htwvj~+kuwiefvEsE3YF9{H5)LSHe;q#g7$-El+@vtqn*B zZ~o57!aMDFw}P}_Uqw;&33FK~F|nMrUeyS@b?lh_i`rWD#Q1PpF>j~56mjXqoM3Qq=YI(fI zRpplbfR8nG+lMnzN@!BP;17gMz^2Vx@=PEJts6iuOC6cjB$KD_*8m+Imzw6Yfv~Jo zp7howQI{EiJyR>{hR>u~hAFvcj>SMKcY(U7bW%rFH!j^=cZCd&MqB+bzD9?E?I^j? zwJ2vJkEgI}8WjOYOzG=wKW7&^N;Aft1_JerXY^YN*3Q1!usOE1p#YsFj-8pb68?U} z(ku35$1?UqPW`P4$9y98czYNb$DS$=Bv=!U#!=9bA`EmA2GsZiB{~!mq)0^dJWB|3 zUI?#FU(YS}>-C4B`040SH$kRr6sYGJN~ZYZdcjAJZ#(( zO}Y<=Cn`*=n9B<3zW`7nGoFz*wN-B#)Z=yc7I_nDU@fE90$<#)?p~teQsx z?Z4}Bbx|?Y48=SK%yglSsF81+nh8r0Z(mD>qj~ZUL!YM5LIkOIFrP4^$ue$^^MyAp z_0r-?tMLuHCQTRB3aggcsT&>^ua_>o;#W+OJOldS+V83;<$(F#h=D(!LfGuOkKTTJ zmN|AWZ2mCjGt$=Is)zRtb(x#kPm#ZosS}87!rJ-SZ&CWzuRT6VqUn-9R{aUiX@$OM zq1EO6QRS5BUiP$d((r)}+ER!$cDiYXqIeu=n1}jB7wRiR!30OaZz)iOsE5NhPQ=|JcByu_cdS-fS9B;V? zfzNDwlV81__$!K5mccp$4>H7HFyHvb#;Z?7Px}D(c*}AT`nlH@CDJ@D()OQCSLV|n zm->8f>Uc%B!^2&-U1~z=PfeE_FT`&Z_iY^$Ezdi=cGVrk+aJO4UR%L?Wh|liD}+xm z8Rt&~z?74s`!1dPNguJ&Ie$A%C_iRZs)U14CYU%ebU}&_UNY-)Zc;6 zCQdp77N3~4NLTeBaJStRG7QhT>oFP9N%<(3-xA#6(~En3C1gknvU<4qYOV}04^O{NEp_ZT-2ul=#?2M<qXv#>gLyDx zy`zivjTi@N=}S)h88J>A3GDj?lzMD-Wx)GFTIsjExgIZODG#WrR8=%W(Jc&TK|IOe zFcT5zHrYF0Om0(_C4;_vseK$C301G~V{57xBH;@`s?qpJ)q*6*A58zdn#ezK-f9!Y z+7Jo+<0mX`*+hcfAP%cLliGRbNx<>l_9((15NEX~3U>bs?vE>#ikew1Kw?iy5VFJ2 z3@Ih@sB1`_gg-!j=uwL@VaxO|+r{RWBYUx3)^E20&veB$6yGnLWd!j=s%JmbKwQR=n7JenvrRlS`!Y-d+N}FKPN(R-=)6jvUZg<>PZ^l{{ z$7o^b@vZ#)c@d%8SSEQ@0CUEAoX5ZT+^lK^GrL}^f*86`^O{WKW05`WA&p6fX0EZd zRdpYY$va!Edly9rnXij+*Mn(YZ?VApp#)ZJUShe2K8goDQpso^RO^zEK$DZ@CKj78 z&(UFoU2TQy=6rB0s`4Sn5q9PohKDN4Ocg%4D#gN$reaXgM-_v-ysIcr^+Z)k*h0j0+=i| zU@~OnLX^TyS+z=_?*J83MxxogaHyXOPQCWgw>d*hAx>XGbmKp(&Pz}=?Xb(sacP9+ zI)^L}gp{(^aG$0HS9Oieaj_f9aqroYf<0;xgFV<0gL#&N>M4UgBFV7!SpyVP2JS@+ zNb=#|1;py>_P8PlHxHxbh;10_sa;f=wA9?#Gyqdd+WFELht-~7aS5_d{z&#r%{RSQ8N)-O`zP^Rn;7xKwqo`~od@zkO@Lqg>36r14%gzgw{9}h#6`$~SmL!85|>#5 zKE7rEJU{mcDvGf?csnyX6?iKNsk` zqIczsE-9os0|Qe9NP7}zML)V!T@Wa8)tQ+Y%*mm2h8GH=yU(OOe$+9~2bqQ<)&D6> z+RXW1z}eG2nY7qi_L|sPE*ZLXg*DmIFt9G51XJxd=f?I%7W?(;aA75-K;kB)4ERBY zc_8D(rjYB4+u77y&mYA*qkj4*!hDx1{@0etF^)(q?^n&Q_ySZaLYfVl^bsECo$}7< zU@_h)xlWhPs4zHr!r)|r_`P>MHG7pc$hx|I{Ca-;ertYPV(Kd*O1D!EcfL04?ugPkt-hd zV?Myr;+)&8L|CqK(jbc~teZIVJ;avcnz)%fQqpMC9>aitS=4|(?HyX821F=7iN5DQ za(hyNsxhL}si||7c8JFp-9xvuXa7*5NX){r>k@k#i!SFv!MN@Ec;%D4^_R6Qc3d1X z-P*PC-hYS$e4YuJnG?T8xe<6%S}g~q1D-B`=guB90W;~O`$NPF;eXVxe*~Ug_to(I z=P?|*%KiNbKIdnB8~Gi#Yc zlRn7osZt3F2}$hCTp`<5LZOC1!j-S;t*I-SxIl(CxaOPbkh`3bb z+cv(bK38q@=#!Bi6=RN`DP|q|%-I%=Tm(wyjKnz* zZZs?gNNGP!9pCtOApVx*SJfAQDup^iSHjkai7oB)>{WrCM^`xyWSD?ZEr?GlWkp~ce*n}S=;wR{=;v{ud0j3=-=O6rj&N_1`MY-Jko=?`TSB$ zV`6>M910h|jHkTjM3U!_pyzq!`gy`gVH~29N}G$_5@JXfOFC2JMsKp15^WX6zT1%D zOA3p9iS~mtAqu7)2bOJlQU~V@k2dSi7yfg<}*uHx~ zf#RNhZY8#!&Dgb-3tc?U0d%eR>Xgip^T#R197u^0cNth^Y(`?fD@ahsxj$l%IT7K@ zlU!h(`TIK&l`N3w(Or86y*C+oA>E(ooIHGZQq;@WBskbh;2|XBgNiL<=YZN=9Ko1m zf3WH^$L}V}n_Y)m7&k%hSpmk96IKe21X$GUzwtrtFquK_k+vAyA6T1@L*$Pcx^Z<~ zZ;js$>S6i>{UhMvF|)n~9w4okR`+dcwo*u1#V!uCv1WwE7;&RX4%nmG%|sIRcsTFl zjpvgO*hkA}0G35Y8abq7o%Q{LGIr6IwJc+CM4LQjpU>?dGHqxEccun zt_Uf9N6YC%kkOvzO@kkI@BIido?sfg-C-KuQU8v*chtV4<{j1D+yNocXBghs(IC* zm2NVomt>P%ei&Q2qE4q-cr11jLC*atG9ldtgx6UCLp}5pLsHZF+x;Vzr-ns* zPdP6H-uRr9x3j*E+AHRJfX1%5! zjQc`a!hdih$Ef593}lG6m%%c(qp~;^)gm^ybt~v>CEXsEW&9b7T*^c`HW3BRp#3CzhFSrm=F=<3}`s{ir0@n_^N zh_J|o3^j)6N-TJ7cBjyS@9+&PozYF>NG1g2@Eu_*&tmBfNJ_BS+Jiidzaw5r6=3cE zl%yT~DPb{?&oV)#-rUQ&JMERb=mp8y!hA(p^`}zjkpAKMPk0>QO+LyB@V3cT*%p^iC&638M{n%a~10_{NK$_k>-nNId$-T+xVStYj!K z_bKMIRD>i`HITo#6wbq01?BRfkYIO8n$^OH@4=g`DH89+7@}100G*Xu>vR866@(_( zHJeb20P7>`iD35MxiqNHg==8tHZ5i0-r5$yHIW%!)Ezul5)TlZEKic5yr<4%21Med zBZM0Axd8E)apDLWEu8JE8IYl7h4=wTag^#z@DYTq7( zuv1TePBnA?#e~YEI!U6kyuDnef z)U!kDMhLSEXdkgY$C61sOJd72+ZQzZ9S;U}*@5Wyz4oqXgoa$PZ=3T$y_7FVyy3;h z#SKNP*$I`o@cN|L?(;%y9R^Fl`SP#Y^>51sNP%)M^`4)w0R1(zaQg8}_4$|BMtS~Q zFXO3+PY?bEN#vc|4YALg2WBtnPQDu6e}rG631koHl!fO(q|&G;~oss?^Jd-b!~E~8g71HLi=r0B=m^?S*TV8VD#l4MPu-a ze7s>1YCL8&5`x`)mTB9)qOuE#L0hcE>N+|aWE<#@N_>dnE( zX!L3Pm(K-k&EisK*Wt0_2Uuh_7YHa!WCG-CfpC9QP5%;{pBZf10kZ1$c935{zRhi+ ze)`sPA24fLQ@8jKerasfidVIlL>Sf-FdwRiW0M)T{gLSj_e1OsKYxdQjp5%*3JH+& z!VobI9zpeoMg0&YLWC~HegkK6gj0kE+Sx%Q?Gve{Val6rg5D5OGoVBVkM6qe_N$xD z5$E7cV`5Vl2_G@Aj;H_Amd>nun;HL`wYFKV-R6sd@uuo0OQtuj5$**0O?Tm#*FI>T(?rysxig+FFM*6TI@+aov+ zPmEwnH_^!MPSb@Udu3Sl|AMbue%>wK4?lvlgHxna^C=K8_`RabVK2BF+(mSWIK`2b z!mcMpYysZ8VCV68USIz9veTA$8_i`Gr*J6UFZ*4-U17LsT}Ee_Gfbg|nilLSq|47F zaOth}G94|GQLAh_vl~C)vq!0Wn0Pkem0n9PIb6{}%olR>TVox%5?)5Pa(<_aLtcHQ zW;ttz=d2gt*??;G#)M_Y`__ii_Z()KlVXyzAb_#jGtZi%axQe4H4qWeEPA7NL2 z`()IZTa1lAyfNxPa(ON=G#NWDrO_+d!y9Y2Zp6SM7^^VD%Eap6w6d*sIAXW=-5~Km z0vN5yvG6N6gwP;+sMcLSng)BR#M6KKCAgnuH0&?4uAv=|yZFFi0Tn{ZL_J{cxW?aS zTz;8uqUn>j12QGyx1_pFuErPLQ}m%~%v#(5;VYev$~$M!Ru((?3`sWn7n(*bO`#(3 z%f$3ZBzPYBFlr2&%x+ZiA4zb4rE?O!?}9c+pG(=h9zX13Gq|TeyGK<@`rB}IrH#oh zeis_%o$qvb=r)>`yyQ3BZMTZhNcibl;Eh5Q&+H*<``2MLd0>xoh%!c*h$Q~ZfGZS@ zcN1~p&?LDMRAoO_oj4Uf&rqG`LLx;}$#|>ZaD-D8;0{$QQq8dyOXpz@Fnd=c$t*Ab zE$`%j94AM^@%~X{XyY_iJ(3)y6HrtX_fa^-`3BP9J3{o_k7V|f_T^z=1=|T2FInaa z5S2VXmB%mQ12nC1IMVGGcm{<~b^6v)%-`RH^tS~*IB;OsPZte5;mE3*Al9-8CI`cw zH3=y$KcG$}3!!o@bRzjM0S#1dBfhcxW<5cJpGog1Z@#75Xw#&})Kakw*c{MRNe5Z1z_mFch5wnf|VlkkEI-%r2o6gT31LCj8bz z6kx*EsX2z*wpv&os{MX#c!eD(I?C$tx9X=d}J5>~bF58Hh-<>DE@73jeH^G>N7ZMDE z?MO9hJ#@memJr&?i@I*GLdq+Zg=slQ$7L8~)peE5%GW1-{WvVpDFCUf*NJOVQFUnH~I3!wp`_QU*OYSF*|Llmd_%%6iFmPk-0sWINX{$Xcv(nRkE{tXO zd{E$Fk5f1StcnP-V;>^PZyI-&{!k2e{Q0KKIFpFYzhxFZ5y^&ZU(etrlFC!-PpA8+ z{q25|PTe=-yyi~IF8X4&r$A3ksWTG2K@!>dow%WB)A5l!0V!zxfuTJYPWDz9LX>?K zUc%>7lk1KVjV)UnL-xpbXk8rxoxY9{;8(;qd4H#N?cQZwz%RZCx^gw|_-tzfGgz)8 z@MY*v_G;cL@%fpw{kU7iV(o&By+zUkQll%2vn~5^@N1$R^qQu9J z|M`{b{4ByB_#jy&8L{flb)1d-WU+EFnciPTaNPAELLMp2)s>Q95+MYU+V2Js4S0No z?|rEhxkNjpl3@Kl<9MK_9^CSQxRCP$3@a*c&`4I5O|6;r)Rr&v9`^<95G-o z_(S1AOqtF@GnsSMKLE|g?akJjB=U-vKi(dZnmE=2Vgb#(t1gJofUJOkd=Bp?>-ROa zfk;ci;{CvQe?&Y0G7bKKH(+((YA9epJ{Z^6`1<@cl&Syf{+Q!6Yr2|JCMl!A^7@kF zNDZyL8{Q&4U$T&O*1W`@s|O_tC4#{6$c&SrG;@8D-N|%kniA=%=b=AU&ZUm+h_JYI zb>Qq$p%kA)IZ%OsaBh}4W1YpQ5uJUGDYe~L;^q4J+};M5neL0KLy<`fIilcL+g7Id zHnte5Z(?%}-y&<7#FV!5r?JG<^JO~Ng)NB*q|#vjXm4cHGm7uhGgE}NKrq*9Nj}SM zIrGQfU3zC4ABoT^nG=~=mp#9|#8+B*?fN;=+vp{3%R6gc^mK=f?p}q%XS0dN4UIo5 zAe$*Rn?)G-OW@A2Tf}UuRqgKJi`RH`XobtcsHL&Ol5gR#bN!diDtSInr`j*zAilgx zvN)Zb_8R*}9i=r}siA;Lh~LM(Ka#TNrtTpm)g{7|oiU&u;*4Ybcg(|KffyTgR}J{_8ozix2O;c;A60m3LN{pqXk=njB3Jx-~V75B6tUsCk&ONcg-3z6)Yn zn?EstL0EaX0HikeLwC%?b`=ebK9ZdgY*|R{eO|{EI_4v@N1$AQZzKG35W3Tc`ui&E zzoe^%Vv~2yZ^>|P0gZHKT_nSA$h%nxE7_em9aTw=i}!b$_v!v-m3#adf~Vh*G@N^& zNB4Ke!|w7#$~#~7ET2{*NXGY;{fVD|>J0!m>=--~Q+&IFpLfeW-|&LEfauU0cAWfn z<$K`!#a5O-qLb?k)(6Sp$v5{dc)-8Io&W|j(_B8lwZ~RPpNkG@6L1s^Jlo6IU;D#+ zaRBd4Rx|{Et$~A27xSdaN|T(>XNM8z?LI_(C$|!)NIm1=fy|5<|9q*ltoA4&Xcz+s z_82+R$0ToY6RE*I*kgoOW}Xut{oL9fy{_G2nAM;mx^o)SI!^p^gcSNWilUJh zf~o3{4>sB>FNrs1=M<^H+WCaW`EO_b)0t}g^?T3g&4$i)5RU{Lon%Sc)mAo*UGRzW zmMWK%gb_&D=H)t-t%!?rR-w!)O)wv*sLyS$sBraEUUzqiKpx^XAL8^n}kLuYH|<<1)~Bjz$gb=}yj)a!m@!{=8>sRWyXb&6_qvQ*Gz8wT+cW_)l3x#8Ro~gcBw^}FC;{6?t%a;Iqx&D+~r&wX>-P5xV4gX zefa5j5bi8^kWlqydU5`d?wt!d;)|#CsbZ=xKnda zrVoWEHZujL8gl*e!UL9PHkkwBxrwmg9=+)NwuNEaHD#EB;s*tAMjqY0D+By9NJ8Qx zADJ=En=Mko246jFS<%{IHt~FxT;f^Hc`EnGA8S+C5jKQ^84h9__Om)qk01xk-0 zK}LmoE%|ZksZn7>VGF`Fy|eKRzw_r8fuk)=6@CW73jFmSj`SJVq+PDz$c@5Z;`;A- zSWE|@TFfzQlJ<8UJI*I3zlRph>H!zX_t2deBNg_t2LT?^ z=-+$2Pmb+Qt*_89_}xeHA1cui?B=lPpd#AA;S@546nDE9r@;BM>1SzI>&1%1UIX% z7=#Y}gbfV0{LSz$Q8P(W3TH>AdMl*hg>!3n#{d4m04AF6JLgIAjKY6!O7a}Vf1mDt z&ULk^?S9^19C{YOPPmt0x|Y9=XwPp->Vxwf!GIhq|MvHIcO2Cd_B#0Er01gjYW{Uhx$j@`wgx^v?-s(l}nHmbTIhc79wa48m;)3rN;x zquK-4GU_FDMmaLt*c&}1wTSq6tvHkC;0C;}#e~)Pq*mNecFsLUNnBRen8H;>nd!~Q zcw(0Nw!ELVCbYop$H;mN7dH$B4xYABGmk{n)rNTQz2nQ2L%fw)c<%wx=Ts5_vgo{m z`~Zju`nEGC-8aJi@poZt&gEo&`vf76{02~Vu0cy`3Gu4SW)mtCmUcT6lQvz!Gz)$J zjfe{2PDaDkq(BHxd=+qaJNPQH?nJP$yGcIbe7wRSWMc#K*&!6#shXP6J&fwx6| z{mfY>{Z^nD?Ue#wA!tMi=x4f`mnUJQ`GlmvrG$pAp7+5~S<^E&C^!3tx_Qv{3Ouwm z>PU|Fy4sw)g^tc~;oBDpg9(ighX~V8g{mh3DE9m{w5kL&KQ6psc-U6Xu`u-`#**Cz>7s3vDk{_!RMw4sl3(P{}F>gyhk5ks5YAm(_jQ z%M?MzN(zDiN)~t(Sg11Uxqmdok#ayL|VOjeRsck|4X zD;ejw6i;edGhR!cJwEa-w^UthCeUe&JsTNRyn(Bz8RifchjI|N%=N2kinzIiW;Lu9 z*wNWDi3$<;Vy9KkW}SBvbWUqoG$-kF~ zIFD*?iVbT%)Lm>f)UO;;xV<{pkbhV~nZ#>ON0y){*kyU7nUEc1H4|BFbt}o3Hp9@( zKykCslI}gbR!okBuq~ts zIFwe*@E~J3DwYEgcL8XhtT?AqT*N8-NQ&`WMFXtZh=%1FO8Zq^jc$|=>l89L&;SwA zLQ&&P(Ve&xCy2I}`f#eel)e^qIo%HTK#QOdsajV@y~KK<4%#^?=x?{$3~{cI814q# z@OvpeW;g|yN7WR25yA^M2F>6|I|q;t;AQ@V#Ff-4HVF=+VF1w)Bgz@BkRx%9LOFu~NJw7pi;nF=}d zjd7RgA1Y_ModE&Ll99v&H2(^=Zs$zMW@pL4xP&v9i!K(Edj!JNW_@3-1iM-^&ISL{ zOgDH~DU{;wAxCYnqDMPugr)Y#B{Z;>ABA0CW%TrjZacCre}xsFG^oVvAzP%m(2pde z(KSIEDKi8l;Ha3bg`SNJD&dJJcAzY< z$uR|`q}7BGs1qzhSr&HLwE4B(Au9$Ze-n5);V!8f=q$HKXcds1;1N~OJDP0OUAQ@R zoUCD!onR9|)BSYgg5CcZ(E{=nUkb2{n{M~CN@j|rL0ylZ@1M;J ze&Ms^83U7-3?KX2p|uWm)Ilx&fJW(0Uj1~Dv48G2mJlqHLQ8m(iydw)1q=rl~dAq^9qM@O`(gIFbd&>ZYiwT z)^bgWi+P>_k+vF=*&pkF!@<(_77e7Q<$gf5P6hs3R3h?PAtXgGjygBkAotR5_Oogy zXCO{1^XJ;eJP$haCURMs`1x(becGJ83KqthIvjNd1vtjgp4!n#EuCC`gjyn$nJ>y# z4&s;6IeWc-P}yXxQnwD6F-1m(479TH>qec;d7y|*Kq*P!0GtfR+lKAUjBH!dj0V6j z55*un3;tUy5td0a=Q>O&XGlpm+KaMkg|ib!@iI~2;RAwdMMe#YiVceLT!!M_H~#z_ zORtntQPD*Dr%kgB*N_rR>0-xUpPv1;PFYm@g6Pyqnm?$3NDE6ja)%QmhjR`?SX3z$ zgT>IV%a)?kQJsWG#tgKUYm-DmlQe;xJ>irj*U5k(^Bl!fQo1`nEIC+lw;QBV37(Z0U^c@cr&G;|N5|GWI{&3bvMWvqcT-+>@f@dc4pn592hn`7M3~m4Bx2ahts({XcT(u^s;i5R`zjeirp29_Pu&80sP^uj)p^ zU!^!dq$NyY_)3YS3%B7t*Kfb2<1ay_eV*tLjh|?Hk7ni2H1C*;lqGJ?NLZO$Vqy}T z#1T!iNgCB&U8;_jAtGdMyORj8QL2Z?n2JQ>(*0aP3U9+jrCgLF_r-DDQ^~-R+=IH$ z{=^k^k>H(tkI2yV(WY1r&Vh*t`&xe$tkVOJ<)#PQFmlSCEK_t{iW)TWtM`OcPNG;r zXEm^t1D>u?t_F?t)MJ=B5PP-oZwPJ!VuHsz_aKgAOS~Qa+>Pis6A64qYO)@E7~Z;~ zZ#pRfaH-p@SZ#z7IU+zWyrxtM3^G#-!he&`I;x1ujKOQ>LTh z(zEEW4#Rb75~ybJx7gPvf)4AClJ|qeeNDg2RiXu?_QiF+U$A14a|%?)2W#|$Ixa6G z{BVC7!NIqPVxSc}*pmUV+oNQkZQF82cw%$0>jzVctV!g*JBb-p49i8t&8|AHl^5eu zmozPTW~KdNgtVMP&}O!8=Cv8mfoc{<`69EUmX5np6O^^hL{q~o?HF30ziq3uIJ+8)X z!MZVzTQ;e);y(D^^KeMvVy>R7=0TR#Z&@6>(|aHO}sos>7uFG#w0y<0yar} z)Qv0HzrCtP1diz6{rT#uWxgK|;AqIcqd&Znwp16&K0E*imX5Sy6cCoHr!l6 z_IayzA9&gdkt#x7R#ViKWh*$`8)fCK$DYGCFr$`AczXn-u3*EfDt3JC8a)XM944%k zWpU!$_Pgw#Lol@!%BW1yOVrHAV#i3KR5(mWmn+}J$=g>6T-?7Qc0G@fS5B%vdGP^8qm1zdi z#WF1r=~^T5yh?crIIM{a+0jD8A$2bcAxDltYt<<7q~ZMd-+jJ9)qR($UMERpAH43Y z%Ya1pHoW@sMGvcn_hz}vVJV8xSwJ5Ezn*v1X*4j&%KcrlC2juI^P_lp)sGN{xW_-x zbqcf}haJRrs#V=mxjIX}!B#Xy%ZP~3FIuZ?ra?7h)tbZFvD1CXR4y?tTiKM$5jMj% z4~*NINfSJ^n_9K2*yQw18xCzrQIAhx?2Ph(H!89<*9pm)Z%r$fg)(KB^piyydq4@Ky8+L&H|zkt>O)fe<9EFvhev?A#^8~so^`d>s+7DKjILSGfm*uf-mxg z-zzS@Hg`TFpz*v(M{xnor$GY{-PwT3i}`w2gjJ$Hx`@3Wx52)&bgZ5$_Tz)8d#@iC z-6pDtc=;x=1neqVv3NrlpNRiB-3|*3Hak~EX@3*3SS;eRsc^Vxjkk!N`Q|{wpZlQo zjOJxlMW#EZ#jY@?zumdfB;c`6*fSWY+LjAMHVZ9Ay{n4pprVkvyI$Z&6B(zrcB_EI zC`vh}-19n~!$H8$49-O7oi=8PQ4q6bCW5n)htTta2zs;D*Q|!`VUF-DJBb+HP_Z|? zan2IC1(B~1lZMpsv(NBJ%UE~t@vFHPzrDXtmfz=6@Ng9(l^;%9n#H9P&IjjhzuLbu zOILLYf^oa3D7M%%uz<;(Wc=zeL^h}h%3D2--&tHm{F_odz#vW1OjA0j&G9f0zgyul zRofCfyjL>^)kW=>?&_s}*9FOz#@D5WLu8h)MuBh|vQxVOzwtw5x11MMD3!uZGmBFc zEOC!!a(E8OUYrvw4j1E$~B(XuO4E`vAZY0Y}>;djh%VX zOsgfLJ?`G#Z4-|t%M05c%8Apo?iZ=I;LGObjAnn7Pnzch8keqrE&BAfSg1ID)bP4y zj4k+bfAwf`;8f6KUX}ZgS@A;K+v{KA7YKVH{KxoZ$q^N{u5uGjUYxrP6B3wNK8j^^ z--l9-!^0DhspSK!LbR-0)B8tBe4-u?KMRjv-eZth_RgezdoS=OCv#=e)0^1;aPyKe z-*wdsevQc+OAyZDZ>Q{+yTPT=E2En0KHmuaq&;GG?b9TvMBKy?@W~bq6N8pR$F1$d zq;)Vapf$WSKNh2LFfXRv=FFUv&*@#&NUvNlA_cyAh#AS@%Vv!UDq(VQ2Qo7Lz-IL* zeKtr~#I}*&b|uC_E9yNqPcIK66$x9KQk#x)!=T)kOsDdtphS)0oO7oX@BpwVO+yJE ze)s2dIFJjihA(f&)3Wld4qm;*&qTQ)z8h$_Q28=Yl7!kTL$6bO8%1qcYQ7+m z1j3fKBm{TdN~vtOL$xE?#{vF-wj!9>68~xTuVLP8xh_*fXZ|Y_{E#K}u27pze*Djg z7RN>@_Q@n zm9I`s8xcPOl&*I`eLLd|y90@tLIs$3*QKAx=j99Rv8c;qsC-EC(RpsiH5y{k*bK#! z_t>j5v{%-EprqbRrsmN4NIeL|nq{JoRtp-d(5kZD3$6&Q zJGzN6gLp6!mZ+zTtx0!LoXIxIL%WWOByf!Rn+gYb+V-Fxj?|P;JqjPGjwD@U^w;>2 zt}r_K9&sZ>@|tH67n!0#QLd$qM=95Y`g6(&Fv=72ejJn{Q^?JLAEg_=XlMy(&U}^k zg8DX%c98TN_EFhb2JvwdoI?Q==~k4pU4(L$?w-xxg5{ob8R;LqIDodW?3Gp%AJ%pK zZ8U)R^w*0?CIZ2`HotxMY~f_Zc-sx-HJ#|rF{0T>4*u2n-l->)q8cC0)Al-6dzB64 zdUcb`?ZpiLps9*Yec;sz`FeHo@2uFd6UuePpo83KbM4Ip+hpi^Ns_HK;6Y3jZuZJ|~xBH-dwv+?f(;eh{3e`fg(yP%ops zVUq)np`&xS#XES&56?T}m~@iFcI*Nme+`_0@j|TLs{jp|u%zgNamR`0zT z_k*i-{Wbq|gW2alHNdFSyAPgVeB%M1XKLKRi5pualHiwSPxTeCqvhH&{ZU z<@OcvJreiL1s#K5#yX$zD_M;c2Xxe0R7w{QJs}^Q^WtS)qpDhETPik zLSl}|&}h0#wrj?4AC5mep)u|odyF184OHd1t>cv!@-0YAxG>B99 z{zm=g!Y}5CY+FD2lWii~gSB}k;u15s&K8d6-w^Ja6MEyEovK1o-ZG8Waq>4rcUn^v znd0|hljB*Pm7}Vybgv7L%)a>;m(}gano4DM?(WiYyw2@8#aG?IP4B6D?vU>H300rN z;rFyZPknZn>?nRVS6WwzKD3n`kS0#sq;yFRk1hLW2vRE3+!%T1!a(2MN|`H`A!bwj zSSrLi^tGz!0`=rIntcAqaIDjRuv$8=8D`fmjU;PR0!Q&5WLS)#O#%b>kHr4Cueg!RPWoPFGKcWhh#{kSHF6I*xJb5NcnKa|G_{lEk!&Mt5o< z@{qlWVl}kQCfCEi1`6?5TW0?DdpSj>tR2xE5*Az#gy36o z`|O5YGGFUg?;sd;ft%|Fv!|qE_V_)RKgCq1EAg`xy5PL|j>#w8oPH66a;9p4$TPZm z*oTyrXJ*b^lb4{rS|2KI-CX@D><*eka3G3Ga<+>Lgx!z^eNw!+-`otU+kRhjgQUmj zB5j7LNq=twu9Upg4b@L#*sB%B;B8xVr?bp=HmHHpMEIu-kNW!qs?j8rC<1dpWABSs zbTih2R9xChoKs?hP+Gx0=PuD+EJ)hYmTz21?L8kov6LvPocl69@IJuAk|Sf6=%jr< zOB3^Y(pv7tuD!1{A!U`yOrMS8mN`Pk0*o!v0s-km9=a&+@bAeoryHs2R`p{!VpwT) z+N#MOA>0utG4W)RSU#pboQ;R1a&PQEMhr@kCoX~*0|pUs6II`by;hKsbO>{wi@1#l z$F$jxuB&04z!lX&UmW-Rn9l3H&;Z~{`7sytu;tXfy3V@XIk7Lbz7&4vX~?vzuUk_` z3oK7yI{9->>bxj@erY?=gD};xIF06L zYnn_vIi$2{uNYjJE%0QH&Ch1{IFAHXHI)9mwMsnB%`IKjRw3C?A0i+( z(Ce#q{?PA6fy*#lFq(W*s}3E%SK+QdIE#Ptr1UxXtuTel@e-AIoy#V)m!6b54Ondy z8>3}DTe#j>?{d*EHC=W1ioceBb6h~4e8?9nw`}&o{4>A?*}|2G_0T{+_>d|66DSJE zSWDKLZ9Qti-pvI`2g^wR+|UsrS^kOT5s^mkQ~9orx_*9m!rndBEim&CD*j~V_8FU@ zLB+o1aZ)^%S5{qVc+aLZ^!4LlytxruOzlWXw(~u?>x)_~E3u*iJ^VC2fj_XVyxufx37pP&9qGyyKf zq7*7su^2oI_Ch(`+Y7JA2?5%)+8lcwV*3U}9RV7zwy1g_dkw)VAd}hyFnwdiO&oJm z+y@}r$0#{?U!%XY02d{HEBC3nW;w(nUJnLTSlcAcM#TFy&ug14R@K}u1Ggu>Y-Xz8 zGd>PYnuTu7rP$kkXwSJ(K4HpiCUP24K7imhb(h1T*LJ<(@ zHS{7)DTmNOItWTl00l(^q$9n9B9Y#!2-2H$;pY49oqz7Vb7%gU%x1FL^KQ;Q@AK?A z@7Z_5{_$_;w>NoJBRPxt(l)u*QkG9eMo@H{~WX^???c(c*x+O-p04F0Tr^9dMpb^gAHJwjM;aRdj^wge|q z{iZcqkB9o}_ecy>S@y6JXDefOy*UFX8OeR0R8J1|46%r*hZ#x2^uW1VqJvX~#dR{{v1<;oKoDQ$le-w?xwm8Gp!dgHs`#Vgkm@!N zJ3t`dKN=ua`sL{ap-kQIud>iPo}`qfr~@+X64EO|`8({bcH1qtZhKH)e6tzg_~B|) z%>Jyt$h!1aH|b5thGw|rZIzh)et=Cy!v!2$GpQM#aJ$bScAaYwv=|b>P^QZ;aPw@* zFC*icxhCiClJMBQKhB{Sy{N{^+4k`QIA;)P4EjC=xz$XPtqanMdNtg0mvj^x2pO6$ z4o>Y2ZVrpOSvv2q??s2HFoJ-u=GfjyrU)wb_4VAWgsQmflFllS)-`LV<@&3_F+iht3s@b$;5$pdjbg(V>; zYS`*FHG(6L$(_gX|LNUT^s?_Nu0OuHE$XKFB0(i-+&-zH{c=%#f8k@f{8CSZMPRF{ z+5ouH5T|BAlIW#B-~RZqrR*)GEPSibfMPr>nqvYFV510EqG%`4Q(F(2n=9|~XNNM7 z->Jx+MtLD(zU9{IFGm2Gh}XlKR}(YV_-`!Z3&4|oCyI;OS)n#auWT2;X2poWiwfM& zKbvhpXL!Lj3|j}Bao7ioHzMGP%$7UamBIkN_pBuF$1BUxiQp)q36CdtbQIw<6t|vi zH}arHdFwNAJ*v7-{`WPuR(?7R42xo>D0iJYV_rO8os+sR!uS!eY4Lx*ElNS?P)JfH zY$e+gj0o-^Pyn7O94F7YvF`aRn0x-sxr*pN3JN*+(cs z@wQ#0w=}Q#RrUcsWC_UsHIK8D?V)+9*~k=V+c!cm=cPR9;R39t>}zFh$;|T4MK1}C z51~RBJ={(e5rqt!9A}%#ozD2>Sh+sgQI8i_V<@OWR@@YJ@H6g#I zk8RJ2CcBFG%hevx7w8I)LsJ+&#jQ?6Nx+{lqEVf%zD3y;tDv4UGn|{6P8p2ZM}gDH zEZseDL5!ci=9z$5ZNqOS4tf2|zu6&ktLRGM!FN(^th1YGxuWN8w!Jac?YFR?`;M&G z^&+J9z7#LZIperJl4nGt?yEc{_0l*jE=+A!Lf|l| zu!5K_j{BeSncm#TH>k83?d?D&EPwCqNKXK0XzrYO!%0_O6l6#Lde)!0Te+@%)^Nl# zPvXeuFx@2|8}%-?-Ke<)qkTg;kG~qM#ObmO;S&SC1^A!m3@^s~V9J*WtfR;xZFm94 zcc^_IN|@hEh#?g{IF(5*Z3)8td12zm{Ef$|e&K8XNNG?i^LR*qh2Q+ZNa=YB^Z0dt z@}GYSywof55ko;U+;;ysBYJFB$XxkI|JkXmr_KLd{Hcs*)m~PmRV?4|iub+#xDqa3 zn4-!cpv(mFp1MdS?39u_PXNifwl9K|S+{J%iP=|nShuQNg@VY|K2^6ZNMH)Wj5OU& zwF|Y2aAj}sH73e%?j=Xh6Xy8x6fd&!Tfc#3pJa^9 zFqjiT37f53hUnm&64``A0EccguG08lUd%0! zI_q!omt=fss6^pHj4c%RQ#~kZda>2n^B*ye&zMIUUT6LB!f))7joW0P$wuXT+SpeP>01}tq7v>vD@W(aF9~;c z+)ktvH*$^4tgPB@e!U6&GE+f>;5t%W=`O2w8z6Z|Ghc5Q8gEdOX>eqx)TaqtK-o8p zch`(VlMIf0QJt@CLM=YNuP>>ZkuVo5Q)09yO*H_~K+IHEoAbc8JcKs_pdK3^zK)cH zJ2INPr@Xh%^=|&^-E88pOIl>M{*Ccnxmc6+*(KgUOufFO_PI!DbnLwm@2tsrnbc?z zw0|Fqf{D1Pm@mLHoe;hrEETPth*$ zFyk7HP1B6dK;1wR`&jd{_t<TZcWtX9Cd<_TRgnA2oGl92s6!cE|^kt=6rSoCe<$Z}Hp6ML!|c zoPO}E)?1JT1wyBt=!YZ#zB?L_^bH{(_cs~RdoX_U@67> zkzwk3$Tj~;dZ=5pB&hK=b)!t|gXT$FMG4!efqL<~^m*;fX139?K%+)ro%`xS74Nds zH{Qo@Fb!mquQS}mg+Dn!tStxk2;)I~gyAHr7Vc}lok_rhxp z$9T9mxTTyHWet^djG4miRi^MOJ%-%g<(d#lMjHGeN#P~Kjc3MNPd*-09tn2*#PII~ zkrqiBx3Dl4`ZXkQ(fIPUt44n&l8SIusmD8mM3;-EEPmyCIJA$rHk2Bo>wNneUVI>_I$>W=cv573E&MyDeG{{aSPnKC$5oE| zX-nK39a;ahsP2M`L|=&U5@wjTPDer}W>L-M3Vnk(9%phVxCi1c%zi`vMg5UXUyqVe z*6Qm|x$j7ZC@A|r0UW1viNDl@aiH{+`c1E(U&)lqoN91)^mQX>!=Mz`IM9Egi8x9z ziDIg>@bnj<_l18M^Bg4MoA6vdATrWJ=4i4vzp+WDKNPSYEHm#cV^}k8#WFn2Kc3{H^e~s*x=)}g9-mICWlM|2_+_(Nw7K~rC_Bu4N;u2c5W^CzzngI_e zz;-4HNJasT#lf;*@}jkRHYlyxPL)K&oaZA)f@pJmgDi1y0}S=(CTl=hL{ z@FzxxiHL?j#KG2(X<4*(rlPfG&T)&nan=b#0aw)#LB(dd{v848GY4D09}ITh9Joz8 z_)Fh$I?}=6G=w+Kkl$X^;AOuTIL0Lc$S^n(0QXfdyUmx8Lknq}Qw)w6mHJ#Rp+)P)mZN1C3Po$A1c`>S zzo}MiB;mpR_`+0U)S_R2gf%s zp^48fp~^Dw;H4JqO&PGp!E*BE^S`nGxH;hkwDCy5Yt#59(EzzrhOb$h5KeE}@jiHl zf`Jm~DZ3CVT7xL{9b7;=ux+|jkgDF>&a^=t2a*xva_0CKsVs*@vMYD%5eI7#ci(aD z`aq^9A$}0PQre~4`&6A)&qgai>}wGRzatJ-BkuZf*ndX=cU~YDua5vj=i87;<%cWW zEQcMkE5P&4yVZzPrp~u{+YE#(e^p_&I~fAgJ2aGPI-tHsN_}b3b6*hBt>gAp^4g|i33Lhk2j1J3JrXjiq?oj z6UQ&12EU8}J#4cCgCj?!KI03h%b`Hwa9R1PvBHQ7npbn=<(l17Fp!&_c$Q{3;!M*B zeH`(;AgVHqTD%WsZTF7S!!V5c+MnP|kKpV9g-2h^)GXVIV@y$3=a`7-qYkborkcu` z=%9~;4c$*i*CL8WaEiX+7@UCk<}Vb$(t8c2)XxC-PG`?`IRfd?&MAp%axxEY@VsNE zm(EjO{f3|ZU}<;e5nEdq@#?n}cD#2@ z4=_|ey$+v^7-2FCBlA!O%XfA{#H&vjW=P3rK7|48Slh|M_O>Fw(Hd=NqS;Hh$tSSQ2u?>LxIGpeE=Aail{a#L@Wuji5x{cD3%(d! zTnnkl3*eQ4a85IIUTP+E-A^C9&$auPz{i553e zy|`exAIEzmV)+M7M-Qm*b-hFDIOxZMSc7jTmJrLHpiv~AeeTfv@7qfbD6NVYBz=KO zKwrou^gse$DkM^B^6MXVzOdQFibRCLk*ZQ(+yzvg9Qw@Y3tZ<_D0U*6RK&iC9EA<5poM?Y)K+J0g~k?EsD})e7fXoI>!L5}x=7Sj zw}j{?r?KLO(6yp<&wd zY_BstuGp_y=$CE{KfxAW(;7 zmF$fC3gZW#i~rS!I802-zZj@nD`Y$!~v`{d!*YO3WN`p$##}CB^&t47p<9v zCSujxhWWoO9R6cv`B-3o#rWoj%Fom3r1J;wGn$3=xu!o2ym=nf=XY95wo>;Y1pi_d zJO4DZ97;ki6d+C6;Lqz3Cj^Jb9VWLN6-WK1Z8)KXl69^TRftUjuw00Sq=&YoUH2B$F z#(ykeaS6Q#j$x{Ef$@4@Vei|%@ zP2?wmdHZg%UqXR3;~Vy(HDRT`BJ77%i%al=g;|0Iv7TSOLox+@dvfD3C~V38m15ugvcl zeBW3Rd6}O6a9SW`!@X=$U)S1BP}MPQ3-85e(jSTtYX>r^f^Sm~J_ra|H#pDgwEBzE zFWovD)*X3#BBuu!x8V5MH1%0jpcd{Vgsh%{S2|$!p~|P z1IYB2Ob&PD-?xVzjBvymDq-U#t_QoW0{&B{udlAGgt z`taC8hTI~OX5|F13^E8h7B^cRuik@IzN;BSwXMQKTg^rd7-F@x9H;rwxZ0uS;_BWm+63hbK2Ht(v@szWjW>`PuvnV)jJ< zsitXRj!oOI<9ij;Nx~1k`?mWwE19s-yV>HH`hd+!3)zlV6%|!J)__olITaO8Ut{+In8=W3rk+t{@OdXN(79qApE#rc+2@Fsg8PT#_PMApYv0q_NO#S(RuCEsI87u(kRIin_W|j^aQQ@Rgj1s zrp+nbP^MN9|G=p%;Ro3-uiX7e5q^)Nooz*SByQqM;LqIp65V*Gnnm+H?Va|y zN@aq3rOZ9(D&n~IDe`)$=^aptyJXwO82mqRMD7<7Do;dB_nZS{8;3kq$UdewyDwr$ z=Cz--0oI+&FEeFIUqeU+KF|qOA!SmCp)u=9LZisMalRhp=aj(Kx$Qq}+kf`+4eo8V z`>Q(QF?x$8KTPTzC+e9_i5f^zhxX=rcOPjVZr}HR?M4zNWd$zy$J%$<_hVv6SzI`5 zm|;E8mdDYDH|LtJ_0asPj*c;#G}ewW;g_LarlO- zZ1cM4^YHp!YO97G&3i!e@cZPnIrSs^G_{5v^ibV<_{}<@{BV`y!AbpZ6x6?Gge{uYe8_YmTt}iS5gnG> zEfDHmC>|*p9tELf!$8unS}7f3@m*>%dhOV-tCm%sF1Sm*U85~S7Fi;DID;ZhPe3Hv z!!{TyiYmCYsGyoAP4oiy(cr~6E#gT5yHwEUWY98~k|tGn9V0)lOUVo$zXci8U|7Sq z1SPwy;!6N;lK-P0X_xJYnDV?b;qH0pV?yWudn6LAEuN-iky**qNR-%Gns!qiX2HvS zMjGo%5G&9fFBf9DD9HbwiN6p6J)}QCYiqJl;<@NXI$I@6)KaoQ@r-$p{hLpI;6@Hl z>E-&w*~+Skr!~AGA)B$zZby>r<0XPSKIA92lJYA2RCoIT|19vXs+4PBg6~f=^#`^6 zicZ6)#DYi{U*{N+g)%1*(tlEPFWE`|DL**9`;zHvEeB`-_k`KBy((fBl4=LLRR9WX z@>ZXJ)88aZ$kEWmo6+p~@fF>-+akUdnwzMXhsIvL@F-m*uDesm%pxyCHZw!^L&h{f zUi1y-+v1bzE)lS-w5@~Z6;DsB4d=urv+-uT^u@-fmQ>lFGcUIudbGVGSg!IHNBxzL z=!&++-vS=|EQ)0haMQe|Ny z?S*ql`mON^J&{LrytkElH}g2DXS0N{b-h=Q>0aik$nYpvm$SH@EPTalS$ZiVG6Eb2 z)nX3pp0-<|%AWCIT(diJV#3)`t0MHj>dr|g1BON$oZYHfVFAb7&2Kx|DL?kCokl%4 zjWgdWz?Kpv`Z<<+pSxw+f{H@Ks#i9=@NwRp$+-_;w`%mg{jc%{{WQ z6X-ye-BftVnvMh}|Lf5^E3@Lh0|q@o8cs|%E(#YSFAl*97oG|id${{efa5cp&e7UA z`L-RS1Fs;~G~5B1R*Tj_pZeg`JY3HOawxBCxX+g_kW)z3qr9o$+qe1;<$l&F#20lB zW6piUFn9w7w$0S+WAjt=?816J(a1_tW!M|LXZIdSc%c1_G$8XWUEJzVfCr7ZR$Zs% zNzS&Cb}EqJ2#;8qVg-vgtA|?wk;M-L2K7e&VhWnJtP ze6^2g*M1T%e!=aH=4;XKd_Tj@|C<3zPEl}$FWBeJp+RJ3uu>RZJRJwqeLMSLlFlP+ zwo|xg%B>D{x?kI&<&m3p?T7PmeYUwG> z4}ox-nUSjKbknTot2}N#y^c(+Q{`3C>=h|O>(WG}IwPGu zyK6!F!$?|B1D3;G%2v}NdHTb`WCP52K7z75zeL(S@g#|C=*CLy0|u|z!d68n}evNy0}(PxV90dJ1fU3PkCEx$Ax;Ac+Dum%D=lKPx)^a_t^Z&u7nEw)#z?_1EDo-2@Ajl$^h<%+J}(&SBlHE;R)bgK`y z;Pxqf-iGdfsQV&~uJS&JyiMxgW6r`XTPA*?;?$5(UHr>X2jZ85t}4^@en%O!Vc&$6 z8>{U{up2i?ZQ4$S@J_0ozZ2R@;n(RKlD1W2R`dX4E)`;O?Z z;%Kxl?@F2lHm#fFLO3for7M@ZfkCOP_{SE3plvRw&2rD(CJxP--*Y_%tjG)YC4+efQsm)}$(DUCDk)z2eaReJI-w>Di%d z4ZH>RX2cldFNDSiYgv+Hjdx2FUAjm!X@}Q$o>njWjn+6U0xZ|1>-Sf~cqpADL!dSv(zmJs-GCmiNB{%yPHV$V%Sn3w7{EF{J zN~`^PSV1b$Q2E*c!lW^&p;XV-S*2HV4ekIoe+sWkhbhcS`=RTF4W3&hPD=(7CTm)) zknXUsJ-_DuGBG!E_~CH_T_fwjD?A9t0zXTjpW~-WDH3joasq!{WiqSVOmN!L4$JoYpDa0wQ<-K}ABiUalVJ1RIoOIPOqaIG?tzDVi* zwW;1jtI4G(ufTeM)HmeosocGV7Z1c2Ey5aEe|nPMkGuZ(Q*kGxrMBVc$Ip|HAkPEl zgrt%pHGtxAK0hwNODf2FSf zdiL5Sb2Rok7}92PCmIj?e$13)s^c&19!tbyuCJtB%Pz-I>sP)lrOh@)GD)#B^KfB6s$@=%y`$&HAboiKd-MCWokO=8PJ&M#k-o#z%HnH1+Q}FMU{fl;DF((eGBx1;ONmDguT+>lV@p9cL)jt2V6`UPe8UxU|wUeU%rNi$_Bk6L@PW&dA%!t2l%0W z(3OLs0fY)vJO$7{-69F^Tiz2*s3zv-miIHlwjg;JM^67T{VhpO{~Ucp2Bn-Mjoecr z*7vZuRo8O!fTPtAi^WX5?Rfg_M@YHtm|T81&FW8K+WIXESvJ}vV2)e#XaJ*>Kq7VT z1~4Zo(2L1P_SYiP|3bWYFZyvU@uEO9?|k$=CCp_e8bX2=kVb=HTON6sN*Lm!KB9v% zS0g56q0#|YG5i(furaz+!kZ4JFOANN#yk+5C_t=)!OCMu?oBRoM9+D^LZUG&d6>Vj z@_AnW0!qR8=stAoRS_ibHdTt*sY_9(Hq*FZsT;Ek~%TN z3BvVHi7IP}J*ioMitB)`t@~k0F zCPG)5AcBf@h3H}P)^1Mwam410!Fur01U%wx{FPTo2-B64GN z)X+Y1*+wm7DG+I~9W%!Z|0Wq6TA)Qr+v+jg zo4Wk3b$K#weHUp!y^2-AqoIf-xqz|mzqs`R2^mywk1A=(N36>Yk#lP?OjPBS*5wdUri!+pfw^%53NDPZ4|Np?$=A7lM>Ek0s%YT3$Q=ek#Mo+6F{7;V> z7FP}zPcHa>0lB99fTpRWx}0%@+!Ll5jnS4y_rSIs1^so`s87=y;gECyqehY9DD9O3oHGHgED3(GGP{uiqLnUuNe zSdZ*5Br5Qxnd6JLD97aYJ{c#6l_!&+<>n4P)58|EIdflrrhz%-VZ1mc5j1o6qJ_T@ z??GXt9x(Z6Otn4{a6n_5W8p?K#~YnJAKidODG&2sy8Y0CQ1SJI1@;3o_DS zDUBUT4bD1Ffk)3mqbTRJVlv(awo%SG(pd0COB-|Em`EiT7;@HO`R*>m72PlwolT1N zlt#aUA-?J(%!&4@iG?U(@}4kyVziYBB7|!kLIR){vHXbqLfP_zcS0!ob0hHx6)eO9 z<_X&($b(}^=Rza*|Dqfzt&a1YD-5AbtMfASBW24ZuYUujoGnc*S2ScU`UfQ}XeOE$ zi$fuj{;#+xquDYRi(aLClG3HX5^G4qa>IT#huLgZb z!}IisIwC1zuo3E&O9Uj4s>^8eJyo4egbF)g)uM#4k;t}f27 z5lRF<%u`#nTqxYM187=STZ^m z78Y@UXvDUQ^PNDcBtGfbb-{T@`>4nf(8_du?6H5mB!6&T5b`5vRPlTvFOO)VTC0qM z!r#jfe)}ep1)hnfMbW8!Q=unwLJBhugRI1|2wEDn%515&`ioIFV)z0mh#IL%BiRUe zQ7j8@TRaQ2Ee0jrepYHMO6c$XUn|%ge!^Jih+mVk3I0``v#!56gBOiHQ`C|zf=9$8 zL5X4$go*AZcLQebq~}?e9KnAKmO0KSUthY=b>VISe~Z(cuc?nz?Of$K*0KyJ z(bX|?mM~Fb0<0rCfv+R(PbfKx5yIfAv7)lD7VDAOl3#$ReJ1|O^pVV)5uAq){yS)7 zRv;mX30w=ia^ft9Ef)S!3?oKRjT|MFyo-{@7eqK_zQ8qcEQi$nfRmT^!m(+~Xa|&j zY)V9fWQJ;)SsX3OGueX#f((=toU7`!B$SA4cjf1HGt(S;&9~4I^7+N5Y=w?Ak>FXk zGs6*}{d51<6U9fYj}qt9O&%IeK#Cy&StF~`@IJ1#?j=0A27bM_3W{@}kK!h{AI0h* zCNAYf{@rk#tt_+@ia@PG#f5AG`Gav7M~cw`$4#t`%-=3uDUcC$|J7-YF4#(<4sXI4 zLA4c&hQi~}_^4;GzmfY4I=BIhI-tLjf^Pv=0m#JkV4;y13PYu$Ou-MiIlJ21YTcg`s5C6j69;|H}cHW&`vH`QN202Fj?sBEt^q zgR#v;TDS)HHKg+%+?0F%Jt@j5)tfBav zYXUhX^=0T2&TEE`xSpc^WOYLrDh!?4e+F~+Pzb!|R(E+`l`XVS?0WyrF&QGsUSFz3e)CA+rRL3~=8nT6jnG1%_*8mDD!a9^0* ze*{Af&qJ%Dm}8ApSYzoTyclx{TO9a_C!F|kCdSJhcn4(RHdtyfU?R{DQ6aI;NH&IC zoLpj4vC%5a$UomJEg4z}a~%*Ms6#mg)TNv-3p2RX9f-^Tn6&% z^u#~wJlKX7ceds=!UwXMlq9Er-IsrFU!@pXF>W>N@B?@wEn`laf4!DyW zlC$0$xZir*^eo2h@P{jCk=MI$gDSwQq&sG3u$-khH@(=DaxxKGpH%)z%kU}i$Vww| zm}6Ve$b`voI=wiXaxw*4A79SQ>Qr_Gg=?04VO5cmfYMP0RFrs3o~Q&dvCe$ldB4q5 z+>%~Q9l!mDe;y?ZFG4e5I*Sh%(h^+gwz`TBO(-S7lH5fQyu9zKYToWjcrMw`+Qj`S zBnL1c{(d++{|`6?e;1sde+a;^Vp`SZ-eM&ITmCN(W@sVMk?_aQvfHBO|AxWGh^q;q zXk&9#9=`GyAB`{-8XNKeRf=H+)OHK6d=SoxC_pp86VQSf0<{5^JTg`sPcajmxhVu+ zliIi8OBRm~GB0>XJ@d_swpiMiFy1O&t&ba)z`}d{@lZek5?iLsx1CtF?jWbsetX2( zAx-)CSx3(AK_D9c^NW}HEyM@OTtcrga^Zs4taFvZ7ic1jflX_}qeT3w!_A(5ZxlU0 zbflay%&jhedlt>YJlmcoa_5PEXViJzyLgP*ztdYQ57zA|wVzcoV*P9|o}~E0i2cvZ z<7;vI-@rLgBTRbb-OqA5;`&FEX=t1==*GqA@V0U@|61$mT~1@8@*&CHa!x_k^B=&k zo0B(pA_e;|B%}o{-!wSfoD4{ojpwhCaGgZVpK?cPGqT?{`xsfsc@8)h8s55Z$fo3n zL~}VmNH<#_MTN8(GVd%jHv!0@$>u1&8NLYU-PS)H3{{d0gO~+8Tx3 z^x}Rg0GsviS#T{Tjol8mQ*9~}39>s|+eURur8V?e^?nGp(_L)9%Z^fsmSulJC{r^HftuA3`Jf z?x^T)blNM##2=A3h1hNKqEZfYp7WttZ+8}C0VU}(o6Q@|AHcz?Mi=vQxfHpZFkil7 zyt;`ZW57l!eAAovYvt&4{Bk0|W^-L;N$y7b zwt4ZJfkzkS&uUB6yco|7zFOE(T&w8?F!08vwWjg*J@9+v3eKv|ycP@f{BTEy2?L>b zE>af16_wgL_Veg9bZ8rEk_cpt{B`2i%d9yB`klZ=Y-}U}3 z$_n509JpqiKWw^@|6Zp1SyD$@(P!W%1W;Pw5rWMHf?ffgZ*dPySreV=p1(s=o4s!x9+p04MTn*IKRPsyd+(u_|zOthLz;}KnFRJWdRNu7czp~w%L zHeV(J+-$jsl97xgj>hAs_^sBy5~WK>)qV8O8d4>{B_ar{&--Ue3|hz344JK7b6?o32OB!)zlQ9;=1Rs((T2ie$o?uWi}_I!P(RsG zQT;q?`RZdJ#{+!kjO-U}7eMUqkC1@B{qkQ6XU*6Sw%sisk?>h8J)+3?#HE?-NFarI z|1_i6*Fnt5)@$`wMP$z}Rr21qmg2wC7Ka^lXTsma&x?3GcspV)pzz8PV-lG=Eafh6 z4PM&IZ-PHy;TxNsD2e^9TFLZNisRKx_+UYh2J>AkzQFJ1KOXnpcv_Qyx&|9|;gI=N z)6V$ct}STTi#OS&NL$PL{22fSuys0iYI?lT;SCq$eaw2Ncy1Muc0a)Jqvc;;?kC$M zXOiEopFXQ8C)X%_wTh)DY>cCG%h| zcJI8hbfuo1l}Uw+-Eat-oZ5|J-Z#9uAwn4YxvLZ9DXQl#NrIewfVaHg8niAzMId7m z%h?w%z^w}^QAQUxdKXR4&rj1FY+I^zCdmBwOUfLbGv<)+;cIpnek4I$Tq0f@L3?J- z7*}fD-uS;7KS3NLiaVcKg5bf(sE{>9a_t6_dtqLd14`h}*Hdw70POY5R~93#9qnzz zbT4g$)<*%&V zB+*9}v-0wI72^@Jf4u-~)0?G-p(U_oUGmviTGk$gM3kc>G5mc~~SZ6uwBrB`V z%Y|;*Av+(b>2#GFdZRUJKLnqoTcr>eM^{rk{vfkKY4)!2*FWPz-I+fK??}^k@s)({ zgOfI;r^qIdL$&{EZF_A$#S%8=BsVxYeGMtMyIR9FWN)=Mlo== zQnQg1qBSM-s(|-$SD_cnL$eTJ2-P5Sg0Of3vqrMJ)bI^ZF=Ekj_N%CQfs-zN?c_vS z{da&#=<;3Q3H2a15?0Hc5*-wj5%kS_2@Iy8O+vX5cux1?Z8>d-8Wv4IEFUx!s8b4!b??t z{Flk^@St9jV~yidA;qB@%>57vSpvt0kHjG>x&cxwXsM`XQ~S(R(S&< zZS&M^BjATg%i{|HYX>v$Rch*cOx4fjQ2!K4a9;6dE|n?Z%(^a5&J+o+0lZ+^NA{DZw4y!g;pYR1{dAb?}vvEbA{K_?9=(y$Ksqh#@l zjgtOe%Sl&i1pm_S?^9&oYL_*|jC+ee=xMj5#!7JKCkAmR8m$c^Xug|S@M@VMrGYZ1 RKcyN1xpY&Y@BTX*{12?W@~Z#< delta 31868 zcmZs?1yo#3&^Cy>Cc!;GaCdhL?(PtLkiqRD0RjXF7TgIg!QFzpySuyl&ij4;+1+z? z=gc!z_o?o#>f19dw|i3FpsC;4WreA{&%T#euVGg>5ZHe4=O zDF}ou?|9u*3Z8?NhKBCsK)YV^TJ?D=UmrQsrp5{nllrO8QdEq62k)7M)RaKbsXnx= z&02RvNa z>oNE3Ws#BEL(~n-D{X#jyOr-D*Mr<&eX{I{k{si%Y|hCB1ZR>4pN7GM+k^LN(V%$t z?Mu=}>X;eo^)L{1;m&Zi;?Y;Lxc#Pc>UYn}pFCN^%*EsNqeEHYN1+kA}tdSm^}y$e}k`hy-yW$`3wX49v4v942_CYt>(Qs;ed8AwFUi~%yv zPfx6#Qu6S@c?@#{!L+`cTeI?EGR#JGJD&w3wBHiW4yw%iN7Uq~EwM^ff?Rdn-(DB0 z5MxfI>+oSr1wBZJW!z*$(V0aJ(9v1SSg8B2S&ZtQa(Vv6PrwiY7%aXq`pq%>6I`Ac ztDGq(>dfJ>#N@wykXltpox?V%FB=oDDub^FB>V#R&1Yk02dFqrzf264bb*-fHxD|t z*O6vi1UNt8NE9j#__04##^ecXUFMHRotlP_LbKFRX*vG96(hf<^qlQHp6Q(b2u)*L z*xl~i^pH;sQpM#1YQ)G+9jV^VewyqeDAy02G~W~fhV9sbz=F0W;XEK7(LPCQrC zPY?qMhUwd~kj9@=<67q1U+G*m3ZB^f{V=^0yvm@4!wx%tM_mj#>YVn(xJJ8kW>j;Znw_DqzlMhu!x!4V;()ae{bEN z1FRCIX8ZGivQfNvBOMMpn;OM64H;vvNlE(pHeWP}>RJyJti>(;p`7-T6&*5h4i`jDqqFB_o0hzy9x;&TIMsOB& z&aJ!jM;qIAYKW~xM2^cPzW3uRstygdEv80Zo&C^&1}nH75g?AKuIJnF98sM%>*Tz@ zsoTxmsb37L%CMI=_S)$Ta_emB2HMrU+RV4pU8CFmlc7D+7}KK{eX<4!pCV#NbP$cb zdQ4P>@0tpzz-eJ97}Is^SJOJOk%P`j91k3&pxR!UxxIVaXx7Rcs%4_}@O{uHn=BQVxwa;slcBl5L4DzeD`cnFzbc{foe8v zf3mWV?ZA_)v=gM4G~=zYJ94UjJN!D$5-N=CArZpUxW%*5!MKJsTWnfmUaPR2upP=1F2S_X9yBb`=09UYBHkulhmw-=K3lg0Jb}R z>iW^1CBHpwLF4gC&Q%y>wv;syT~cNrRaH({y5aE6IJWAj-Pc`fPI4C7UHnMJZ;r+9 zl*tY#9$LM=KGz^4qj_bUZI=WU4_%t=dfu;l?(ROj*z>LV8PuJx9ZJmVkX}P&Xlt6E zuZ6eyjE-mjsAsA7)LNyrYs26Ke3>U|wT8Wn9;z^iCZzR5(%Og@IkR5({SwE;^GF$g zgwN@l$~z?NE6fkOmTUSe2dOf5rFpHB*4}<#QUsGWDsywryJM@u>q8pp*!Eroe^* zr=heIs&8o=B%jH#=V!J~)n%F>?^J!Py6Yn#pzi_?MWm0X<;4jitwY^XVG6 zf8lZ6+)0n6l={Z@O5)O{X{q}Z|BQO;1trb(B#^Jh_lXR_q=fF`7dc? z=eOO)y_kIf6vz^~7EB{4w>3E?bVX$!6MxN;^fqiuHni#CW8THTvENDaayut~*NOWY zuv_?-1$BsgZ^0+})gwkQc|p3}x*~kf)YJA0@q&cKg)bF&xqPTU|zOMtaw*Q{1MqgLl*}%P!dJYEN_6G4XHm(K>j4=<)Hlgb-S zTpy|#SO|38*wP2X;;L0CSsgk=mHr2nPaDyxk7%x5zz0nFu+u5zuLfd{W>@Q zN1Omu@Gdp4MK2Tlv_I@EQ__GuySzbTUQ@z0s5-m+=6izwgt%+bWt`vZowfb|Q}#!Y zaTPvnhK+jZ0){SBztq~#ebU!`viT&pPFnTQwwA18y8?K71SwJI4C=t5&8XrZgaBQ0 zo8op=b*!S}o#9oVGrp{E-)&xe_*>!PZ1j!zj;p8cQ%iMlepGn~IT7@pC1oGRl4qt& z+Xb^iRcQk+i^z&j+9DB(=6SF!9i#!h)EunX2U~a1?i0IR(hE&pqQPY znx#F*IigtIMxf&kUM&Y^Gx}$#s|jF8%Swh)h6&I89fn9EP%)=7C8tw2r*m;J5I>gR zIGw~P+ zC|Zm+nD?^5#Ze{7Q^CoOpD81+ze1D8NLI#aunn}&fm{CgeRN{x!jYy+?X?E}V5}IX z)H$g{(xXTUk79T8Ed_sR!5_nRE9RBy`&YmHZ>VO%VVeKAjITn(mErsB~^j6DO)sUvS?Rdu%~h@PYM=nHDO463Kh8S-Sp40 zyT+fef`_JA{gXK*dg}Dt>D4VvUj-XYA>e}`cvQp%xVznrl$PGMD3MJstm-AG)BF|3 z^I|HE`N@?n>2~)m^zbP0*{=kzPI70WI!k1`bFE_JcVFP{+lc7u2NQa@sCLhPHqWOU z(EO+Q!Wm}Cq+77H=Ki?$!tp&{|K>$b4eC=bP*!ROkql-i?aKWMKn!E|5e{W24k14> z+vds!S=f$`m81~{;3)dRToiEKQZ!CTw~S!m*_$ddb&37oK;KZi8+Kov`7yjUQ{8(M z^bx%3q+?b=grPX%)=d#kpb8z{1mb06gJou9i-CsyaE;GO&L-0tv9|Q>u)HPS9fKT8 zg5^F%>JY^25=ShN`=@3%z5wG35$`%}+AyE%c6sNNS2zEpVy9bYR5*eXQAiR|{655v z$5~}>XkT4l@h~djTWcEjhrlL2^hAEOQK&1P7SkK%qiK9TCNG0g)(`B67iM0mzC1Q3-f&h@ha6T?MF^O3SffK_F^X0Fh=t;rb;J8g54{zm}+?@PM5>-ul@tvNS+ zb|}`Z1p)s*BBiRYMc90s>krS`NI+j2!GtFVETQ8!(d|(*>(&(LD4yxU%L^k_8NdUZ z%;fMyHOa?SsrcK`4Y`2=){2vIhP@ws0&?+}=JtVhlMKH_H(*ObcuJ%#7#f3MM8^4k<`U zoTR;g0ZFc?AAtA&aO9kk^_{;0-vPm=tb(S_yR7I-4k^Rw10_6FYMbzdcHr%gLX11}Ed)cPJpjyA9lAkfl36jbV=QVtpVbgZ_E{ zxf8*P_lS@e^@D%&NxhUACM9nxYUfmJHg_RmAiAzqjxY>E;* zlp>h`svOeG*zepH9Tc(Oy(MKn93f$t_hExj26h7TKRlHHA?#!=`u^WvzUYY~ zY%;lW(#`+djs7;ARXW6Q;pEg8_zNjzd%)@HN1-PsTKcwZ8!5JC&OBv4{a;cx%|JIe zoKfBfr(gFCoV35j0Ul1tY!^Fd;rf!_GuFcF%w=1ZrlSnSG%)UouJ;G;En}WW?-Cn%>px`?-j=g(WAeez*0)i0;22i$<%m`ml%$t7xV-e3aHl$;UJ(RnbO4RnR72bBLCCJs0rQ)N{cPgnB;I+p`b4qu9E0wwAxfnb1sJlToU3m zoSUZ{YM^>IQ>c~?=~Oabi7l(>HjmQ|O#diiG#o*l4D4uq!Ni%k?rS1bx>bn<*7x=P zlssC#z67b`%oSIPoZqhU7Rj1aivXW-#MW+HNa7MY;=vH|-7d{qLO=OWvHLr-A;(0fTBnP8kG{eF-XBR;@Ja?X}v z>e`iOOo*!gm z4&=y%{{1&y%7UAs41f?wU&)2UX#-t*CbG!ohZ6Fyvgdi~Bo9ddFz#aoZtDYo=SapB z{mL4&h;xYkQfZ!rNWnafx>~YKnR>EJHUtR}{D8n00w)M8Aka}yrYx{Y*q}B_z}rM* z^Q|^R+sCG1OP9&+aUzZV(Czj8E86}K9Pg?>E|S`j{fQysUVeEo;^+v6)&5eL6{%b3 zynt>`WoJ_oYDl(Exe8J<9tuQBgeXZ!Att*|BGzyGVlD_lAdZ8E(~f&O z-iozmdU>KQQSz~wGXS{(L%$e2{lW~8uVw!vUs8`y#zX4-`44N1;8)XV&aVQbAzm0w zQ`FHENo%ORYp8#t-$s&p{5f%IKv;8GQ)0-c4x^q3c!)|sH4_)+>Ez^^35{_Tl4`Y$B><6;8Q4#H_efBNT zwnO=m?4G53YpV#2J$?4%il}(Z`_sI~bH|N@ugM&i zkKg#1_3ErwPDgG63{gO<-#vb6p)R6ETo1OeT2{Yis~Ifx*hkE zWB4yK5Ou8l&*{5Cm95+PuAQ~wfq~{%vn3)!!Dh~2+PLU%pL^Z$;|+|D*`X@gL!iHz zF2P=4TV1vs9cvr5@2P=btr_SgyHS0yZ`Xdb^5l*YsoF_Q09aAK@h|We<}2|>H)ts^ zZls-k5u%@P)U-gCyLMXW#((Wy7ms@Felw96v3V~NdMn0kN;^t+EfcTJ>H^GTyok?X zk-KW9*)#krYtv808-3S0*2&Q%gS$$BBp*S3g$bSI$l>x&`|h}^gHFbdUgUJf5}2LB z!k1;hH~-fNAa*!u+MB^9o*9k%cKIk!oVvGNB;XGDKBzOlgR5uzb za$Wo?I4|qgaqQ9bvJ2)`z?Ri?JKLv)_rRrtNKs(dym+@!6iR|S3EHQT;p-{4=$aY3 zXoV~Lgh4iC<+A>%?*P~gyV~FfTpv^JNMVAiG$|EEuD*qy zQze-BP2=`H6);DP#w(WE z5(LclRB#RWVUtl*jWj!jDwN}Pb(FjGNi&glSjVV_uzy@k8z1C3cP#tpA53+_-`k^bs~G8dY?Z9d|buIzYT}Nx#dH*?{K<2`qNE`SE=VRN!o`{{+9H#>|VSm6X=2O)myrTD4Ug!Y!}lf0W-l*8jSv^=&Qe2P)7-wRPo7Nr&7PhXIAeuL%q{l4 z@Oj-2j+eboc^;$Hs9KdeU}_Xln0@5^a}{~u&!b%v#zcz@C8RnL7v)rs4}Nb?6`nGZ>~uik&J zF|0o>y?N4UPQFo=GLsoLd)_8?Co$;?Mno)kx~OlT!`sjbA2V zKs_V#GWn#%xWVGVACFCn3#43-8mkFApnfjl?0Q1l!(sGHd-05_lnHR)=}H}CTu>7k z;-Bkue*9-XC37Wcw&VZ1e1^i3ng_JY)|9w^0a>fZ4S$c<=`rsLBX)8-uEt3+@W0&R zV$hN*)ddgO&Y}I{HPSy0w4G-%n|1o|AiNmiB%3H$`%!o_gxaCa6%cWnyjAdZDl`)U zk4DIA{WJ>ToR*uWRA_z(U@eC0x9yQnb$4{I44`Q!3>GTDn`iz=LmJ;Yg>i$(WEF&Y znF;&5@Lws8(X5>2A2QZO((jF_82X3P+kU78CG6-+1X;cRg65+-z`OCGiyx6Er8gV=Q!^_qxIzpipyX1A=`c=G8s1e2hKanHOfQOn__fT6Bw0Wl&8NQbL2RWu94NxLJ>7%AM>ZT{vUG#)_uRX~tt|F6w{e1D);qIxH& zHJ~S`y|M6_H$hZ!A=OF6&qPTzFM$4sScOhk zFb+Is--8MaOZWfmf+7n#bD3Kly4Z&vylxysaB<8THQq>GFkMj+8qar%d`d-McKny* zyutTW60$84u#NurwZc^1vfrHSy36yziPs+kq__nX{#kJ2K@$G3qz}UOzvwv` zdhz*mLet=R=6G>V#k=1kRd#xd$m>OaF~l_VM*1dXv~VM^kcIL*$TYNy@tz5_@7wT0 z(PtHfrT}@>hP{9LQaM;v=w6~FM#gweo!bri(yG)UVd=iF``i)ky&dU?(g#!9cu>NQ~&M2zT3qW zUs&{bhrZ&)#JFuh_h{efWhs*6J5W-6-PIzc>rf(=@wvnwL%baHxBg1aay%6(pmHI0 zes$ir*Tq}e-976ti#f|%bQh2LnSdJd1h!}oIt9_`H2~K@z7ZBzyo60H3Y&m(*1>J0 zXfN1S81j@h9_uqMknI&vK{?Z0I+b zT9<@w9DzB-Efb?)UNor&>nKe~Nc5X?W^Np$qb5+3V{>=Xw>ylxFLM=DlXhflHP55s z)fBV7o~6OTUVxCr$z4)xELdYYCrfEvV#p0%EPG0MjE!9a3U=j*?1+AIMa{_BHuo0F zCZtwjb`33U#JHx+FdDPBnA)hHjy&x~9)DvpZqEl@j>Pu$g)Y_{Nq-w7F6Jr;pOr3h zo+&WB9H{Qwt-^!rLUUg%=sn+yVUkZoSJaeT~lLa*VB#pj# zj0%s8+5y8+C84KCDM;7Q-(qi|Om|rN$nF}w=J@xBtsaa6^qO6|A=&k8xU$HVQhq<8 z^~B5{%5k+@9d?)ApA5`Rjb1009=tXT4^)Z1atQW-6(hdD|7>g@L_LJ{UxX;XlzN*g zzELSrL0?@`oDiZoxer&P;#C?}+1_qNRxPVA3V-<#!$_foqY*Hk(*L!0`tYVqLq6uv zPcX8MH7WTB1zS)ah{y1kV)OouoMn|eu8WiN?cE>rai<#O#b## zPlyc*ST{ne?|-^F$SZ~j{)SzNz%~_j-_iW-bu9iv%>CAe`}S>P(VP#nFs5Y+26xp- zq~@^^yd`9JPP3 ztz^wvKZ!n$f4CU+5nVT1XjeY)>vG;;Nk{0Cq)Cm>Uj0jivk*1(`5`NPe8Y#Y&3=n-!S#VZdHQGSO^M76 z*GY|AU%As|JRIx@!~2xifcQp$nwc2g@2AJzO=LWd{ervDz|cP+x=X7G#(4R-%kf|l)cGQb+;n48-M3y z^Ucw=E`f5R@-TrDl>X;>CHY@LhKJ+I<`ioIL7q)cCvnrjr{%s!|w4EEI zbc-1vJYarwKoFC12rTb)VF}fLFkF%LQ;fljiwr%0=eQXl+wjcFotV{}*2X_Lm@jl` zvY?ko%_4(Bexc@%L2d(B4TEXQLV1)}ZgwF71z@j$88`|!7!ArjryL`V~_xZ;M zvmAq5{=@c}m^H{(%pV2u?=oE9>o`<&!l5qacX$kX^6SJ;ds0l3_e;1`?FizgN=3#3W`@>Xf;R$c8{v<0*ahffugO>ZYo z>bw{h!IZ8|oSD{SBM9pleQ6sKf)Bo=FRdp|@yDUi(bz{yU=+o;sUiCz?2?VqrE5I+ zPA+~AzRpW_JfOu?QGXwb4`;desaJvAY90nLTCI*NFDbUW%8=--H$VpD!wf@VDYk|c zsEx#6AFr^pKvVsU2HT%V!29>hGd$wi_NnQLi7uk&%w~-@5p@4s8x_=A*`+poXZYnY z?1r8fh_chUM4K6>ng5w0Cmvj7s88FPYSnG$c`<*r0i=0e{HI-RRPJ~2TyHpTbgd5r zcZ4IZ{|oNm6Y!jVJSM%x4cKOTKBzfZw=fNGeNwj6u8<}x7ieIBQnG*d`m(Hg zsih0feW_PCn62G|do5KsSV)$6xvLC___)h!1QR?jI$3rZGlH>BMI!SPFyMahKH|%L z)d&FcjGN`lGlEx_N*+j+{%8(h?bZc^igfgni?99~ebDK~_RJ$9t!dRYPlJjOIz5@O z?8H}t1#>_n19SX^rdE$;;g$PHk<(y_)Hy4GSe$Opnu-fB)G5+AXYV*XZKk~8$yeA+ zSkVX6tIKQOs-AwP0Q54uN%T6eM?`2Rvj>0$`izu!>S=P(>}e%=Nd>3i_+dauKKnR$ zqK@$fQjj%k+M(y+SU^VtVtY>lBafIkHVSJ1g0jJMd~v6m9sD6}^ZAi5-L9`2`H3RwQ4~p`zD_0G)}j zVYeLx`}w&yV8FmH9@+!RwVkctS8LVb8zt&1jVQ;`E0+8txo2>WuWYAXH^>+r(b{n? zsv3Xe;TZdYb->9=xys;(`4;U{b1273e0|-%Y=ExCgZ>Ao`NSbZbf;QoKU+;xpPJB) zA+qwWrl^z&jt#FdKMzs??x3s=fE;sSgGYN?Mjz#sD?`XH7?ulmcdX_a5e4>%f+5{p zh*%0iKMb|JckZ9)O+`mXO&cN+rc!ax?1%{A-V;FJ^G_`!AOo!gD;fc}#XIu)synLA zUGbyX^bV`yLSRCHcVPh~&8|z~cblMa&Du}6vxCJ4ShV~oB0NEv+0?<;0C}j$+N^d%2haQHMuICn?(6$F__U?q8F+iLL(9n=du%3;3Mk z2*8ms@^dKQFaz5eMQob8*P)Sy*C#_5LDdANLrx)_6=e;>74{;ub|~thlcd^OXAKN3 z@Cg|o3(&%Iz_vW%bF}Hkz%xwCzcf)({bX@p*}rP084{aDDhnSAuncvJSV}Lh*yA?rTa^%Y&b3fFR0?U+jWx{cBB~?6Od@=Y;#%|&A#I@Bjkx*#D=z8pE zGL*9!8so08kt)^yxdK9T#lwjSn1L13|6DT=nq6fE;u6lGE^}BdACQSsnoaz9(j99t zxm!eMqg#St@)0yPEt<;h8e2OnEa8^i<~PZil%dh_aY$ZQrP8b1?|8p#OR(NDzB9x4%m0i;ki-mgD`p&qT1jP%HMxCZXZF!(YHm>YNWf>Ap6gl+pHVOMT{($8lpW%kr%}Mk$`~c=ny2Tk6ab)V5TBn zl7ept|Izwlqs^>bMx|A1=oA!5RHt`TYDj17k=29A zeB$a-4pnM!XNcdxD(7X0T)7_2TV5yzOQ*p;4A}rQzS@U zCyVoUG#mar5{aq8Iuk<=ggHHxU)LDqMQGi`p)jma-itG=E;hW$%2ZQ}t4*s6%_P%Z zJ2Izd5bOKdnvbHadt_4Y8{gBgzx_>M(q=U6pIku@uN zY6vw9i3&=7L1^KJ#Rd}761@*426RXwCZ2}LC1&D@446!Cn)6}Bwu(hA)OqYh%@hin z$Qqj}aIYoZ&eNvM)=hj0@@aGII}_}PKrJ!S^c033D*-z05N!7@bXe|T_Q4&i6bYMD z0jh(J^iWqAX6|{)qGO}LBRp>m==}JE0MtvMp>g5QjN7^vYrTRf55BoZ{bZ3K@qQWgBfmv!d#FNX>z6K8S72<9gGfH^oL{uj}1<0BUV6=n( zg{vM0y-yKzj(AAR5v-!mc!orc@s97BqkS)WOf{AHbi?++WE*ek*lCO)Q5NIQIts^V zVB<@mw(?@91qHqC1^WYT5qBu+J_;xMVo>Ez@JP{3C!iBKT=yGw^@35{ZqXB6k%=L= zw#-=)`rY~_QM1i^6YWV1OjMZ;&^56eu%o)Ps)Vl?shs#Z(RP6dFKhlX6mI47((nI! zhikI=S-2z6t8IcDYAx}~{vEtol#RG8yiX`x)cDNMXy{(pWWfJN*^S(nnHtn!!!GW( zwMD8{&ZpDmkm~o~G_Ea7P_n_T$kW=WnLSdu!9B`S?R1Q#y|b_REA87SKzG2>oLL3K zSf$IMx#)6BOU}|1zTr?U)I}NgJ5wO|b@k5~x=_YNbLiG5jFCVTEf z6qeVhwBV`TK$qX~#lP~-%Q`nq~Wfe4PUAC0#wpncI$t%c9#?bTjXa---`Ui3Una%$jdx0qa` z)cn0~$B(wZ>(PodY3zmO507g3f>91|xds?x^c{@s$3J*Sv}BL8lMj+CMMtzqg{+6j zolS<Vr#~<3P0E8Y@ggGz{@8IolOmbK2Z^)J;v>pazt)$oLr`r@KOd$}r+7j*81; z;#6IX9pWvzNvMQGo4VLg;>@<+*R8$!NiDmeZFSbJKCqRk>aM8gDfj!N*K|f5V}@b9 zSwpT-M!vi5DIrGltdqZP9Di-8d}+(4+f*tyYvrVwU|Z1+K{=A8NoqG;-8OEy(bIj) z#3!Tl{Jp#DYLxgec1!@1_Q*}nR$C|kG_WVjWs$VS8?jJTX1*2HnS2rkS87E9br9-f5kFC$ywomK-NPf>8Y;gesp#f_rT!4a`jCH>C{0_Tr46qMu{kuYPHuRdfvd#s@^t zmbcXX7;O91%nNLkXh&AyY2idBnYz{Vi#Dxr{S8h!+5%&}_Kj<*f|6`P__;>A;Jq28 z9~O!aL~eEEx}BNeBQaYwyRk!i5sk{!g+37>{m=Deq0Y-j35yA4-xe&(QL{Ov>18nF z48$frqwvKXzuRnRmPgkXtmCBD#-#ZHK5=oGp`slCko@@Et0O6aE9bWmxK#KFX}2-Q zd9kErukgeyigQL(fsQtQ~)=%O1*#I zr-Q!G5;_uLhFZ(jKbe8@R2@enC4*wIK2?X^DAyD4_e4UC(n{J#UAlfyC5Kcz_ssU; zkd)Ei2`BC$kmSFXsISnPA=xS?r32f0*^ZhJNh=f$?Zj<2{Q$nX@OHpz$P zWTU6abjGfg$4nF zskq()|8V!d22y!g3o>MVa%J#ml^csX6fbSAU5ee=3w6sWY7|M>*^|D5IgQ7pX_5%m zhyN*aBhV>uq!DlqIqV8l{3wy7v%QM>GAMy3h2vHFson>HjmoiO}1V_Y`yOX;)nK9&q~79vDQA6EP%m3iahb5 z2*KcD-^d_MzlU-RrOBIW{)zI7`}8MEU-F_{z99!d*GIfGnm9_5`Z&zCH(^wfynLjw z)k4uXa<+4t`pCfc%^gqdd}74MY~%!Etw3N?+k7NvRo~+y&X`Xi{wn6?3ek$9#&cpV zOM3y+H^z>^mUL7NgBd6m+!Ngj%x&712!6A7%y?xUDrn1iSDt)eHOFBM&zv-DY!&Id z>Lc=t_95T+*~)1%JV0pv&EqUi$xS4Ztwz8jtlkUw=|#-n(0qA$jbBp=E);Ss=I8N> z-oxuG<8NR{#|--Gw!?gw7SNIZ1yRJISOGNeae&d2yqM9Vxa@29b8#14!AHx{_25~! zp*Oid2VDA$)DZ#vS9VK1fxf=mnYZ?SW-@#30P-u$YyNO$piVFGGTzSIxg)J}5kqZP z$@A;)t7hU&G*p*{2luZd$}ZmS&jMdZ#+-L9tJl@d+#@Js+Fk=cw6GHwK&j8iJIq&P zr8P-hxF?L2TCU?$#V3iVtxZr-oiZJcUD6B@r3O(sCIM%oKtXFxhSGHjU1vr@((?Y^ zQP&Mi0#EI@oX;TntM<_Gk;@SRcYsBgoyp*Gc_9uE+?_l_K6bR=Trc{(iM6}ix9IEF z=7je@io^M8LK2bH^)1!i`F~2VH@9>0mCLrhN`JQqt)q2%@gb%c%63*NFB!4*cbzB~ z;61lzMOHss{|sq&S0=UkejSp{2GzCT1#@>-dg-NA@9drB|7c`FU%d=te{&1O5;(|O z{V-2$SR=5mP~a=_x}1NPEGQFM$FZ_$r4P`*x$%Rc#z5zkGMKIE%-5*SB06| zlao{MW7$6VpvM=IpwD% z{TjYFIhPYoCJm8VQ&AqDsrO{kzWDP}V?=S!dQuB{0dUmc!iXNr`Y5@aDMeNymbL~H zl1V^2kMA<7X8w(beFEVhYP!n}KZ>M&h>>V4hIT}OWY7--wf3u+0q%{l;}02S;b{+> zbGen*Wb)yQn_3e+g(_wcOAMb-G$e17@7|`y*AWb!E3fu`NJBT`-2Qp{k7&?Re1TIG zj3)zGIB$jj=k;`Hi$)ca!p(YXnwCvqWr_1Lo(>`hf;7?p3)8FTjV@sU2V}dpilz;n z+XI}vc^oWHDP!+D-|cv>i5Xdq%+9k+cQR`f0tXh2g&1T{^6%MBOM;5kEE6ZnTz~hy zPQbTZtA~jve)h|=INJmWccRO)CncIKdkWbAm9y{pM!+V`x1Z%Gw7Li0IxR-;o`kcRCg}yX*)L7urJ@Un-!=lNdX%MfW}yL~hhLK1!=mF+q%M&@^?{j0f;$!O z#IQmIg#wcEURpf z)jCk1AgIM|wZL!PKx~}{BM*pZw$X=rdMKLee@~re&fwBlcv-2P$aAE_QG8ixohW?N zhsd6Z!dG?nOE8CouGK5|M-d(_H0$SO#g~ee4MI&lxAP;Yw}&E_%R|qrnMCg;PQOA( zP(nKdlT8TTpo?GqeES3kO;sGq{r*Y+8|}Yohinh2=u(>&pzc-(!TYT!_k&esV5Ue3 z?UOL9kcS2Z-pjt4_ltkDbE8&DX^SSo!EDjzgZ@oDsq*Lhw1*}eQ`uz^ldb)|km`0< zQqD{8$L{Psvi~CN{-E?dl8g@M)oe>A~%I zc&m_b<7*3V7?F2FoxaS`A@l_)x(Fqd(cE*SRFS=OjYG?dW_bi#dF4p>ja6p6Hvg^Q$Q?~suoUkX3%gmy@pDcx z*zW#vgK$)pMTrtdarpp-;zeAuzSMvwgxskpnk_s31JQbzi(6*!w964~6-4ppbBtNb zbrQQ+D9cua7s?6GcfZ%!Kj>PfGHLexGK8*AKFcp(rC%}<)7`%&-J>wptb(?_w27!t zvun8q$*0!2Sd$w+U3`U%ICPwqw$-I{j@GFYt@NEnQeF}O`1=kuX1!y6YYwvbRsHd} zUu)`B=r_dC(x7{;1RhQ;wr8 z_(koxz4L-eLsx$Au${^K>>trh9|z#=drqtmy)4I&JZeMX#~2#MuD^;RWV_1O5p4^w43`@0t2q6mhNw&8AjQgS-vpDia& zt}Us`t(ZlZd>~G~T#WWZdZE>dJ<(-`#-<98cKO2_3P?K+%w?W-HHb*xXc#^=Sbp+m z0uMAWEH*VR&#w5$z&9{#I>-^ISm=+buaLoj7Ag9-df2p@Mlws8rGz2tzxo})-P0JV z;wE%HZ9WUDxYXrnaN;bPqFPJpN&+95Gw}T04%6tjx;uxA@2^kita$*<5IDS_s z^_da^k=T6qC{r+Tv0KGg%B=jE-MD9uJzzG~f#U!xgxRdNg!!7&8JCEZMk1T;X(aS; zOKwj0-TJ9e)Br=>(leUI9$WGXH&L@C0FUWk6;*fAR&#EBHsRrP&&H!=Mb|04g$Qix zq>Rbab$3_CBi-vn#mj}RDQF$vVZ#bccE zgIoKjLJSfi9Ii*?d6xcS!#pcJ812yFuD zD*yZoYQO0dgd##|CBOqZ_@l5HfR8KV;!u)(F5(YgYBrDOZd_{N0bhoKkFC(y6`+3NjrP>> z^=^V73#A|T)$huc%1>?*p(A zFZzi)6$IJWGJxK!>+=_r7%LceM|!#|N9|wN0`Z^UmEieWSn7(r<~zi)`yJK!2qFai-#%s}jcT(!2w*?Opanfy;5_hnW+K98K!DVC16- zXt7?m(jlNjNu{MPxK@)(u{`LUN3^^zxZ?N3Ecs{|!u`71-bGfV+K80p^=X0^@XHY_ z7Zu%+H*#j|Yx|V;IEd~xI*}{##75G`!gyfcG{B3Psl^kujH2wX@kAMIhZ*>FH3 zkwp-yZT@eO;^F^l>n(ud_=0y)U~v|A55XORJ1i0iF2NmwI|K+2W*1G+APE5iyNg3` z3$DQfEP>z&3q%O+7Ub^lf8Trc>b_UCH8peU%-J*D{e3-irn@I*UWF4}cd8-?uDdp> zA+R4_#qsUg{oQ=^b+vANJi-${zV7DZ)fMRLwSX8m<OtMyV6ts9akmcZ=LwhukmENHHIAVQldS)UySTxEQ2qBhuL?^0vC4S|e-tTg0 zEbHb4Fh;u?pUB~dTc1q44Jx38Gebp2=_U%Oq4T{mw_R8v#((|DRCmr2AcWRh5w+Y{ zH94F~*d9ugo}{WduI$rq!5-jMfNzm1x&M*%sek1i+py7xIPzw)9Nk;)%UZdpoIBDO z0kV8GJsMl7>@U}u<@z5=3-~5QmWY)UFgMIa)OpW)s-)`<0)BXZ8it}!TZsh(ekjj^7`?E;pBbfIe-(zP=b<} z{`O|v&6s@^C6EO_zRePo-yrLe;7S$QV&A<9PI(`B`}kedK?-GX-QB3knS=A^U2m0c z?b`(g@r&{F8;_ec46X0OXUw)GnU^WPv)>^tbLSHB9pl zj@UN!vko2cAE+q~Ql4JRdo+Xir?5BdbSl`d0^^PL4`&|KD~#~I2}trplk^v>>T&yS+~4XL=-ED-s>a&7%&5Uh7qQ16g^ zdT0Ue0ZA;V`)7d9Lc;wsXoSf{FZOEF{^w?O>~W%-e4{|e`uMz>?X``5|Be3M$yk5@ z9Xc{$pTHmU?y27pA*+1Et|cS>GE+=9VVju0NKe#c6$y~AlOqbRk^iFJ|DJcS5)(pW zFRzFRaNwq&RI6Mkd6z0;(;Yt9SUM=EC2C{ZPN%jgc#IxGkkuRfG?5ISRkhG@76F0TcFwAnm{gVUjeeOpSYQ|0@SUGGKYva~ARoExi_i7e zflSgAOKg!Ky#&9hnzU*lIo8MmYy4?uOBasf+zUoc*SWiyEUu$x)d%`Fyif!PRT7?BJQW_U&&lnf+oMO8L8iY$A z4URs=<|?LF20zfnHh7eKt!;-bm`H^^7-OPO9xr9Z)(ArCdPRH-*zcbNC_LkXt zvF{3Gd&@T&w&%4-S%8-K^Q!AsSIEeHpR0@1{N4GYoH!TPZh_4y5_d*U6JgD<^TxEn zjsi%IeD&q0bV3jXX&rvrmX3IZu0>^8QzxoW-p67Q5^fLTWvpo-Ld(u>?f5b7*8M#Y z0OMH&lA`)-`NO?#<$3PT^lsdE?nw1+m~$^>#E)#SrgxgTxYiU4UHusi8$YG-E}1{b zPq8C7U?6+zb*=kr3POLR?n589c@_8JB{T5hv(Unlgpt4nO@~*&)Z&t|rO4uMHQJQP zyyLw9Dt1-TzOLCd$;|B^*czLrW4=OJA>V=ySY)z(Ncrgj{ao1L`+#<(jN?e^PoPs%R9e3YqQhjf*lN9&OJ9m=(y8~cD z?lQ2#n}z`)EKHz8IzgY#i5vsDs>j=Bb1(|kYx%_VS0YN^QHYH-F5&|UYs&3b+l z)Z^t%KSqy)9}?BiDefj+>m_J^#|4+(G^LNLe zu$k_He2oY_eLfX!PhOt_E7&PDiQz)mdQo*TvA8G&h}^3r-_5=w1GK7wyIUPz1KMuW zvA+{GC_|IiLb{Md9L@!N1s|;Q19gmr&(RU=ee0s(?U4%9_tAZu2h3-r-xj|8_Kuj4 zD&6nsh`1UP-*auSphRhGfcoMMoxY{f2Jy6d7hgVrI}^Y+)T4kT@)LgLf%3(%@=HGW zhKnjpu=ldrOyAobXb7t?GkE#vGaVZ{0S`-U%)p5}*(Ej0Aul!<=r4$(r@r^(xs%Yl zanHTn$-SJ$R`zJ-d9ibXnR|R7dXaT}rdses6Me|)KlbX18|or@#^B$wznTNere5(U zgi`N0toL8w0)4Ol^bjASZ(8X4w$AHGcI!abp3qv`Es@hX@cHS*+oS^Dg3|fCyQ$E& zKy6#Pm738hjM!4Kr)IWRWi)OF2DUrhep&r-T9X)j{UYPw$cw~b3*WkDI(7{w;3izt zom^S(krDOp7zquzr0G#xRigi$i(>%zSIH!rT7T{^SRU`i=(ewtMJ~ynN_| z^>p7`wEOlc#|P-8$c2lr$yh#8e$smuHj>8ifi5bWtxm(d&br0z^6SvYg=)(t=Wl#Z z6u~KgX8gJPuTmXL3F}5B33$TrpWo~xAv2~wFp1kRr*K37gP+Pu;b~e1W#pXtsnVQk z2fKPE^|spe>91AxcZi^ocZ@FWdg&QDo9!AgaOdnJA&k57+c z36Eh*yX0F76nnJ{X!CEIU9f1?mI|DiVJ?=siu4ty;oTaNDCjnYAS zz+M?AayW*DD(zo$7a5WGG#>mJE0yxPAZWGqHXy0k@7*O};a#D|{1Xq=R@(BmD(bAJke=H4zna@a+>J#U5RfK~mx^1D>2a^1bfo02!z=8yq61 zX@qAv<695G^KMf1Jkq4evwn0OU-V!^n1;c**-`T=pV-V#cgcCM4wjapu(+rzko<}* zDe+|q-=LtClrC*1`-3DawJl+qu|!)OzA5g!0DAsVrf7o+XT16RcUXeg{A}kSKKx6X z*eGIJmly%W!;>rX4hy3Qp{308swqMHI;ta*d z%PpTF#BP5lb2p1f8TrJzurc0f;uB9}IZT$fr5H9O7zWD0orU2WLs3bBmoyf~{Br{^ z#K32n@BZ7@RI#)K>+c^!9iAZ$!G}3ad}UWpUAtievq?Ee{Pt7j;dpSs83f;W8Zy?J@tW9idvB!L3a!!o6Srg?A zS5KW=5RiMGB2bvib^e)7@ll($ZgS3VZ$7H?ZpqDM5n*vmUrA>FiLV=Y! z=5QFhT1Nc=-moYy0>Ct3>m<`(8q*N{vqxviI^IABGrY>cRQ=~B+Yx~mUJFVrO%RQDu1zAVi zP6RjI%H%b8UXD9U$x2rUfthDVIbL+)2J3mCsLQhwP5 zbCzN`Av_di;8Wbh$szP4Vp!_cKB{L|J>pg#$^ouuWDfazFb-(2{89k!EDGQ7#!qV2 zgl1o9rote2;jK#t_e*@EauaiBI~w3H5YCMjDE?2#9y31iFOr}slMH_W%#iR{Q{Kf{$4D8K66Af*W_TO9Qe? zQI-D`}O6*n4N^}?TAqUx|2-&3{+2sMtp%d99J4Wke*X7tB+AVIA zLCOQz_xYeZa)KKNvdaogV`W-kpD{ZZZmURcP`5I+cnPkN-cM6S4ANg49yuxx5k(~> z9`dt|mxnXJH#C%o2Crz+(WZ)-hT^$~M|{ddI#EfFPx#9)T?WemSxlRy@(_Pi(#R3N z!7o$ALPPPlhDW%{L)2F^h8w0IhReer!HW_Mk7z*gc`Q;puM?k<-tb!sEURltT92B*i_I(6IzBbn`7NnC42y~&o9RAjvHDc7PZoA3 z|Fc}lB`pck#cE&4WLUvu-;|Rhe6mkD5%y996iEj9rwD4r3###Kkz!xRO*-@xpg`rP*Z3I2BhA(WsQgba)N%SZDwP;h@v2x3i@^B*f zhJf;r?iJ0>6^-boY1aqCBVzI-An7@pl;u!R4*gLMZ4ubVjM)bF)vZGvCb0bnoxtnZK1f^ns0OA;)rFbh1_KB?eBjVl`$1W*&v^Cz zcMR_H%8hI%FqItO8{L?rU-8*VUDK-Tf0Os3k}fcO(pUc9jcX#zS@#Lu6VD8C3@6$A z9K?{*A%EyGzcL2N7>nPGO4_-kfr}Y^Ins@SOBz*>TzwW2;+BV({qdS%dsMZh_hrb0 zPx)Cr#F!!in9w{EQ64J4qJgiQM!ho(RDy2=Mu^;mLi5m&UefS$# z`A;1b@9uFss=A&V4fr4qo1NL=8@w3eI_8faE?@LV9CnS~w8I9PG@~(G%njf8f72Bi zrjzgg^hm`pUvu!uVy^OG7=u6& zA7S@U0r1oG2E%sl%0mTFNxg^scNpC>!#6148yIbV$26Vrlb!J2VT6KlUk*`8oKZ;t z<{0B-Fdhc;pu+%vDA%H{H4T83Im8vfi1(xA;S_LZD)>f!R1)pn(Lb_+!wXXQ%d;uE?_A;!Fi#N{K9q(ZbJfHA!2mQcH|EX z;w|jHe@M8NOFfg4`W_$DP+ZpfH&^OvIqKNN?8s#i`8wmp=i#>j;2xlZb75s3|2~x) zpPP!lzXxP(oaL6YH~$P8!Ab zqMs)1=u%F8s_;B|fMTTv!RZdi<2|D0y*-itmoQO! zZemzztJ>-mzP2R2I)Y$S zGBw=*oT$Q`zk53NzXhV0TJ0)YB+Z$cMOl&zA0vl+W9?)U7&ic^+8t_b zQX8*(L@^M%EN}{Db+ka<8earQn5T+xdM3QW)UfQ1#M*ncE9oJsy60BT@eem>xw$Rl z+K%bi_EUSSkkN0T|KImR$w2}oSCTHAqY8~%yX1nJ$;HrI>9U&Bv`X`Tk=+v1iHiYM}z>l1cy6pZCCLZSO}ew&e246 z+Q>&bzMESCHPzMrIG=LXulzV?x6N4bmz8CUnMJ!GX^1I7Hne7Clp&kp(pjc?KnXV* zk35>2yoU@e+tTARxjm6n-5z!J$l1zA#ys+CM_tfPx*E~n;ef4=gUGr-b>GP|Yv^W& zwnMw5?d~4nxuYl2_mOzG>U%ZWAL(||S87s^!cv*7ofJGjE)a#QI~?=We^pzT?MD9k zb~r5Vi40gR|FsmL9{z}BYu{%?@WYS7^&f?`cxEyCy7U@aZ;tS1-wzThriw-F$%gM* zYN%CT@vy*g>h2(6m1QW0S*1lOl$EW~?vsK5&dt#*V3^?(h?65$qJ8xfI)3D9dFE)n zt(dL1V;ev9;FJFP$-cuqJ#cZ1(?{64LN0?jNrG@DlF_jU?eF%?<3me~b5qF!@rVb^ zL-J}3IwsoGj*;HdNoO(6#juT59~x%dA$*+~u&i-3-U_&9k&ka)mv|$2&8>Kmi*H_s z*b~PGxW^L>bM>PGdvmKdmC53CGHy3$8dbleDPf~VNVp>w1^BeMRsuD|)*?oc1d-R$CEQ7A>07@h%2;1+V`V42^^ipTB<_RjA3Y zmcSb0l;OJgk$07sI6?j+sRG_0WDIe+J~Ao+h}-fqB!I!`SoqBn4&GAEIS4*xJ~m!H zA#d7>^$4{Y7lf=7^N^pK_=2i9W`{JUXj1hk&W9!vPcs>|A&CeG`^tjqBhzjO5NnEvn7B^Cv;Y@FQA$Gu|x3SS(e-t{k zr@QtRI=yc|Ik+SJU6H;05Fji5E{nuL2$;WnVyl?BYEIJ5=$;YZ53>)FZB70gFyYzG zvXNCO4j2AZ-k&4!%>iwmKBabsE?@OhCl$dZu6}##9QM(XK}xJT;L(G7X-6@nMaM_S zSO?qypT6PcQyom=UV{+>ys&{-0I`2RN<~c2e0#j7C zo|oSY_B>RIX6}CB{}T;?1_s2EmNr0b*7NASb!CR~>W^8TCA%uG-0j^G?n=uEcNu9C4I@t%;f?4*ey-tSdL7{##vs zrj;*3do(e=+O4n$q3$ggV!4&0yFP(VJzCdqx+T%O@q2gXfD@81Q7yUI`^6+0WO!w< z8c?0L$Mi2Tch|5}3%JWz!AcpIyqpd{bT~#6gdf_xI9$P94ZI(1?SaBMTEZe0N`Khx z%Rj(N^AB%n8x3d)|CEp8yGlgEBO7hm&`5SlmaUOLAAa{%Urv6eS@9J-Y8-VD_!5OX zVyM(k#r}0=o}OJmFCY$9L!zSh-pPhrL&66c;;cos($PPt2|N}0gk#r#`YOfEQPN3O zBpZ7P?62{nL~L+RQp-6ozCay??4zKN;Tb6s@ zK?AYUs|_cvcNGgPpQN8nd5+XcvKF_9XktvE|E0$ntC(!#+NKs>jDgA{VcfWaPz4lJAe0_Dn8Dgo{uJ#d6W@%*fB2S@_7aCB zfS^{Rh)cNBYD=^cg|SBbh4S3HXKXk4qAN3m)_ILJge6Nq@B+Gs6wCy|1`^K_)+>(? z2lRij*>0+tqHROk{LXhHyg0v)gc?fxF7N6<17XhMd6d0@gu*)lq;ET_FCKi|9XTAi zp45)Mtq6kFob&eUBwR_v2mOnam^`(D4)3n4nX{P9^Mv{gPyc3A86i(=L6nm1w-h~h zdOqERIui;pMx0c_^{=%O(SoP>3c4~46JZB=r5y($vYy6+HsPsUk zfaYmnx_`n0xWAAZxg6eBhlQ|RXyAR4?v#jamm8*vl9apCe5Cw0^@~#Btu($TLu1m8 z>dZ<$l-jG!O(<5O5MC0cocO{K2nPD!b5ST+>HuwF`}UvCmvC}`12o=QGczlH8=K!~-3-s@!;WK) z=QK!5X=%}N`n=9d8Qo!Ez9e*d)=HFfv5HOwVjVsAMd0ms?;-`Yuj&R2duzk zaQ3YCSpmf%&%{mzn(o^KP%DH|n+C*lf&HB#luH@&A%t(qSUCrZI8y z)Qm}8o+l2}HS>5~Hm~=USQij%UR59{!tErqWxrCB^se?5WTAN}GHHDqIdY06O#2-3 z&eZ+^c}WM0sPgj_;~D>Zf_$fY|FZ?!rd#b#bbrTr@jh*-}Qe4-@^`nA)tX)iR;esqtpdAdM17+yTdAcBGhb^|>05+MqT;I<{!^ zG3##eNfo;%lIr)epAMuVRzRpm?2BD{1$8agJFVG%V&N5^P>lsP8WZCjdZvP|+=`cZ z#xa@ZbMsMHd+Dcxtpn_ot{jylKNhCG>+Qap7x_)`nkl<}8OFOu<)+K;89%c6=3nk- z{EeTyOiBriYOS^vD(D7BV93~I?yLIpd_n9(UUrVM$2(+ML?d0=Bv)1ygpV?`H7J%B zX@xDsX*7(rIb2vYbZJ7&CjOw(NHsQG&D7-{wj`pH4CSv*2$%5E9Y#UD10dczb%1l- z;jprSI4$yLUwCtLyc2B+ErGy;k$zZGGi}RsE=u~?`c~#XAvBi|px^ZnekbBlL07Sg z-PX5CGChoL_(hnHPu``C^u1RK-DTkjDc1K$qv#mpnd6G{YbE*>j}kWXa2_aQgm|#i z<^`5Z->^D{?_0kgA5|SPDiksL$M1CoXQ`0D+s4xTPG*R!!>ieGs9RK7>xuLHO;H(d zRbU=P$9Epdr-a{~0BU(n!tb8cc@N{rmg0Cwkb`DhVv3}+A4Qa-3_nH|sj?nH3LY3e z?lz*v^LnVbTv|kDM>0|zV;qUgbH}jEYl19`yC)|>j+yZ-X(z^^X!217kS)xX72;8) zzZJ?6lBd6gpvZHhmVXd8)l9TU51I9a{EI_@1wwv`uu=nCM>cUrg~Z$iFPbR48i~Gh z#r-xy7HWVFXd%L8e3kq79CL2e%ffNLm*Z;bAxhFnJ4l5Ob%y~VDR;cbwDx=Sm)9dN&8YlK2{pZ&6G-$AX}u7`VbFR+Hv0Z(!|I!%xwFZ z<52kd04jo`d4{LifY7&*sD>7j?+f`DkD|**RZuKHiLccSseB9ZV5WW2;n+^mG0!9U zFs^kaj*bY)DvgYXc$DjVXcOAGQwxwF?WB=x93kEM9<>z9zSLgS5E&yR<7#?I@;2i;j1f~ukUiQ8x}*WD%)*hYFMb@7GW=YusgMN{BwId8 zgu|?r$c{HI!3UxckAmc*$T)!JQJ!Wy!c-$2kG>IC_%O&@=>-N%_}@}JaK*qNMu$w6?nEW1H`TQL{>^8SK?8g(#Tkd2L^0V3i(-{W(Pw3Pegmv5D6d1 zCqiVG{+1iZoJM>my@m`CQqGL;?-6r6N=_Qt4L~a5i6`}KrM^FiTdts}D!$#1;F!yz zDXMhOp%7S!tEGmhOCt*)9!PzUL<(zH>Sd8QEgwiY9IJCKC?6%w(fpOC`6*%Qa$GAR z@|)RK^G^zK#{H(**JwAGwXX44{UTS~{8HS1nA71{-q?vpX$fS1Y2JxL@#LedIhui7 z;`Q<>&)sS|h)so2V`wqNbov; zaD=d8Fo_U~3tftfh(n!8BikV!pY$s*uyLgJ!eGu9^8brCBxFoaL7i}~7=tN8ddNRt z0OC%J1W6-3AzKLjElG}$PJNFmijF~^5R9f~c_cLnSsRJ;=^%-|5ME*==YNu;jzbv> zWS?GYa7Z3Gw0cswrh72CQp-P#GiWAarGsSoLjL1Hfsj)b`PXNC3z|QF5A2fR7ROvH z98gqL(!8zS--B#P=A+a&Bqw=*5LLngPwHjSxU!YFb0Q?8G{;T1o==P-Z3q9~tc*Cr z!yIEusYHy$^}|y&k(k`h%lr<$IcMsDgZJu4>3oW4Ja*#A79u;*xCkFeXPi+k@!peb zSGxgT1w1bfP41VC>V(3LM85P8PHCifJnH|{8G!tz!y&)6hzn`kXfPmriNDbQc#Oq^ z{=BNhP?~ryLUaz_36)Ty7N95)UfFI>=-W(GLk-FIfndgR%SU-}G;{N76f~Om$p6fPm2llCgM+ z*>3fccqCs>BKI%4Zm$%M{)Ovx$t>hcbX~lJ|GNT|{=A6(BR74Oui^0EoboNoxRqB4 zftRokrDN>Cvv#f^Y5syDMG>pBBDmBSRQVHXp7T1&hR3^AuU6dmjU(P!< zQUZ;OhY&aWW%!v=^VMa%G>OJBLyeNXbwTJSFsW)LY^&OUS+UL;aF8aK^kfet^pN1$_B~1@R5W}p_q(pI2E?cVIq=^V_&fB9%bWq zIP>9!Od3&D45Elpr0Nr@cB#7v8{CfBQGl!i4^gNkZAgSrRzFd_08e5HT;$^I{!h?`*>TUacFpm`J}jG|Jv zLp;L_y@SOq)fl_a#8;XznRW9U>hn%gJx7%>K?G{f=mn|~4F(XjLs1d72GLjQPrJks|!Ze1Mbx)K+G-^rX5vVFK(e6HW zu8n0>caSurd^%$s^pj}eVBedq(zMWFjG)U$Dzd`O&|=f>N~GxAMe`}e(^T4)*a{Hq zvtUd7Dyx#|dR^7Wbn*OIoxo!o)#nLGs-y|hurx*itOBuY0@DMB1u2QKXc>?s^=c4sm%`Tu)a*{ZVu--Xd**>9 zIf7C`DXPCj;Hv*$CMebTNBn;z+S-Y2?NkBEZq_~svrzR+-BrNC$TQU^|Nkq||1Hpj zJk=Ko22f(Av&pmoaC2pbzT5vHQSdkDIMWiaZi83lVRrrJ-q2>1^=qiu$DOQ#ml}K9 zeEC^Q2&IHj*g0br)>Fo1YYB0)2vR}`zjvLyY} z3j~ikv#M~yJj_7q$%>P>*xKn?Gp3}Y*05wCag*E5OT?BBX&MyMt9sEwy*~m$Ew^3WUy8#`LmbZ+N8$MSf8VlZDwicL#nJdJ|SN#mSVmBrRQdo8evcWIe>v}`X{OCd_( zeRB9JYK?| z1bvr~B#^AGQmB#D*hvc=|6 zh*UO;L9(H6)WcMh>HfNg!rlpg9qanvChWS!#5aVZHOfQkBEaeZz3NAB3v8Z2o?zU% zBsYY3YA2FRw=uqgGU9eQ%BP zIPVEbY)?*Qp99oCUOnV~i;|BP*-5Q7r@yhpt=SB&t9UV#X>ob7-t(ofbPpM$_kzr(StQeci^^S|CVvI1J3@6CiJBC7_^Jo6gTo8}^yTW5Q~xmRmZ z)e}UwGA?A-znf2$Qh)wUQMl`V6n;P7G~HcZeZR7@!u|q?zrT3K`wV{KFL$r_`@VG6 z&VQJPn|(Gk1-N_vJ0#DPMa`8hAh}0VjDK@bh2G$aZu#E(FG}M;HOt7m;7t7JeV+x=@) zr(#4rkRD{1w>7RBp!Ot>^XF##<$Sj3r)BT_y{oD1Z3X1Srh_-dNDIb*ssI*;DO!VB%TgRI7Ry z01yRN>>MVKS|`w>TH4-FY997%&5BERv33Lx#`m(;RjUuUUe#SJ9=himCAM-_Z<@Yv zlIw*T>O@`Rgm+a_Zd1UnrNaub+K7e`>rScGbHt+7GC_ zZKx%&EzO9bv4(vKodVMoSkC z)nxW-R2uS~_Mb_7d3D9`IMX5Ay4r@RJ>oepux8!m+<6JtOyB&e@U>oh)=t60!Cv;) zC2uJa#n%~n+n$-IOVMuI`P=Sq-bcJISU#RD93|mu{vI&w(jnW|QYkRByLhe~{ikLS z{?lQ(<>m{WMkx8h%kOEg4(TAnu!}jcWBVz*y9!aC~ad1gBmE;|1dUUYwK^z`9z+5LV&cJ1g0V@wf-iBo|WBfgk~Oqv6d z$;=!&zwvx1+ypM7LtZkaTz(1D);DQq1i8v9fa(mQqk8?tQ(k?ECy39r)$)w5#w<#LBo`q*R(1JA`vQBBlF8m(Q2x9GPvJIDkn)caX7w!!>PMHO~d>#v=k~pT|qo1$74^WdVM!(lyp7yo01_#2*KjZ0hS65=Hqc*Cb zzn?b*KN|>qSfH=uH_Fob)TIOe=fhU^pg%Rn_iObJ)Rklkd^J-w>1S(wEfOczLfT5j ziX!s-Dva35xIG^*SO{~R!$;w)zeo13D#Tz3()Flc1N}n zQB%&m+1uuUS0RjwG%>jI?s+&9e9>aMz^kcPUL~Q4v6U9~U8Te(BMLn;1mg^8@|XHp z7%fd#Ycc5Mt94F+r6-?du>`H~x^7Bc(2)!b&7=vQsZTiSlQhvQdttRI0LK72VO*>P zSNvBAk>e?E>(;*KMMP(0M`po4bLxPNmVSF=?4e1hkofb3dQnxQ`@_D6YIO+*KTE4e zIeiIE9gAX~KwA@mHXkL$l75)dn21B3$Tv^;t@jddA8Xgu9dz-@qR8XyMfptmWw}tI z2bluagsuh7+`AQ2VqBa~zzElnrD62`%a(1XB#8U#Dsa#jPla0kdHi{DYKLg)5aP{v zghwL(>$lktW?cRNQ2so6A}W(23L&;l>o?ww1Cyy>!Or__CDCm1D~sJfnAl;(Y-+|& z$P(MvZ^{MAEhJ&uA>n>?0kdm6Z@;}KW%M4JR8(@I&b_}W>u`CH1PD>Or?7ncMVIm+ zQ5Z!2;qrGd2`h|@lD0?pHU6_P!sV(G9Vb7<55;IQ#EIbh0^_XE7Ox<50sFmma{oXV zea}0+nYi6K{&Pa_*uQmQq<%556e}Xmee!NY>9!$>aQl&6P?7gruO=B;gs%RcTfzLaXyND>w=nrHu`@$ono9h9|`g> RqV+C0PTBD=-xI&T|6iQ=w8H=Z diff --git a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.cmp.rdb b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.cmp.rdb index 5ba6f130521a8cd6835d4c8db7c4730971fe2d1d..228af4ec716099c90a944be4e0922231e80a3c34 100644 GIT binary patch literal 37854 zcmeFYWmH^2*Di>=ySr=S?%KE~K(OFW(BK-}g1fsr3GN!)-GaMAL&K2w`|e#cYwrCw ze`c+_x_j4ip02LFyUwZFRr~CN0|5b1jSTrAAs}o&Ufdt0j=8gowW9+CCxDHEje|nc z&Dze4f{znG!NI})@#X}4KurY-C2Lo63K=tN*N?i;VQ~Lxf+*oX1q31Vf0h5_X5xS0 z?~rGmo-WitcltCEgF+JET(u3b+EjL?TC}40FC+#H*La&HK1>gFB0LgAda^!UA>}9q zwkC!6&d7-!apJk0-kK`F;w8}ieO;_+@x||AO2kl_;=7p_f1w_xj_#e4^pp3Sga5_O z+ly+B@G~~(G3*X~X?8YItW+%K`hLQAITv-PFb{h(n!a(Xbd_D-sQF6mMo$mKRRMwo zzfgi-+6TG=F1m-`{UrUb(I0bfyWhqA5n1Z^5o$sG+g8HFSz)g2S9*FC?_?Mq&j7+N zotdz?e14c(OBJ>fbz&Jm`tvw)FuIWLlk-GL;7;nT0f?nLe|^8#-`q`n7ezdn0}vRM zY&}17|3WAYu2csQ$A!&V_B#3S@T0RG7P_dJ5(%Hju?1b98So>P?2WIqf`J5ik>qmq zVjzt5JNiaUkwPPXn=StmvLWof=Q9gYWH$JIC!#MZLrW(jeG#i@Y{Q9HHo^o3u&QF@ z(UW1f$Vu#dkJ9Pe7MxufceSl$1Sw}fH$a!QZPbQ@lNCRTJIU@OioT4nblW_$~TrLlpMKe{y7ahff7t*9i%24N>jKrS^FRBFtz0Dj#IA=+OW@J4A9`}hifXI09a?+--Ozkv zcWNF^;1o6jPVF#B_N>V~({}K)HotZ^%hT5E?7K>H_m?Zj-hT6iO9t4_>2FDGrOAw0 z67CJfaA^0YI1E5BI1+*$xxE=@u8y;*utsAKem_ODW^a5pg~s;)JwOKQJ6p1tymQ`J zFbxcyJ4bG}YO+F)UjN%fQiohzhvk{Xx5m3+*FESJxgV5*Rgp^N?@D};8p9hx25bJW zqy?IA0@E@wvBB5cH<&KnO?P69EpPawY1sykjzRnTz~yfK%m!EiO~`{)MZ<{URwIodcCFapFP`rX7K6%j9EnWh7f^d`bl9jyoW!3&>9k2dV4gWDUUdZm9pO0jiL#w*QQ@CJ(Ief6Cu_e-0NKd_W| z><@=S*3t=nzR095e7w1w#TtSm7@=nRp7KP03J9TQX1KqgTqtH6qn(CzDTVoIhrZH8Z$=(sL{S>iV>olb zxBjK|({R+N?Kc-Q{9gh^ih2`XPB@dH-0{eU{VXaNT)v+3C#3Y5{oq+F04EKeZ_~^k zWlaA|Ym9(SIi&f-L?Wc@RpB*D9;P5FtQ-y@imZg#(@kA_r85e%c*Hk2t9y43zrvx{ zyC&;!KfUj)zA*9tNETWmD&{76oJ-dUf^c7@tka3vDC4l3TCQZLl0Oe-;<`RXDZwkP zd&b<|e#9yzNv1g(CkB?C%A~)|BNLBtkp_>lA!+BQ7$s^s_dtY7N@{e5qYpTIBOykp zn_=9H*E@6r>pv723cb9f)Sqc5)YO7Qtf$Fi<#rUN3v*G#V_*)5OM;PkPJbf$^X8~` z9Tl3GUIbfwK`<@)WsPT|YXUo~RvUQHF2R4SI=SWh`RBU&bvzPUOz-bYBY$?FkGe$! z2o@J#VV*bk&Zo%9=D6>kA8W###`Yl~gKJF%%dhoi*rV;3HEOto<0cwhRr zITT!;x+|tE^><=PO}hFZ-U*NO4OAJ@*Qs#%KgKlelTEMeTHW!AbSKF~Id-Oq0(@L4 zpYy9m#=5_#)?%obE=$D{24<6i2?|wkk!!bR1d_fRjq~%V#NAxv;24{0N9ZRj)p6k~ zh%`1J5zlwrPz+IRJN{uR@|R&C@b0K$IL3^SW&~ieCh=~RlL2up4c;IZ5>>n3r?E$e ze_dFBKqTbjM-!G>-TpiU%>ww4`&8D+7Vv zs8vW2vLyFv5VGhBec=BhDb52}QcYY4c~eaqo6-Nf+Q(N>Ed2+Zb}jCC|K0F{#44mN z+dq!2-@=ysL)Cxl#Mcd-FkiMi7ylnhf}5ZlzWn1p#G>eb)c)rywg!~U@w%1O!2cNf z@oRW%c(dRCxDQe7`#;)qV=yrLFKeLxr!})^BhLT04>R>&{-^0`Z6p6>4g7ywv*qSS zK^SM?GmngR{8w}OLGBP+kTMI6iVQBMUc@me)4sT|@;2CmEh#}ZA|LN;wIBhuOW$`SKewhk8`L#5__23TVvA~Hi0_%eWd{5d%q`?n+Tc!g@?4T6%0N?NO1supEmT&+9Ax5%f(vht;CEy!H0i|!YL+2Upp{)n^0OQkByfR^U>%zqT)mFZ}( zB;+KJ74svQ-n7%9%{MRKr@G4V5|+Zb_c4>eiXs*iW;!+x+-0C``c#Br8eX`)V{n_@Szej5ANg|{Hk zI(pJujC}IA=@}7O zR3+fk@RY|%Snt}-(<`yyiXRqtyM32FB-(>sP|t9|ubUE@a8A{FC+^!J1uXo}BABg> zA!~&PKa}xqvh9qIyVWN|f&>U0ew0S>FY{U;$1R+cQpAHD9@yg+rrLM(vh4HuFN*;6 z{Hu~DKec}N&f{ss39HCx(CU`%@IT1$B@q{q>{RPk`IZ4RbfYAL;>wwcz4=)cGm^Q- z)p|9!6=oP@3hz|V=YnQ!grf~@Ts34R&~+L$CKUvjJLiHI=xo1eS7?^26bmMw+yPh_ zs)zcIwBfwbOFsb{w|Fvs=0+G~3B0Ll0c{m769tDtZf4Ps{dISuD?wNz!SY`cP9^?E zT0?HsQN4=bX1eHAZ{(;t49%%kTib`?2j9N2bo_EL+^;>pr>*k*QUtS)&e)Jd^GzHJ zt95y>AR4y*Gky04xi5CvAah#PA3ZGa@ZH+(z8W&VFm5fUEL58b({_I#DE{uZ{~PM! zC5?sb*7TIzp6(N@9|9X3HrQl{`^hIDV%{?F4Ft*Tx`}plXaw`IJnuMH9VLi9h6Qi* zEZ6)fl|5*JWBo;D6!IC;ytY`3_JqB6ij5Oh*KHqJH|gg+Z88l{!x(LH0|WJ`A`{TY zELoAsTwQiCRV!hBgeg^PtRT9Iy_}}}r#4%8k_@4)kp@tMy7G$#V-#mYS5ZQdtj_Nu z4Lox*Umz_orpg&u%rZ6tN>E53(%nx`_&*B%PZ$0F>MByjTJq0(DK4h>m+=4RrY@?) z+KyD&N+kG%|83N_3d!9cydzrY&#^im|BiBjhGSlnB87riSh8TUodk1KC;PX}cnQ$_ z=HxVc*U%+wxrzUXhRbHo56yhX^TRxgrthtKcPD_`vEn5Rnfte|GyuG~lSCgZIrfio z6QGqeUhV1vyzy7tdKrEls~#nL>?CaxMzg^kf^`{k5QkEGL$1?z;cEY_8w-secIZ$J z=B}WA<$HNy=b*E}ANB-dpo03Z8NMKTLFLI(5lmUm^~!`laPCYEHNIW#nZ&UnykTI# zHCh9*yA5v6^cNr=zZvFq`~?=zr}>pA~{NFD~Dj7PRbiKc*;2CzSXC! zNRyGNxTQywzX{gE(=!OKeRH=9WJ-Q!(1%k4p7mvml{I502) ziWXJ@DG7&xQBC9j3xcABJIUbVUH_|akCacTGZ!7sabZ((o=WcL^5K#v4d$#4 zbatJbuKe1iD-#0IE``q9TWcpw75GngsEgl)vqX_YPuAkbpXGfy`A~Cqx#Br|^LYL% z+H>cd0pbiHAFc(@!29s=QfpkFs-C}0&G&x~>Z19bJ{6=m&G9QMx^}_)6>*K$*WQI^ zD3$Ji6X}s5UsR^1)Q$mD1zk6ZWyemzN1EX8-9Gd9nw1QuZxTl%j5T-3u=g2lVf-xV zM}HzY@1CO%>YZEp>>DX-1U68`-90n~!J#)bldoALwfw*0Jon#>!8TZ-0o7ucbG1GK z^jH(665gD@(9WY~3RSN$v;163JN3~Y){x00-+8z|e0)+ML5wYeStE+;Ci1{$Zl97a zVVc!B%_V4M6yt?lSn}vEDB7fO_s#Fixh?NRS;^ppUsE(+VfGe*=w|vXd2~89y4q*j$No@re zq1#NnW4lP^TcN?)Uu?^`Uv$3i1vh*if3Q$}yV>Z>HymK|5v~S}e1jQTpuIjrKUNgG zzrGu^??Y_00m9U*Wx~fZgkr1$&g*7bdKme;z`>L2*OGr=J2L7-{(c*MON{H#ZZXbf z@gx&x_F{Esyb)LPKIy+Wz48)%#^|8cuO@%V?Vk5H;kl>g3;y|b{<8MIZ=d5qB4`Rtu1{e7}S76P%tv5VK&EXmUGXTWDPuUi2BnQD-6 z6x1BM4YAQYFJ^wtDeqgt+kjNA=IUDj6%&|Cl%S@jT1$ti_rXUzupjS7OY#^KxU^vX zLNPMP3OOVNt^ZEZU)Em`hqxepyUc&0my0BfbGGw3#t)Cs8PZE4rrFW&*J0wE%FfXMNic7oYC6UVaB3IVUwlO-z4fUG7Gs}?`-Z*aR%U=9l z2w_U%K1&^36l-Og6MbK4g3c?cTi^1Adz;oU6XT2-WtMNRnSMH}DeuO^gN3*DE zziv~AWKk5_tW2H2N2IJfa~@6eDEB4U_#|VRUuq<2WZ&TLmi16_n-Pe8jLSHH9k7Fj zh5oK!dQfR@{a9X-^Qk~{o4T)rNR^$mvY!RHYC6Gw?(YTpYRym-Fx=4Tl%a5~uzsx; zVo=XB`O%^GYAO8@dB*BzRcK=!uf(T>q?^Gk7PAhFQr(^E(G{9f&gWu-F`k+W3>eFTYK`}K!Z@fcGe9$5#OUaKq zWOq8!=|5M+15{u71x$ayW$u{g+Idq{KnfRsqrkZw!a6gWMl~>2+k2|(^q)S-a(ncM zJLybRIkh8yL72v!?jDOeBu<`azOTk-Z{f8$Wlo-`K1^<21+5OPE?Oj;LtqZwaDwA% zs+`5Un=|ekHx|_^H7}bd-Bn_VI>CE|pk6{+0kns9k&d^&i0fz9`^8L_Ee}#4fsUix zPSQDEE=w;GU)eLNm?d_&yJ1p(pU6z8M|a_2Ha_ynl0&dMnVQL04K%AXdN)IL zo)EOT)aeGeKQUO)>~EEak58UIjFtHucmfxZ+X$!SoPUFgmjs9Zg z!$TbB8uo!fSBmzVP~Yy+lM}f@soGgi(;B?vq)HsR*S!HSF!{tf`q`SStnk%ycu|UM1Od$I{wrSD_nS@WLBAme9{}f**W)^cmiG?bQXa$Ee0SIe z2*uu99XTso&Ruv{08y+{3=5uI8mz**C4Ua+1JHIJyRRZuN6hDD-*RPoVOpdTJToO zK^1;E0s$wtgOd3ZwstgAIPY2+>2P+y!1Tu7X3v&gwDX%Rt<2TL7>G57dO!oK;+Xw! z=%CU`42ztq&PosaJQE5d`pe-Z9CytMv8pAtPsYB945o?tQRrHQeGlOYNc%+xXLSoG334G06wG*` zY4oj(9~h1sTzdh0%i&C{yS@yjx`@{(AqIPx`}kM4E+9GlJL&h=`eztOM7|BLg)OqGq5TPrula)|+@bj`g--A=DL+Cl>Co15!{c$iauSW)coudHvVM4QsaJ?4;veltgJL zY5w2c39C8)o% zkW9iPf;kC1KG&7qm%+T;0iqtFH`NBXZ;6`Dpr~;YzIC3nrx=rD-O29)CJO6gAimnc z5|-B6D2pZh*+F8aBs1*3HAzEucuwuEun_CYk!F-cE1dYeRdTe*v)`O}Dc(xBjDXA* zy36Pq5SS<7SEVZP-hPVFfWyN8A~L~D&g0DxTKRoIE<=M@JO=`aP5R#wBUZJr--Ia>X9rLM6xHI0#qvJjy5vlY@zA%HLoI06}V%`K*-O0PqYd!(+Jo z!$?m7G7SUv&?j%`3~8 z%&3Xni)$Y-3NAn$i@q(4cgtjudsA(NKuP;&E>y&sVV-r~S7+-Z#$F1*;!d()dKcIr zBn>?U3V+sJ`O2ze>V-rw@61Qpg>m8vg@ze~YdL~v*-OBrws(G8-^Ao{!7ozg^(G`* zCfT7kNqYOGB`xen?eFniIq1@nZpp6k-+RmjPzGx4u$uKAV0ePK}_-CD#P+7#D@6o$RM}gzz8zSmOIEt;{Urh7B;W zqsO|u#kh}s#4JcVt}KHL0h&1n36idU^OaImv1YY?%w%lwrc0Z> zMrsT|@$+dQnOe2pURKWbvyPbu=@VJZG4)<>7IbibD-1N+dNkX|taiz=Q5T#Q5rP+<^>0<5(+^RHx z@zUpz7^B9(A$baFHT1!@^s6EGQ4QVYEXE ztoFbC*7GB5Vk^H-|Ez}B>0oJe)z&Ey-rMPY)sDeh0z13kqyWU0@0Yd;`tdjd?Ihlw zheQao7ODr|s`NFM_O^j_6kRvey_wOT3-PP42~ywODi3__OkswAwR-zGpAw>LyBr6E zmdvMU)OzBPwDY91Vfz4w7t}8b++}n&`Z0TqYQIs_wL!_UsIP;vd6FIkA+b6{CAiJf zL#Q@nn=89w>QQ)^;5|5=!P7x%i2?40vuA@gNCEyHjgKw3X@;N40b#q0*c@)5^BJ5j zVzXB?-XyVkJZEoq5PiTyB?_u;DY})mP&n+u)Diu*#Eo`$K`nxn{qu_&>D!FIq9xhP zjzlhss&A-d81eNn%goD78CC>ir|hR_ zzj|U6+_e~RK`jtZYFZ+KBNA0F#jbdRZwz|PB_V>O! zSI<-JnXyd@w#p)r;&*S1c!+l>8QdF(Mvot}pV2=?^vXEOD&hz?2;A#4hlZt$2SC)fZl9}mmQndFK_+H2R+9_#k|&8Y7%!0L zy%cE=uBqA-`HSJ?vr7U_M^-mE(ob?TSu(X!h+@tB4}rhHU$}L0{RpzVGoz2K=s>gL ztP0dETOm@B(i2o21o>b2010*vu1eyMt|t7INwI#KxX3n8Ad|ozC#&m#&xnk;$~$ny zB=WC}b2a=eBu6&AGBHYDCiXl4yhv_}`|zC(_Cps=uXu$JFpfkOP1p^un$SOu>H|J* z;D8*IR*Sl!e|IL6&rX@CT@M!Mg>sQkaBxXE578kL84c@xQ?mp4*m){g!+J?(S3a_y z-JqV`Wc7pLMPru}nhb$hzJ}QIULk%)DAl zJDf)a-i&ev2@^YT-+xM zJ?1s7z--4f&4z&n9LqyvOC#Tv|J~oVsS%UW&Z2hMX`hRbKN-%5*)X61W=dgN zuA+yhABjvy5t7zU#Gq7E#xD%C)&fQQjae>Yvr_L6r~}H_xajh}VpLUYPzv{n2fCdr{72k|*59|)iJ9#%^;`22x^4$YYnoGRrNM28y4>srV%Sl^6%28&hOtgD9_mujC&K zP*g2_3s4{p8FKa2!_V`?j8;GjA30;!h7;gNt>8e(eX`L=ZJ$v^_-z(` z*+Qmxs4~St42z!LE*v6_pcl$Y;3w_h9yfFiniByNsIM@vdC&VMdI?!Df*cq?b+7X- ze4xkmSOjA9J=HO5Y*s)W(#$L8VC0fYAJ0rgt$mTHjQd)|R8%kEtOam#@nZ61Z(`Su zw^qv>x`QX%FH)`a-S~qjO-4j#LE$qkCYVax>CMO94-W*)1b)MZzmT9Y4Q}zyR|tiZ zQ+Z;o4p$eGDqWfsQdf>mjr~G=S6ex1NYVyxVi!(1pP%?7HDDZ=3z!_P7^u(hsyKe z2<*TFSA~-Y+e3_m3g45gCE$dpTLE^00&q~&O{KWVFqgknL!)|&KP-O!gii&h?CD6n9d;Mevwwx*zu+cd^`*-ax)t48e^YleQn~p83XpU)!Ev@Zf$T zwa+c*e*~6*ce!w8uc46he=6mMCWyrEJ0J+f%pvUCA#p|_ugeC@g`o=_MDd+zZ3AXz z3e1h|+5=7Q8X7*c6I%#%sh&p6jF0ImuxC0@5cp|L;vJdKogrLXcLGi{1jgqT8$Cm9 z#etnwpR%7)UE>nGerMz76&dobLqz^H4m5OVH&G>#m1IA4Fs7K;1fAHu^P9gI=`4QX zPe%~fXfbTNe!>hWQaVppjuur`q=EqIuDWbMbK!6dc?|PFaA9#k=+&fWk%V(+OEV$V zd!X$q;`ZRNXqR2;WTBMi*~7^S25vde#t}ppiOzeh_XC(rBD6jFuRhBq*r+=SMi7|- z5;D{se}(ka1NQ?y|uWM)Y+Z#={pWKuf>m@;6g+YNleP;Tl^6 z#{T+4BFQnkvtR1gI<0%KCkGnD(omx}=_*!EJIcS|XIuker=6%P;KbL8N>~F@gvicX zWc2!=mfaK0q`Z*=NKbVUQ2EN&5c;4B4{56LB;*N2B*%`QW_ zG+`*s@AS0kPyW^DB=xWsWUXc_Tym;LFPAUhCz51RS^d@z|Cv^q){qcwsEkCtzrLgqRl173R>17mu-EUQ!+F*-5OJ1NY&1XZ7t14)KM(f_*y2Lwwz1N zklu^1$bWHRRrnSTz`aBB)&DG3)d(|cyMAu*E$oN$m*aqKH3E(v=4Y0TwB#mD@u?hZ zJ_|DD)^4QpC*+PT0iS)&3Eqo*y4;y^lWP-iJa_2`8eWyh4kI+5%k`e6zvPG3$KrvV z;46%XA#owHo&gDYHVTqk{QFLBfgx>!VvPBYS$anwl+mYbrK8Vq^t5+w3qZKcHKSIn zNF@2Aa!uqW2iW;0|J`4{rV`aqcI|`{pP>k`Z6N1KAA97>V5ePeLKdsT^&o^)s*gZR zKtkU=I`AY*nJ!^7sZ$g88kD@g`ml&R@VG{kvO<^32H12+Ag-XG9}6`5(s|w+YYHK& z@Npf@_5P97Q_75mEE;Iq`KX{o>MPU}*-|gAl+Ee6cbb%TAqZC|u4Ewi7u8m&I_*Nh z{Ki7>qmss;(qbzsjoQWgwX~VR@+&>cD#{d zce(;yw&^-^f=s1*dIZMG#bnV?vfNdil)jj3yROqPYq)al$iNJbG6mw0V51Q8i|{$e zYvmUG;<=fbEou^I$Qa$E`7tpA6-AR)R3)Gnl>n27)BMRniyo1V(HcHh3Zf;?!a4-= zsNcuS6i=Q2knPixW{w4?#EuR)huxeQJvsc@bn-AXev-OGU>?X2WD^#rE{%0HBFW6Q>Zc z>7Nn8+5ZnC9702Y0PD*GGm-CDN~`VrP;}=T>p{JEvdBXYu^NnvtAMom_;U zg7!{VZ298tqRz}j^9V+MptnngrhfulX(G0N0^T7FJwGtfCF4W)gurP3LD8^7Yzf2e zX0^TLyfW4BJ@*ezd;4~U(SQ}V{wy=cgoX#EkA6@W`txDPtf9ap7Qk_Ka{{O!Hk#Sw zF%J9j*9AD{(k^2!VH{$MmfhF7HelA9E-ko(xBaK{_GHjw1e_mmrtjP|t^3 z{cQVAF=8|1x^tiI+H$cPkuLB-nZ&3kEGXgRd5$$pet?q!;`3KbC3ZK6j^hbgI?T=HNdmKdn#_PHN!!k zeG*zB+}O=+!e-y7R{ZotKWrYWb3wf*a&bP?+RG=A(r!@6ieMUz5+0f;j+23c5i?&u zet}je^MWjA$)1e@QLnTfRHxD#Z@meu!irzrZZ2>s+YJ6h<$GS=r~{8+^Gsg%skB<4pFZEz=3L8Vh%g*j zOUHW(cnjxiBO3S?oA@9R?Z8FV6(mPay{PYiW(qhb=S9RYXz`UBj*@&u^rwoO2-d}j zMZ?FXi1uiqa9>vg1^_l!f|lKHugf7!IvQbToS>n?yj{^xG3Y?iSnGJN4!?C%B6=Fu z%E^bqq%7q1Jh-%fOsP;)@XoiYxn~XRATr3duq2gWvu6Z+9T=BfasN7itri`k%isX_ z6On-qrb%s`zX%2g8&=PL#oa|u3Mx7RhBZx=%~*-s%DUy8W;Ed_8WDk-LFGZ{V?G@U z5uq2~#iOfER-wA%k5AHQafV1(93=@T(sEt{V&K!K&d4(xRFeb{_%?~RWDn?H=M*)~ zD36fACuqp>{WK+!SHRCkNJY}yKF22sYTlDx)BXd6fE?HByc@`&r85H)C_jwFN?$LH zB0FZ$oE2?m3YeuE7pd03tK6s2iMXdWuXA7jxAbz)?ujqR{Q4Y=tpUVfjBOwFQ@~&h zy%e~V$=Fiy2|JU?*qD?k%1X>E$~lu6<2mQAKf;n81!MSD7!sq&}#UJ-tc zjuB77iK-E=FX;9tLxl4Sfo}-F%YO7S^5hKYI5Ue|M5ksd&Ibu=AA-Q6~&bik)rJ3mT?q4!bEoP{4Etajbj5PB2VnCg}_Obfq;o6 zS;X+!aAEFcUyO8(L{ZUHygQ*c6jESBNa(I0|JV~N9-v?_p$ANO^|P6e64GQ?#%~@W zsl)!M75>P8a4cD5{;Tx)Pok{&c~-DdnJg<@v0d9-~MQw?w#H z{E)yQ9A^T_Zt=>+Ja&`Hq@D?P*RJj!v*jCi7Zo3HG{#Z(<6x6V6zW)ck2-#n`#%6z z-jS#_f4}-VP07~Rt;PS$c99;+s)*}wni0y%Y>rr*I(-wui-=B^=AK(V8p4Z&>VjmT zHFY#S%4##fBJl2=04q)VC== zio6rQkwgIcFPc|o9M{$A0k$?ec+LJrIgRmqR|1q8BIyRO9{S&=*Y6(4wl zu9N}2NRS>nG31?!##V~`hR2_li_r#?zeTT|^pSesa}aEHo=ZwgF_s^u5_9XWgkgK| za^%KB(#Ygjuk|)$tKTC1q(fG>`x&K8i-&G!Ce&G7^ z`tnzCjM;sy|GxqCz<)sHW>Lq9@>r$&+Iq*c);-<+Lai^*b0IV7)4A@k=PhH`{#UlG z1E+z83+1t;>Ll;9DfKm-j9-KJ!qnGY+vx;D_`;@p;GRld#Y^Ozhz;LfuKF1E?|%3B z79s()Z_f*18TW90pw=B-a^5P-s|B%cP@Rb>r4jh{l$_V@%il_WKX8AErSI}x6?$gQ zUa|5NsP1@0%+6~uOnK_fWV}(-&T}!0^@H8lC2tWv?N9lrdsB@5bl_X-z1#zb{~~88 z=OYU}8P3mdfAbsXg7>$4&>l3AaG>qWxq;B(rU^SeTU zoh6px=4?Vxs>^#_Z}hIwoM895h6_{*5a%ht98N~mI2}g9p{~lu)oLlLk$SwTBYOWa z+6N%qzPtKyr88|p5P4q1O(Vqc1y~TAqvnk=dqP{m&3ZyARhVo_W`v}+M$_%=vlalq zdn#IeXoAWJIn)=OaAq(98K+1+2U&iIz@>*X_qCa0NKZGw#UY~cCLSv+z%eleDy>yn z5%SMkSuw@af|Y{GpmQ7hIv{lAUS}|uevyVSJSUZCvsnNOO(Rnu@-qrj;=RhAViq4 zRzQzD`g!h^l8`tjFd^IA#z?t=7f;%d~rLM7esI+P&*xsdT+M;XIMh@=#V} zz+|}7;L=i8H*E;-fCNMG4zh9Pz6tXeW!2OUujqFPdR!$TlUy$IJ#mqGF0^@mfm#us zi%I5>B%cl_9yWkwo|R~Vp;)HUu(20b;m7=_f%7pxhJOW+ONA;4!%8~~3(+sCVuw6^ z^af@wK-qW~*`oBmSh?me%3KH%sh)*gg4Cx;h-(fCl-zF3&r0}49>Q*zkoN8RgP-Op ztS)F|;0ek6c`~@rmEnC=$n%3?rc~j7_MG!}a!dyZ*-7d-r{b4U+{cz3kB=dQ=X|w* zoY5?zrtpe%andq+tV81{W`CpP{~d)EO0}T^o<7AOdXbhE_eH(OQWF`qhpM1oQ5L_a z=|(Kep}L3BQmyxbswlM*fn3n+$O$-M{HiK`kJC7eT0l>Y34bywI}qr_iTN!rheevC zj2`+QCHjv-|DdWq9#o~@%afFpR@{u`)uE)M012%Vm@4CDW6B%V>wfr(LgL`#(Sr{^ z!uk8dm9sc}P{GXFgNX>h_#B!K_emp;RYd>%lY(5rN7&PLMNzd&FX--XIj#JbAINx3 zGjdrLZWB$c6+^Y-ac1rL5F`7)SD!fq5$i9&&N(65yH}QnW(aSi=TW?VzTAt&eYBI)od&lp*tH?z zi@0NXoQ;_tyP?2li6#pn(*6=#+fPtH=H>o4!|CrReE0W)!!L;JBj=JwSOzpSo8=c> z+&ezZ*Vy}A8nz9i#QgcAJBoyDSh$j8?&@f}mi5>4%+# zK766=+Hw-A21PmH&0gN=70ykI4Q0H;3zw^tZG{wW zf1M?dh5|q7R?Z1r!0|%w0Pzb|VD`U-^z~^oLU2Kiet!AAvZ)YlQzY<0tV=^QOnjW&~x4RdZf> zz?G`$=+dFR-U%(+Hk>(eZ1d0xNSR>WEkQ1|bVHzPZtl=Pl2_Z!ROP)xV*WY^X5~T7phi@tb4VZ8EjWYUW&ACFq6B zG)ku13cJ*`pPGZO7q&b2x_V7Nx`q~9n7L0$BV-k05xf$nZv^4y4q6J{f&Z5|XjXL{ zsIzHo3nMs z?0tR$S>!Pm`T0U&ED8)bgcP@P2x{i4^xHa%CFY5>A$UP299HJ3aDsREv^+R+w?$$1 z9wCBb%31o4>M@6e%!EhLH=+?I2z}NK5F{Cec3gSWbEVjWq;{vbEePHOv+7`^fKl^t;reAQXG8)4is+oEtVY+XMILSiY3cb%j9~BZPfBw%ZTiHr zw3im2d>9Z^WX}z6DK?=7j+1PrnAi>LQrOF&$c_1oNhY^YF?^6pPpU^q<$$!)jMR+(qGE(^ENJqdF>-ffDi__6%}M1L4i zC|Ag>1I?Z|icI<5Lu5z(+PI?wlPwgkw2;B-^VK`wefp~dor!I2ZSTTro;H{kSZo}* z$ym1*>EbIKoD%CZr;bxWgEG?>s-@$d9ynO>!RjT#X)(dz8FT)0wKL#2*98TytF%Z> z4k{b{-f7<4yH2Z2_YCd5WQthfR@Lx@AHh%hT(k>_Fyq3HB&9Vbyv+;rm%>}`sNI=w z?8`q`S`X(u#@E2Njsb?eK@f{%iL30n>o617M~97hGkhv~57H^`$er_uwZk}F?tRFS zO+AjvE3*6~&hKA$vDzin`Y-toxuN*s`?9YhNq#r`V&$+sN&kJSq^*+2N@O#q# z=}x$GBfhsd?Q-mc<`~!r-a7~N`Wk6D7v5L7yb69_9xup+k6G;P3=GPTwtxIyia)H4 zrIBJ1itu(DviU$pR>xf}MMC#}j3Xv1CDZ2b zd`oYWk46fna!Wtr^Wy3Dedfj6DPQ|vdU3Ak-I2KAWFflCdSc`*7a#e{4V0HdZZ?vM zGT$~DdEMB}3bAcghKv&%Gt~=J4#1`R7Al*ij>sItFxcc3jieGij2lP3$(cY!YhYF^ zH@bAr*ik&kOmdUY>|ii6VvF)>TKE%Qf>fQi2TDqwV!xqtr`gLKIN}?#JM{2q=QBW% zK8}-U>i=TtDx>0PnlA1dY;kvYch}(VZXvk4E{nUny9al-;1)DE1PJbgZ=d&k|9bn( zoIPEA@2%;Ysv3G3(gf?28@5xldb;Eu$>%^72Kqw1A(~-yx_;eExT2}`n3fYXuHxKim}GUmBw0cukjx= zQUG2~I_u(A;giz7CZC3Nkt6>JH@u)&n2F)2$T2E-3 z9o4=+*N2R;Jp3DAPb0sQVHNw5Ix6iSgn0^g^}P`jV9&cy%07`c<)Y_C?A3T9X#1^w zW3P#vNzXY&jDPHUefH_5nVm`ePx3x(y!*b`zE}T{L6#YO+3k9W5@#-LuSy10KGSDv zU`g)yt=VT}a;46QroC)VUuI>E%+;->9LYi=%X1cnu{LVfTVydYxIFcx&57IRGqyS> zjQ&ckx81uJnvEEduR%)fh%1-xI!F|9F~jLz|@%$JJ^W-pI=v6Kqo1WC@yK&D#FR9BbYkL;>QUCUGRJ z$u7dlT7P-3M5SvrFQ@eX-BU*LouPq-L@brmkC&W#!i>q5>;@aI%F^6MEg0&Lbp=#n zELASe^vT2$;T9YE>TQ9K&psmgKObWi&{3KMoAvAxPhT-E z&J17xzm(9~J#fM$)67lxgJu6TrlkF9`E|$LoZXMYU@4qMgW$fg2rhN_Za0OVo~!h) zJ#0geW0GsK=s*G$*}SPf24ebqwpg@v+H5NxiIl(m+SfA^9^gMHgm?3i;L7`0f+b1a zE1FqN%8Q84MwgR8`LA=&9H_->zcT%DUU2oo_C&hVH@LCn*IZ?!7}*3q4$z5Vx55_xF9-HB*a_XF#~g{Wc%X)Rigesq+Xo&;oWVpU zZ21p6wbSk|BFHKT&@?Oss_?IUg|{d5`tRaB$UzY_K@YWmcs zcZ8-ibdpz$GU|ZOjNVr#s6gs}*tqEP=EY)3myfcTD9hbZZ%;nBp*}*wq$u=^VpGlu zt7ei>-;WP^{GxopWl`Str2 z%@ZHIxh6ouA!-{jsM{2U1nMIsp>*|ij*jQ!Y@2aeX4$%gxqqs4E!}tN;Es#=J9>z6 zQzBItzwwPL<&g-Qj5fEmp3{a}e5cSrLR>rVrsEiFAj)DwP{J0C&cbd#HHlDueJ-?W zdfg`J2YQJJq+))PI((_rm4Tu5l4XOc_5OQhD%0~c&>_=9f3ew%NQ8M(4}iZNRJr^y zA+vWEOeWidV+O;$yqF7A9$6}WE+jWQOf|jV}@-vi8 zmKsXVr2YQ8gaKuuSEK~gg1croX~B|cmnrcqOcPcG+Ro5sd5^GAzO^rdC*}mm-13f1 zt715vP7D(rWG+R{XQ3coNsq2jxj<|r;qkP>v9pX-%&Zo^X@SFzBu5#~dlA)!nFK2}DiDDvQ%;Wp6TnrY{#DGl+TmWbEA_(hl!GQ?30t9;#g+l& za&H@=1Lq4I1psHMqphXXp4;B2f=6OZWrBUNXHS4lr&&5Y57cXH#T1a4k|jm}cl<6jHK1otX~Eeo1h_bU;6HeUq)C z`%O$=Hk5%$(1BleQK>*zpwuTITuh-(ry@&-pz1FP_ez*67n$w#!D&GB+6Q3=R>+df z!4gJC5OgvWO-1QlS-TlT$xo`-q`iO42ox+5W))wj`K7M&tsji&wzi>$?&$PDAqF|+ z!V4@$WBuQrWP9w}kAKLF}a`M>E)0L|j-|B+M^zCrF|^h%vbfEnww)RonHljtyCv<8T6 zI~g`}b}cE8WHv5`M5$xTy>4+9?R5@i#k_xF7Yf`O6VvNQSaW6*S?gcg|4Q&JCB<*L zx^hfv_}V~!$PNL?%}~^Ck);~7JL>kYnvsTX56H>jlq!Gy@KjQhm1Y0;f^K_~*1v$) zm#|6G>8R^4qr-S_vIj(-d}HJC&jncg;nMIfwZ2&hnKeTA@i?6o&K7fA^ffO=?hiI+ zlQrqqj-)H%>KZ$Jpo-#1%hW&LuKWx7ZXdFlyq~A^`Rm0&?$JBZ=GrHg0awHk9Q5hG z0-@ds$vcWCa8bY3w%Ew%xr%`xUwc9pIN^!rn}Vj#FF+T1+> zVQd5<=R{H#2@?M-K|W$8pSismdicVl`E+agd8*~OA}84_i{i)7S*3 zcl5@7hc$ySQ+IV?=JuKCbP6^9ZIWVAO^t`hXiHcvXGKsis6Rh2b$!U^OvJMf^!P{B z)c})5?tbR*B6!cMC1V^uT)^nmim@g`BGigC3nMh7n9e6%>v2rc4a5Y&LprZW#sb^p z%!5$E$k>bxzjBG!a%x{fG3F}a$cvXr?yjX@_g743b_^@K2K~>uS8UBOq1Colk#}g# z9RCP3Z9k)ZcDhN+T4eezN=x?u8IEyaNg=RD@h&w}D&y*C_&IBJWTUKzuLUlI0Z7AZlh(756KW+5!)b|T4Se;1Sjg+ zn0QVM(?-v3C@M z63y(+tGq625R;cBhyJgGr70cqiqh*Ai^6b3T@c;|Tqu{pk_qCy5GZt|;4tqFr9JEc zu`b;q28`7BZ4bk#FTcrdp>xxA&_WxsE9kM&(ix8L7Yj1JAsT75{K=#F=HTFY9<|k% zw2j>&O+(nG&UWimy?0r_L! zXy-YXoicS-p}F!Hi7&N!rUZ-C6xlXyQ9?u`_bFAL;YZguPg?DK3t3S9sX-j=F;;Kl z*NSdKU|==1WM5N+u)QFrl}h8JR$d68+$o^_WlhK<=zzjzHqpNA?S%e_I$BxXU} zZNKr{blCEan#t|R4)COjWk4?gL=yu_P+`nwXXdDb_4;~k5| zF+6lp^67*Z`g2>yZRpkt>Yk8OJF7u`h$63;n5)(WHCg3%xRG-S6lvKSbJOQ-1rCMy zY(6yNZ`RJ5vH41}Hyzjyt#!;qippZ}BQttJi86!gEo7t#6ZwJTt;8`3Qf}mS3C2!?Q_+Se83H!}^jMzuiWakxK}qL-=KYAM7bH2VrYT%BIU+?M477|hz>HUb9~8jz zp7*5mwq@Ol6^7tZb-lkZs@bb$py8c?Q~av*Hf*_}+a2W>>dIoo##@*+r@#?mq**(K z^_d=FAyp3YJK$W|(v_4D;t#oY<(3tPWs2DBRWM`?^CPC1=yR|l!@`5b>sr|*;u)RP zFUObX?Y3W?-m!Vrufu}|0hW2b>UC3Wei(Az%_wm(k4s{-=?~Mzwsv-r=3?HoVd<<= z`j#O{X^kyYpCod2aDHS~e?m&Jll?L>Km5qb@Rb>=QV%4{vCbJCLTzQ3$b-s2SeW6R zT+rHe`xG+R_kQ4(h0Hh~%Pazp{B@mN7Up#aPZiXu+KJD*P4Sdh+`mK0Iqe&3bg`)^ zB8%>zmh}$Z57Pos$kDe53V3Nrcu}R`T?SAt8rNRQ({kPf1>eSP;0a4?Al2*AYzBqV z6=kJv8y>=pYcxcM85uMTUUaI!fuzC3Ab;oi ziQBiV)0uO}CwM-3X*nzs3FYb%^WZcRmdO0UGLT~Y*5WMvEm_7ppF1JJkjvjvjx8ax!TV`V7k;zejEu6JC4PP`-I<|%;uyFzDr0x-A=dK@n->+ z@&>MZ^&iVPIe@opRey28L?7%2eLQ1}fR}|5Xqm9bqk=2!>M`tej$)uU&ZAl4(zj(Y z7gim`=Zo=dlzVx_#xcV`)aI9Efz2z(^6e`MIJY=6js&L=#6RDk9@uwxx6zu-((e=! z+mwr0yC#WxSmjzoCojoz;11_ttQ>N-rU_oMSi4tt><^|Nc3P}+E^-rtQOuzWFFUd^ zxgu>OF+lRkp;}d6^rJwI~k&7QWohd-`3@>a#Zq~^J zvOs=kyJXaoekTC-503QbAjmAP{XBe1Y(H3F+oc>uP_=5}q@RXY+llpef_Hqn0YUS? znBsXN?^U+1(+yoPC!%6Qre&?Bs6P(DXnJuP{EXSzR)pYcabOQ#mc<#=I)&_VIxB&( z9TVFSmcoeMHYk~O{9QeQuhrP!YIFV1Wq_Wos{%Py=(5#wzs(slmV5|<$gZVH{v@Xd z7(Xb!CFPfuyGYtKZ<4Y;IIX354k%Y({l33k@bEmHsy^kNg-SxU)>t5Hj0=Cgg_aco z&kD_vHPp6pN<{%YR89#!Qx5Iq$RFCu#+f8R6Ef#Xkewxa)u;#J#d)>w~ zuP?2^VX;jq64kT^uH6V8;cFiyaSkbTXQ0sySw?rRj=+tIm}aGDW=&>?@GjX+mw=k5UvB+4hnXqFkjE9tq>m(-62Ocb={Uj_ z3EN_u9eIIGVz3^5*6^(i5jJAHF@7xA6ZwlMjig32YX5!-zY9Y0SUQUcHlMe2|9qMl zM!Pu@XYup6K4%<(Usxr7NJblOEUoH9EHiFYs#-T&K21-$Ra|7_3wMiX;{a7*2I*|I zJxauOLzWR1D1w|YklM;xOQnd7%kNlPf_(mt*3p_r15+U|Wk3R8)j#qAY23O%CBkj( zXaF0BV(nWZLgM>6s3i5t-6;XGi)1Hca%_z#l+S$;Paqz!P)z5#w|-I|>>uZ~I3}c| zHsg4;5y6F!96Lpn3i-(KCUDdt`2#jYJeoVlCLcb(8?dT*hn*Tfl9Iy=%EY<0v{LSpw67dU!o76;co zlA9q)7Q*Qeg-eE2@%6>3Ks7_#gRHRCvAd5to)1AuY-9%4;H2KvHfA9KH2oilOmOJpzi2<}Q|--2_)TB4xe@;QeGO3YEhf8e7=5HA&r ztv4w_cjFCFVTXGTnQO(8-m3ISH<6{*^fA}!jQamOCl)>kFMU`%MLf`A_nCz%qAgwq z@%!MN;+XLjBhtA6+CotUxg#n3>y|SMrHhJOzihhZNsjurYq4Q(ganu3@FQF^N5?Rs zYN$zd;NYvg%D5!8nPKDONK3n|pINZI;xZ@%-G<7)0_b)mqcrNHg)OM^Bl92^YKu2o z#A17fX21@{&tk6|vac44jaY=*-G|FFBRs7Cm6Bhrgh{q4_fDN_tbKaB`i^>Cn2)EJCv>%$Li zuaXD`Q#`AdGCe4ydX;5983lx{!st!2ziB(sZ1E|794pKhw8#zSkFj(3oxBXl>3esY za>Xb0`=<++DlGvikGSG`N?X9zqF=%=e{BPV4bqep!MzT#;J^s-z#s`Gu`nZeUYHCV zPj%@MkL$u~es);sxz)E0sgmsK5TR&5GPtKLv?$uXkiap!J*&!%Xtz?E|)-%42ic=zVjeSdC6dI;z}mQy8^SNea)Q=Uu>G zNZ}NM(3uI$glUHM)5F2S zQlz=V-R5jV6#=H*om*iZVoz{pd|Y2_1TGdfKn=IhaeOlWLNhA2Q zJtI=;BoSue!b_SRFdPGR%okL{hj93t)QJc{avja|?@}&o*dfkVDGLlp87sgF9L3Cu zh!$xwiW0iKN$tVs8MAQoxKqGddJ90TUiN<2+pzPez!G@yc}Pjq(e+ zz!%OO03C~{P1j%>HmvBGj%DoK>2rwr1Mv?QwR~EJ-yw$m=<7*pts8*|J&ZCt7P9Ld zpnGg-U?KNYcy!GKu#gXcgsh{7C({8QK!XRr-CWpWt*nuvi`ZOoI@f|+Z0XETK)F@) zP?1w9mm{2mKS#>j%ssk%zBR;n}$sr zSCDxv^}#u*JpBj9Q(9KZGIuN;{ zR-ldvnM@43qSj9lVc%bp;~3V$%Bhz?g+2dzpM-7AMQJMGi(76UzV`aSk5a5(p7;{W zca^E4sNqf3a*6{FknDrv#y1C^agu-kbPs839p>MU4ko72|0gsBAwvjS_OXbE9ejtF zJeAGl+BRSuVeV=3NZD!=q44*`!7wr$(VI^x{LN0nBGWp|Se7nCF99e)tqOsQxni(18(#3QRFd6o=OF*Lxxi*wIsFZa7G3n3{Es~396;45gAl;M?V9tE|{?0AwV~^ zNoaKb$^=sh#H=Py_qnH+xT`1i62HPhB-@3(H-m-e(plWc@F|_clNv)(4D|%TpSu== z5tf>VKmUL&6hY0R7Mm}tjWpY^4C2$@#u_x0X31qjW3-?Q#H`1KA6^FTwr{JJtoA;y z7fjNq`e+Uh(v6M%gVjKgVdr_YQPg2$Xg(Fq_pne$6je1+tUzX;xguGeBY=c?%=^T*G?zE9*Ae?-yWM z(y1|AWWho;hNSg^`aMKd$qF%C!uv*NU457cmN0`Jjfol8zaVB!Q@1o37E{E>S_#{+ z=$X(}P{uPk;T#1gl&OVmB+{^26#%300-3Od1?nRgO=LlFk>cxUqS-$lBAWox-6Rj1 znqeqefnUDiA$$>xZKIikXN2Tlcb^45em@gV zXyw874t73~>*#ERc?X<6%*ucQ=O)i;aO<@Gz|%qE0?QhdCJK6xLgyyKOPiQ-V2n1A z2NtBSay%UsSCj_9fr})F^^SM}HS{y&AIt50QZy8WHCxE- z4e+0AhJ>mT)wfLfk76=}>QOZQy2&Nl7_tzsO~yczt_m%DfMzVnNbb%Gaj?&*Fa0rU z-L30N=P&;)X0~|XdJg!**U_~x=)T%KosKG!mVbkQIcg{iocsXcNHHsCii#hziDJ&8 z7Za%$QW&o4bekNVKNq4vnZA}-pSb{i!WAUmtI<`$IJljQK$|P4Tt96+10`{|kPA!~ z`YmnmYB4!vYP(3F@O)@&I6A26os>PvJJ81#DdVTrr)Kw85?#d*n;K#uz%?EK zG392xSIo^O3}L+1j$RyNeZ(HnF79Me7DJMRBe@AMq^N}w*Bl`u@s3Bdt`$pZ^nOBB z2M`U>ZAn>6W8?DzreEdnYIhtHo5~2gz7Y7xGG*-J0i84Iw1Dho6g<*pTlh4^Tc|z= zewZ>yAU=wT?L?DWw$Q>?m+w!GpQk06k4+-#MKnw+k?b#~0ws|@lo==vOiu-+&Qlt( zwC#tz?@%u6Kb0yMAJ{@ZsV<~qyUjw|P%b#)8-J#FQuJ*n8aE^8L#AK|+O^T3_c5VG zI_id8MG7Gl@d3t~sb*Zs!ywJB28@{1Qziz66PXlN?O4W}sVEk$2(pQ!jxLg1F=j#6 zq}-h?nl2qoL~_a`Xeoe+N+$V|CF;QWw8robkG}fh{B9)UwZk7DvA>?GL=?nL8P-lP z8u8~65k4lQSclb5q=a$VrG-1C@)kJ5m_~IA!fMOq-yLQahx>z{Uppd4y$$1vfRR`V zMR_`Oiq+5)H+1+b9F!A0%_V^aty zamU&iD>fH)xmaFhEs0AOGe*y@y&YqJLrcr`h+vxxGT?QvDNZm1i#y?MF%FW25z3X@*5G?94WNn(x^qIvHB>5^t0xzDi4p~cR zJ*MBtRv2|NFs>ya@Oq8NiY%ZpA zz7~86ph0hDMPrN@Y?x#=&Z@Cq)uC1NulH+*uNm{1@YW-_6&A8CpZr`e8_bSE5w9xQ zqRRY(To^xewKfx_ndmi!fw?SRv+?q4Mn2g4;AKX}v=gOH- zX@W8)jT~4Uzs1+aW{&gKzqI6R9M2ysM3{%MEG>hy5-%!kaccVedrTO4RE=tsM`v)_ zA7VrUdp7*&pLOh6P1OX#x9AXU1Q1Ey*BVNZ59Ct6Fuzm%J5yutD zIXh0k7K{$ErSF-xf+)q2F7|02p#`a5&YeUH?Xj$^DJV-369cJfxaCWDBAqmj&s~OJUr!}vlnKoS){bNc zVZ{>`AnN}Zn4hX*-0O~U`_t>NZIGBm9QmKn;-amHT0Q{C)Z0QC1}2uAXI}_h z1={K&l>%}Xc1+qSK4QTr=B;MNdCm6lB~y27pEerScvnw>FU5`Wr_ikzKFKksj|OHq z5IW+7n5oGKc3an_?cYXXi0=Xj#KVi&1*svcj9RdD%;@@s9^#7FgX}aW2#W0JLIJw~ z>m}8Wlx!iWSoT&Q!WM`HMGn(7Dmm=m_7Wk;k{!CZ(j>xqL?{vHRVyQz?O^|<1(~sC z(pW!$AoAMr1#p?o3bvb48#t_CIML-k3|mA}QdC9jXd*su5y)_VC@~dUNGmknm5bry ze!qA4$QK-cTcC_dc!K&~2~Cj%o>RRHUNzGX|AhSX zct4jqe+f0QaupEI6pw5xl-f%GIVTlZe(o+wn|Kt};;SN>UG5}&N$~l#S*7`DbX*d# zSmeT`j61vNmbDCV9_=NaqQ@WN*Sl=D2z1t^ljgI!Em z5zxpXCp1zTKWF_w4+oVOiO=^}OqnPTlDuSkdxyLku0hJsQ+sym*B1&~)*lE`BqPQE zGfo#(sme;r+?2+c`aBJxj6tQa2UfHYslRJSyy*!dMCvNjwJ3wLugI9Z;IQ6r^i>m} zmMX0HSH3WhPH8Q$Rk^U;jzkW?&`slN2B;y@opiFf%*`aqkeTshvaJr?1+d_fG<_(p z@}*j_4X*H=vnJ8)MEI~9JGK={$tYPbOH&#P!qSJAqkgJpn17GBhW??FHY#=uGn|i| ztKM@N4KFSu+KZI8-X`{~N$SGv^s_oL4H2Iz5eh!m=n*P=C>>g)(vJyODQeR^8^Ak9 zzL{w@HYlXs3Q)>xZjo8Q%h4GL6kIfeWXZh?n14>qoma$)k>Faj`jSynAAEk7@S&tc zArBF2oXuxk^kj&Ts&gN^0`Kd3v@*Ruh0yu+zW^(4kdgj-XyLdm-SO0oJ$T|rpizGJ z=;@JA?&|H_s$r5G%jI1dG|ay=IfhIwcqL!*F_VUMF)(ta1K1O>5D`1a`T-M@;o-ot zm=Geu`U!rd=$11snFnps5Bfj$#!bo6x>D41mc~V?ivm0%bc&lAD36U=>JvCBo`52t zj@l$JUMQ5-?Wy;xJkLCP&In%@#kv~(*A4N_2B&FCZz{;m&H6dwz|6IZ5Gd3*ZjHVbGW2^b z_dCz*=vAhl~@3Tb@eK`l@Doyy$|1sGvk(pZ;fCzvFtFOTc>rIZN! zA@`iONJNVy2~984b*uHl_YH#KJW6+@to)b=?z+#tqf8luGdI^cML$uGb)RQRHBaH( z4*4mLm-nOZ+SHG4AH$Er3+?D>VNhL;W{#MTllgIf+^r>QR(F=oETE)iThxRT&fpI{ zHgCtq)9dRP%bfYa5o@d)_aUJy_(m}3mb7fB$v)c!r#Nr+Hmm?T)uMg^W z8$wh5Iep&4Bwx#awDn+nmdKUu-Yt&alcS$!byjK$PKUlqk}Ri`XL`cOb?3LBF7Fo& z#?;Lu32{k3b-<;1BtXB3aKS#{R1aWmXEZ=@c%3;aYT#4{qc|%FDyKC-NvfI@Ax(&s zhE`PFYKf(DQfK|oKwYdRuqv678tx`tNUeqc^mw?$sCqVn-pYK@Ve`+LO|DIGRa{5$ z-y^<)3TOUmxuE(pGG-O*`&N$L_|N6jAiLhL{GRL+_@iKVT-Nb7F>p@NhhQb3Qu_QI zKOq)UGurpo5|-ij;0x}ZH;@w2v0=PQ4tp%j?Vq)Q>^db+;u>y{d>rM)f;Y`Y6Wb)_ zW9wcntb&6LI;~TN|37zoP7{MV^D@!vhHjegD)zyVFiY<)4Jt5_M!1%4isx`{_@vIv z>qS1P6nx4>@^p-VmT>HBdrOptRu4A*`y4Mmo>t8WSjT)=w7qP z)7Y!*D~g9_(ihp!1k^}>UA`l=H^rc=A5%t;^`03Y$og#nS}3{(){ei`ylcOmTc2NO z>8-af>Xuro3rrf&#raO+CwJ3~g^=g-oWl|j=ac#2oOm-WnmBg>6vrZvM?Vh=dVl7K zN|K1!;tcBvWsP#Yo&McJf3JNx%R0?{c*`qgi;%ifvE{9b(AV=!^qw)d`A0!x&cbiK zEqLB1 ztTZ${>``rKaKEuMd`S}$*BdzVW84E5ZAud=#`UF_JuYlGg@d2%*{RaQkXA8)CPA6~ z+eY6|6Mbr(Dr{ut4}Y+sH;}-Fwwu-oA^&gE5=WyYy?jb1WN;Tu%l}=%XNCs8?F?+` z4P0gUdsN#{1i5-vYyQ;({o^l7Gvb9)9jm5`i~Lq6T!mK&T81%Mxcvj|6A;Y%^i4gQ zm~ggARM5=FJ($qXwzCcMK0UUi9#7=Z1wxB6G9NcHs(&0V`b+chc~b zXYjUZJnZhftf&*)_<`%bt(o5;Bb&c1vr`LRrGIyCR{kKobcxPt9ppu~4QPZh2GX}Y zvBw{0KDZ)MSXrwQD(q9g4{73OIhg!l+apX)>pzg{@X9jN2zXZw)yWc`?OF-dpd}Cr;Ef0^viah;0 zT4BLrw&odEA+5r{GjLj8>6y$DVCUj(6UHCU6E_hriz>F}9J9$CEY(v7g^T^^pLnS7 z;Lsaqv~(6}93t%g?Tebri`~3>vcC%9(h9Xe>T|k{sGe7_uT~Go4}NDN7Gx?9RJqGN zE6o0_Hpl{%S@AY=%|+;#SA)HgOPPN~*rODYw>UTWR({&8bZxpDpfUd+SuOprZ~&oK z|3R&9T2_1vRQ}j1fm1sPqM>7h+5V5%0bpX&&J@wVvKYbY&(}#%7%JyoAH0Ew^@4&U z`Gd*nqVD+6Q`jZe*O+k@^lIU)lt^5ec2y40u~?8te{PPj9$Wdc(~Y9mIdy;EVy3e9 zDY2)KA#9&$coz5evLyIyL_gA#%fMAT=9H*=1=70-foPcb5xNG65{fr^z>a|tN8kPW=Q4I6SlqM4 z?P@c?@;yk9H>N?GFBkZWD}48;WB|iM2N=pN5LhuAd(fU9-KgSb3+k$o48aYo!K-1X zH5_2*?%O-lMDEXVZ1OVq+S8QIvE|T5-LG=Jyg~I$lZjVZ35>98kk=k3f0Q#r^!u}D zZ0wj~n*e`hzfo=IH@#sI=&ZP*5w&g+?_49_BQQuJ!)+sU=ryY-O3A7gX)<%nj~SSU zDVfZ_j}Wy2_H`5em%jo>@HxF^;gr$6G{s#nNA5vVkZcK3LtywAdhhf!%m0*`$AoFZ@OO)%5z<(G-5Q12 zo5}gcZMZ2UsMF6aYixjuTU{66ys!~CEpct*=oRriLK@w3VR*kYWb$m9-b>#!p)piN zTUzI&UTED)`>U7wT=xvWDmsGpIrF;r=fX}7@&m#kY#*Cn(0Auldx{|RB|%3Ndip}| z0LUeSTXd=Ixl~D?||U*hM`d6OszZ zxCUY+ckG0%R+-p zyBd_)({Oqky1AgifG51q4(@i96OgN^pg-)Isf%g1Pf@S7$q9~=>9v2T)Pjeh`e6~NxON4zWgbqQ5fUfJ+}xlIf8!O-)17MK z=x|EweLOy2ZDQG(((kS*R}&bnB|q1sWY;Zldsiro7c4RTp8II8yRRp2ujOqVjL*|$ z8yV+vzTU$e!8p#e>PvM9kOU%GJ`LqWi;|240)T6Mc&Np{A7mF$Wl2CEa(&-xv5a3N zn@-}!mrAb-et+F0d>IzMh?BbYnCrf+Xu?wir@A0@44M}KcxljjbJ$+#jGx(8q_s$| zdWyDoElz01&4Vtsy+f+Q7{(U!zS~xbm&C!&UVdNxD$rP9A!_=O`=Ta97#m^yi~mwo z7-@`D+9u&VkW`;R1+VXw#2*%wXL-%8gmj3P)#iYGZF4X3?3*a?1CYwkDzzZ+kD4wk zqnIivS>YlI+{7I|BP88>>sjg8@<$U_Ot2Z;d%e6AWe^QvTMI?ruz)~v7aJcF>Cmae zGc@UPHUTe8`@2xK z(5y!r>qa{P3x`{|#(wHL_`%cFS7v5w(e^k=a4C-FCFG^JDtx55S`chqvcioB^ z-(qMq=y5Mi4uU>W0Ga(ZIMOpb5{GgP);y2p`&4lKb_8#dbP?$1HKb!Q3V!Ry}U#cQr- z=J}oxZ@$+pA5}e(f=0Xlu-8i)SqMPSaBx}O45HsbA0stDHX3bD%w@>z;g10*^&w2^+jK*@hg-cvlp93yF!yR zWiW%x0Ez7Hkr;DQCi;U{y2Lxu^MMnbcR{2*haieqWA_92Mblwdo-ec(f5T1(6=qR? zm(g19MFYjnnaUe8$jv{kUkGS|p)#XeIj0}~cvjir>dAc+3}C5Hb2}*v_;Y49v!ITt zJEybK>q#QZf96n0^C_i$P<)QK*sY-dU@8-Sf-{M+*oqX)lLPc;Dv$obcxOLO*DHA@ z6Tb-z{+AuhQZU8x^lr;{XR+P~t-(|Aj!pN}Lmv*M>bvW#^EahQRS_@KglF=}S`DVV zy0K%hpyt_^n)yRuLB0H|A~BN@+e%hU#6>@R`f@e@E#-GQuODvKV)>yncfGZva(Nzl za?v!Z@^;zBvRJGbm$nd)F?u}sj}cI-31YF90n_X~D~>hLvdHwGf8y24NiO`aa>_>c z2v;kQTHbadD%PhZp7Bgm0*TIqAQo7C(}#wE6P4Pv@G;+cqNn%9UQW;BrPE)8dr z)%g*Mp1>N{1~hAm0#1u%vXH>*9y zTzs#S@)#G{XsGpe*uNrYi%f9)>r;i__m^8sn0ySXrX#_EHr+LYF{N6X=7e^DVapDG zOwIeiM0&ChS=9czFf??10=c{+E5^Wjm@U(HSnpyuo(5$mHOkR_r?GRTV+Q!}=&(=C?6-wBC%4GwK!ARD%6`>vS3c#`moPIfkrdI$9!Ms zYk@D5SzCtI2#5U`dE0nUhyFqzN+=>IV)BJ*><|NeekW$me}=0N=|D07Z zQHGz75=PUrJ3&$TJiJK=95;}a>RSndR?apDNH7>|BYdUTom$ccmSsV3X;~l@m3XP~ z{u>Kr*;_dVZ0BgCAN%#c$?RL$b(SFb@e_v82N3ersR?%{Z^oh=5Uvideu&~^kT}H* znjpEFmF= zVX_RulqI4pF&aCQL1Y_@Wf=dd-Vg8p)A^lqpL5^Oxz2T8=bR7E^R%k*S?6Hjm~rJi zseZ1hdjB57bek46^*XuA4@q}+@S?n8O0Qz$g;NBkjMXoO3HWWVogZ16G48c(9#oNC z@~Cq14$2UAXN+{+uw&dQ%O8oM7&|VLfb12|?UNb~KKu0F{fM#)YfpHqssPIQ)VNcc-?`D-Ggb5Ueu)f|0~fO}tAo#5vqi#<*(skO=sre9huyeFeM8EM3SzR9y-j^;drS-80Af=uu>$4+;O zDd@-*Wq;B7Y*2_ZoV(Plba#tn4a8tE3wz}gFeLx7O~1AZl_v8^DJRAZ8r@rQ4>q%m z&AqP(RwC^xM>edvWV9qI9 zMOf2&bYgiI)mvkC`1C%U`x%N<6zK^ z3(mE4oq&ki(XTTyo@-0%#z+&>keha+@;oW)_SJ|IAVhOtFu*YzWo6qtykXW?T5ivK zagzZMvq1E)JJnsNix_Dp`>oW3GTuzmWCMV(_VC`7mHg*I7-E?DS+O5>7TQ^^YklUx zwV)tor*+mW_8aM#V-qoM$S80pfl%F_V%45j^&^Duodd6~wJ77OOU)jsh4flW z-`S^P7VIqQ_vkx?G~v;ab@ck;bNkZn>q3H|jEjmm_CK~8 z5Tg1cAp_$kHld3PMqaHRYw7JJ^Xi+~mKC|5qrYj-H+z+CNsxo_h;ho#3aimraa1>~ zu@F6`BWT-G1Wxogl@&?`=5b(#t6@zz#ZL?S`qlq-NeWgdV!44_`T$+w7mmoewCR>0 zT1mP|x5~5R--S2~ywwuSan|=3_RE-vYEray$uR+&+#>`29qhf5JJAdNc=k4G=7$Q1Ptv zs52pdth4`GH(pdEk8b$cf-xOMRG7&)g(e)}RiK@NlJ^+!LA*Nj4zN@;Ld8OxylxFpbsHL*h;y(qEUpF}l{loaC}ItVIDUWie3%fR%A^$(%33UbezB%H@E`JUt}58?krUNYJhbg{UlzLss4wWxBHqlQq-od|MT&FQVO)+Vtys3M@1JXmW)`rx?%w(n-Xj5vV=Z8Z#QB z*c9Db6vM*F0~s=+xMB0#$;=j25b-gmp|NG@SXK-kW?>1oK7Xgc2R{an(2>sfu1;R;~Gb&}FYf^;hKQ09&n8>?z zSw9E#0X3uXKm4JQl79akcI3&kb)~G$3ti$v*?$8mn)82>OW`x=tSl=oxAf`R1>R?( zLH4(B6UrqjV2#<@1=Ak0zTs^d*0l7c|BpgGi^3YS-k*<=^bi*CWk+BM#$F}y)HsmW7PJh#a|6lmUjps^QDJ!W$*f-(*e zaodW)XY5|8T4Pf?ze>S_(9d=!AA?M<9LyyzoA$AfCbdqPxiI>!t2Z+Ll8xC$WUBA@ z_|lf4sXOv}+UG1Dfy5)gmpQZqS{Dt}MGpIf^CG!;T@TCQh2y)22eY_A@kZMLGsG=Z zwfXaGYcwb3<fkN zlLG&wv^=%?gH7 z+-!U5{gEg}b`P;zN8$;F37O{WxcyzHaZS@L>W-iZ_(A41t?>-ri3sFr!%3s40&UI~ z^p}#*@6k6J(QCogD$!mXpts)Ymu!xgqtkXjN=P-~`?)Ii;GpdLOs0;7NNTTtLjLe+ zCt2h9d%3(H)iPAu{qLuZVc5tSxvSyKwl=|T5(=s?cu z5w43a$8QIPq}f*1>qG;{E@IijzPROx)4n)yfXBBzQ>~LXi6?gS14MgX<;(3#$hp39 z%!U>Zd=PYx;v5z}DN*@0tY4YuaAn+WWjxjoFXiN%WnCMZNx^TId!k@mPQFBb*osDO zbpPk-JyY*tUp+5>O`)@tgodqJ+K5#9+~vS3{Q68nd3pQedDrSfKjB-T?M(8OGoqqd z=(JDPQ{CY2t0#YUoS?qeJh<#99aN@6CvnBu=$a}RzZo72|4N=zmeRcIdNiM&q8>1{CNWH=o9YDYShb-DrQ(qlJt9Wzs|rT_CNwNRR5$S*x)=w+ff zY-FN5-kF=saqqm`yeIWgcYNZ=r>lvvXQJ`SYA7-cm1gY!^u4x|pj#V0DMe6gX!AHw zCgVLEz}IIqDA)E+G#C8KMXUG&4mP%fgRnz(sl9(+xqiB%H{(Mh?3M`84W61tlOQ*) z;V!jC1k?q|`RdJp1P5`8^EYAIN<9b#3=ozZqsvsnSONd7Q|P}D#F*iIxpaA^~X z#n5f5-TII)i=(aD--J~EDiA;e^@&TYpQU}uJE$~6KbNdA^uMYCR|adP`?Qr#34y@{ zlS=|dAtDN}RXua7V_jzNTKY(Lo{$!=l*auKGJ4icylofy^i!-CkpD|uPeI#j)w?R> z_w8{@!~C@w>d9G~rbo0t-b6b%>(wdPUATr6JmJDhEN!pwfn(;3I~!SRjY z8-lkSTaLzwvsqVKaDl^Gz?*$&s$z}9*p){OG~X_W>!-4nqmTU`oRRjnVr;c$Jo)E( zhl1AmfO8*fJj0f$fFw@d*v7t_JpVX%xqUI=6@Dtd6?T~iBgVR-pW>0*uvZN5b6KTU zpP}X_%8-bQUSC`6=PJx|I+God{(v$_?U`2)@f?`6Spjc`+JF-t7`Qs94IKL8WiaF5(>)x{l)z*bu8W7ZJnLSIoVk`SUJcg zJ#8H<$oV+g$vHUK-rt<;?@&{LT*=nMlKiuUt;c)ajWC3NRahkbE1(Eq|0Dk?=>h-2 zKG3J#{_aHbUTpLb-x~X;>GqMk2TvoeJlO;m1vt4xjgg1*FsO$_@sDUBTJ}Q3s8P%s z?PxIEq{nl=jYfis<6hv18pv$!XI=)0nvT+aIZw1c{lH*g(i5rup~F?BmU{Fus;QUR zdk>r|5-SZ37JqZ8t`>%HK^8I$jWA@xA6+=nJ9oeqf^Q<75X+M)TqgxmCZ=G8^dRxK zAi1|!-Yd3(n~SU7{8^Ld!N;TL3vVD>C_L`jr5pPPbh?`zJ-IT)gw#~X1x)`5#=_1) zm+z(T9-f3}O(!ng)1EK?2SNbDPL*0P;i7Dj_y#XZ=?-&F55`QsXb_j4Quq)vDlHz! zI!F{{dT{m9jd+p{Fn21?o@IzW6BaY{fV!RmpMbb`W6BwfrxL!p|Mm6Eln|l)cUzGF zelSuk;=*Ib`CNin>x`H>-(LLK*P!kUM7%+DeiYVH027#aM>-G@4`AyfKoHLsK9Y|y zVqG*O@b_rPe^<#xB-c;{QCGK}n}Rz+7bPwA_!JK-b|ie&!Ht)Aa`0w5z^%3Y!-zYJ zwx1I}Exj%TN9$W}CoS!i3|ZLf?pZL#%whlDqqG?pMwu?x_lH%B0MW%*Qzf2dA;OXZ z<%hWFmIVKpwx%Y~rGACliyL7?$cwaR8QG(o1hf8R*tCbwr@x3r24vwFkUzQ8P9iH8 z%pJ(L_GYNHb+Us^{cGy@vnJ$MKA52`!T}!xgmQYRHW!R^rKV6#c`^{mVvSMdNdXmM zV%ciJ%P1NQHEhXX{bqgIrfoZRV?)&G!x)Au$XVnFp?f(jIFv~V1z(KLiWMmabh(T< z>B5_jzQuY70G--mddTdAB<4rp6^k-MN#xLc;7>MINoCB7yy?e07R(R};>$Og>G8Qc z-G#WBYTbe`hp%oO+`!D#mcEb-2SxnNX`5@uSp!2Ov{JG(eG((X(7D>}pJ=c51}=q$ z7)jxKd>(yC74|l_gR8{6twLW6Be=j5$!|5)&(0p;bj4Sej*efq2IJ7YrD7D<%^cs| zfhw(k$P0pEp=lmRLSSJZTsVy#Bk;n9Y)DVzX`LAg?wkfc>)l;wVhlGPY^7c!j-fXP z)_?2!jh=RM4=U8C|6}7KQUshU0}^zp=TtvauksA=_;L`zcv3 z5?|nyF}(fFBFbKs70{M(lbZVGc`#QLyd=K>TG|%;Vw4*ZezQPea9^SD`kKjkx3uJ3 zHt3rHIoPEnhZ=0M^5H?&nYon@c6(X5_2bB~1}n@2d9;PEYLjkEABGzMk!KKDp#k(? zh=RqZAU^C6_9DY8Yl(}&j7E05Ds_#Esh?O`&s~jS#VAzN?Q3+=!PkT`GN?$EzqoQV zVQM=!S1%U6FE%uW&0%+@Z4@eceD57QhmR5~%s*+;^Z8uS6rpiJGTX8!5zZ}GP^Azf z=W0WK7xj%vDdiUyC+$$SiMw}q5D5lt!ofz8T8B`ql);pr3;wih3I$@_&jn4mA~pGDrAJ(jU-Yjn6+UxX9uodjBlRFh;Zt-|Rexr>FyBV-$LK@cPj|wH{U` z%hC*j632_mSK)7*>H2+K!Mr)sr0H#genM++6$tAvQSLeA7iWb;Mz3gNEGdKmjCyMiYtd=z2Q;~eJu@Gg)4JP*YU5D`y}ay0j*mh z%}?7Q8tWm?LSy*~Z;So&MJ_5Yb;!zkBuIi?Pq09#JjN095~VE!-U`K{SNMsi!4Y0Q%!8-V z=oQH|otQDRK&g1~+dOe|6En}x#cvwe4x4IOQnu(N0x5@T@g$=dDN6L2n9DFW(gc)> zv?&zxf+O?93hwk%Ke;10^%wyqoO+Ex1>BMUAtfNttE>x$oQ|vuRwrcttu{|Qj;U8V zW%<|PMf|^tv%+s-8;$UnG=d01wCMVW>rl$B3I5mY zzh6mNWb&rlHi2RPb@ZRJ=P^dT{^8s+c@5tFr@lkAh|&LegZTe=Q%sh4_7C^&X6x(w zW&GC^YSMqa!T7H?y=RBwf}}z|Rd^2bV_gMj2{WY>tujBS;E1rhw&)#?JiPXBJ?+*= zI{3J~;~a~G2Ct6izSiDBemimNbxFLSyxg5`>@x=NlA*KGElgN1T>5ePx8nc8h+G&u zj=EC}&>@JWo2hKh^x)4{9kec5eQY{mIIHmH{Zb`dw1IVWGGo*A`(()QLg#hz{MAc@ z*u2Sa7^MrmnoE`0p6#O9^+^Ge?AYp~>*wa9FJ`hbT?uA(=9fhGrUrj`c$tT- zLYloB{+>2uuG75yIs4c!wmZVke1{57;?FQXg@hy+5umiN*vQ%wl7B>mzP1hFt(Q9Nroh*r{@`YcYZ0-`Os{voES8e%c5T6h8cOy_~8k?gydZP^=$B) z`nZ1D$AHO}#-^v6#(ll9CRA)@?INu>4W4nJhZqxm_wdU@iHy1>u7@)h6S#V@iBoW6 z&7pBvyF?)MP%BH*R^`4aY~Fr7!NjuBJ2)s+_{njPU?_8?KXva-3C~sqWTY_S^YhoW z6vRYFaPQa;dEc0sb=8y2Yp6OzWd-v!FKY^Z>d!fIDYu@Wmb-rTj(~DhUgS|*kM1Lr zUjV#2X*z+#qtp);8b#vzZ;LEm^^E3{%!gyn7{gm|cGn+_b*z-5U#TEx=h*2Ve5_;P zJq8T>B4NQ;t2f)HM3Y93OROC!|M!Id+e-f@ zbd4wV-3Jmu6FuMCqg(5@RrT3yjNzkj&?7VOyYz>2?rFMaTShd(2@9sPafER5*XF5HDa6M;9#wJjcn5I$!?EQ$t!DUhMe zU_NZx@r`=r+?k=f=?CtaCCnhdB4I|{=&>98GSdDM$|KD)8_0)R77kkN!ca|>eh6mT zz8Jp;1?%a%T+l|O z@Y%dlcZ@i^)p>Mt@nb*$1ss_Xp*a21rb=FvlOn4l0sl8=ymK}U#%ZhLc=qbeX0%~pr`{HAv$*?qt|2v4Uno^+sI*uv#11wPi z5Lz8B)k~-*TaNkR8)_4zSJ(lZ0CYb7@~GK)JGO95Rvb>vA}otLiD|fkHgc=|F|hp8 zDJrPxdv<2O5%96`IGj@{QJM6ZDJfMpSZR4}hbB<-bABw^%)q|mVSr_cin|99;C~rt z8SrLY#+FPHRq!WV1Yt9IuA!RPeP6iWd0ZHA2A=Q);726XY0Nwa;O0a&y=x8U$&9s9 z4NHRD?S19Y$)B7G8+kyrkR-EF{x{CT5}04wgSxYJbz=Gqy%jeVt^NF9{`8FP$#q`9 zCSQwpvken~`kyFE@PL|lVKsoiB(c^ z<#Z5MzmIUn6s@%sP5sT->h2Fw&V^&88MG0&1BLvsAD6nzzl&Fau%fb07q`)-mp-EE z`Gs@3!T$zWzV-COI-iYrWrdT(Y0T0-5C8r<(mk}cy6WLmBZ^*aqB4kC4?YTnB(dTB zE*xS8Dn;u43}o*FbBKm5w4Hl+o%9D;k0}?JpqRS^iWJttUd2(oz<*3Y#QHKZNOwFT zwJWmW$+I{Kr4#OZ*$*5_+~>$-d}u-jdv}wk&O<2m>Ug9kEN~6chGSy-R|7b-O^8MR zWJr;eV}Q{Ldtina@PfmKo;NH6pLsR{R7^xwBAxMW#ysx0kpzoe#79*JQ8dVKL&&E; z!V`zb3~5#DcD%|(Qi}yYJBjw@1zw%54uKE)%7F_Mv3sD^{bM~j(ld}bgd2c%;XK;d ztd{^>m{tsk5EyDJbhksBvFeo-3tshiw>edP)z*9n^kqWEcMQOlS2s|V>lMHHaai^2zqwYrufJud3W2cey0)E(wQV6|I_6EBG?n^{1zOnJ-8|i`{&+{-pHNcXoG7$Q=Y?Yhg33hm zM0@20uyj~r$UXhtFmQ)3CCN(3c(Z8u;br-PXETM2M>|4vv3nvZSs#OcB=H^NJ_9Ug zM0`Fc451H^(%YE?t^|HERxTwAYum2^JH8#UflYjr11G>P)xl4%cW+>#12QuLNZY;C z566^=75~?Zw+p`BN3o!r>@8sk$HqdT>gLSM7?_M%=7wU3<+`0s2U!QRk%!@nSV;d) zl&RNWN3@%_pRbF~tMqR|eM4kzz->;^l>iUe@rTE^Zn|+F84^V`UNK}hX3;G=jf=?v zhh#DWhKTc!~mUN!;QFU(_fU~xbVg1+VSk^mSYwPz>fLW&B&P9BJf*7?_qMksj z(xiH+r@WR*%4j+88U7`@Oq8zq8QM|{VjaM4XymW&tB>4@C#68#O5akWl{Xj6IC0ip z%p8>_ZUf?061y$CEmQ2E=tM?G)Xfp5a-pZ&$TvJ8g3eLVk=^lENHy>y`Ib#8p0Q3~ zh$c(sffy_qOh`6e?v}RhSNV{UutCEe-VD3QF(kP{sRB3t; ziwkp$=K^(%@3;$-ss#%K$7J;}LA>AZGWJX3mBrqp{-y8bM8U^r?uYL|_-+`$SrWC* zn>0ZgbcI$McbC8u7LlC=@7qOGa3S_l&bX#=tp>HsE277mK5Bjo5>bF@IfuM3{Gf4B zu*b7Cr0k+$xFFW;L;$Q`)kFS)sik~k=JbpKuV|O+o-osMJSo)4^w;bD(9QUJZX>OrQ3u{aYR_=QjUzkBDVe@B0$y2Ptt{x7;j!uHKxYN zQHO#)y70NM2Fb{&%dg@+BADsW!j`V4ICaAxPxBX$Nify+fCWukPM)g%PhFAVSJyVr z&IC_5AQI5zVCY8Q(%mueWGJTQ?=WOx{P54s_&d;dbhK|87Yso)_e_12mDLd{*wUYZ zfSgY1mul_}%7jKD<6d9q%(?>=KF8k$)g_FOiAZLMbhfLVO>PFsiA5fTFSKFnTODd8 z6sgJ^T3kW;LtfiqBUN2Bw>MRAzZ@J6f=(USr(qcll|C>IlZMYR$mpeO>KoEx^eJ`- zJ-L9r2J*0_C|vG=?OOup`Mf7D5YV*xeZe&6b0Fkpe?wp^x8&p7cTmjuhb(-2BEO3> zGUB$A*`$TnIdy|Kq}lN@%^I5)(L#!S@`q=Ox>c)QRHnBBiqCOxr5C-({2{mZ82V-1 zGTl>H2<5tA_FkQQB4~5e>bfgSy@HO!NhIACXkuq==z>_hGpmjkV3xY7*Em`t;YFPcLyo&5q z;6={}O|(psDAljF!Z0F?bR2o#icQM1@T({a8;bJ-T(|xNYb1!YL z*yTC@)pHCUR*t4Hy?fdAO*v8^14WG)V*y0k?Z5;1bAH$5;kEd_ikb^ekzapS;5uGeox@#)9m#CTM zv6y(Kf_OTfp**)$JVhjH<+;g)5f#vXB6c_-D_UbZfqXchJ&C2c`0|&c5nchlE$z1b zPVzoPIAp-f=W|KFZRNs`p5y_yKzDb&eCUpt)S_9`_2Tuclt?{Qi8!bN!nZyku)X zk@h_nvF&1iN3#AN7L5|t@m+#AdW6PjYom+I5xO2(V7qfoOQ?=GA?J|TCSzpPa)aObDvP9do9rq^1@FQ4%z||(U@jc z{8roX84$bFsLSy?jdt{9^lI2zg!6$-DSWZE7c1zw6^Atk}D zD|6K$-|4-Uld61}B{>MIXoFf+Y!QlEs`#}|bdKGBNzNVJ{%}%po~Gy3eEv0GtF}c| zjPx zL`!-{4eJDU1!r}E{CcVJO5$M_Qs!e3yMD2anIe&&bU0kf+PTIMDluA;<(TQOFyc?` zs)Uj0sDx`s@TGe&j3B@{mEy0Qg87 z>B1Y_-Crtag|1ihNYPZ=cg8uzJ|%4Y#wsXv4)=c>)>Y#ik2=(BgT^Str^gmmM>4m*_TN*-a0B!UdI@aG^yGF3joi z6XsF^;?DQ4VW=_Q`g&NvLVg1m{ER-~>`@*5eHIw>7uB0Sbfh1EWF&>im80X@(gGND z%EquNvzRFz&(Lem2!m>&glwDf z(IVc#?s~qj2BPJXJ^DQz`+OCEvqro7b24d)T_ zE8hH|1l%Afaj?4rlcpZqx*d*LaF=oGY}_DimVDj%Ae}jr0D|r!LvuK6qm4-L@22XI5FctTP zf_26E5Er&-Gv;<*Z$gNJp0!!dTi7Vf={zANJUUHcwi%zp?XosaV|wfk?FtQva@a~U zq7uWP-QtID#RM&gc1@9dBCPy0IDc%H@U^Plk8C9mV}6rZvi)K0f;rEWM$X71hu`=@ zlv?^wfw##V;V=q$^Ok}J&%0I^!WURSu4gjl#^>JX%2Os zJt@odFW)g#&Ma%kw+~@wQd0x^G(02U99Pp*A{_=h1+`i;5jZm05wUE~h%RP;IxIQO zl^eH23ZU*yGgK&}LMqyb6=aXek2IimXIJ^fxQctK&(OyELW6&%A3Pq|F>y#IK+zV}tt3 z*sa2RP?W2HS6Jn@tS;$=);JEiT+>2KWYd>QNvTZ`tltv!*Rcb-TkK2nmr9d)Vy~># zXHhK!Rn*P-c%f#`DZ21kA12JFlmQHeVQIKqNlgQ3hwbq^8_Lf#k(|Fu6Uc4sNj8b7 z{fwPbC^$++fKgX$USU7KmNk&gCK_${4%Q&y)LjWSNl6VX?b*-6`;p0W0iFFZ!wtOl z)?!vG-N@p0ZXGysvN_FA%T|yJ80j_%ass7>n2SP~E#b_ct6ih=D}Ix`nmGI%NRXeQ zT&qIJ3XopiA`N`}2z=4KMO`H)q#MDE&vhsiOUbrnynb-QnU9ys2=DA}?@LFh&pE`L zN-Tv(m#H!VLmW4{O6mUSp6*+FNCgwl)8VWQ-t1^Ov%<#}YMh%!$PYctexG(a0R|Tm zDHR|8D&m{8UW^GGtX8A8rl`cVL#?bG$#FvMT9Mz|qhS9U-tfrF@W=HOE}6>%p9DDz z1swVVLXI%mBOC03R4S(2s6f4ldH@UIg@YzB+Dt-zqwj12dRA_WQbU5i6td^& zuT$wt%71omm!Lt^2(D~qimpL`G$t0x&^jGnaq$yxBfCT$Zn_vZGEQHM(AL(_&J zufA4j8N}V0wu{>Y%JYR<`y!da%uP0X<+kOnB;3>&Wx|DDBT!U(yZ66UJ5qm=3_?jb3 zLw-vRV|bB$x%Op$kwpG(j5k?0+ld>@7g`?&3t9Xzx|H;A$odC#l#ARtZr)AXRMVS} z3hGWr%U56F&SwFMnwMe;VVUQCgSwngLZBVR1xj_t>>Nl2BUMn#&JXA&XsRzJcoGTq zuWa_LoBymbtTc5N5fG5jp43|TS()`+PLJ1vi!ei`W(HUmu<2K5jYCX@j5XO!ALT@Zo|ki9`uy1HA!tg5AV2MM3PU~#CU&@Uxqmw~N{HXMJ=Uy>K0A9{ZUD#_xCc*FjL!4F+= zYQ}!K;0KZELw(EwBPc&=BUOzl6%>piT-)N{(_($&2Iyb9c?1w5?Z1-mRu!Y_tI&sp z+jyNIQXg5R8_z(Si6p=p9#is^?k&iL9DHVHWFRkW?49I4FI7S&AC_F4ji#?yz6sG# zzC4*q`?^eb&BTf=72VK{9rWqemNR-#Zr(KqHWmz5Cnx~CkbxPF#{N0ttF74QlM7qN z3t)GftzwD5(vw3M&!a=~1%!(mQ=9R->LZbk+d3|5I$ffWlw8ny!NUi9S0l2VAR?` zc~{xs=-R-FS52#lZfqY*Y=pmY=560QF~bBP{etf_tiX{;w-63XH;e^q)(DHu`uALd z+C4npzeJ%FAyQ?Zr8|m4Y_M#3I=Y;8L@~0K1hTwD{R#100-x+FzUMaeTcV%=59{v` zn=V8%;V5X=Q)wZ%^74xAU)aYWmw=_ zv39TPS4Fm9Z}?=-MW28b!WzP-;NC7QwQoC4?G|Bw`mnCY1*q*smwov_?)H z&|!A$HS6;xLK|lb*SPW;$DimVKEceXp=Y7lEtmmqGKQ=~Yr?{9iYYw&1F1YvimoO5 zpMSgE+_Rv5{q#qsL23^v5pXJoHu@V4wmcK>GwmdyIQSP-Cch<=V;3|I2zso1s6-gH z$Vn8>t;9ZLZmH7J)S)ZX?6k3Qot4Onqg}P=_lo5Kw`ICAA7-pDW0~Sxnkq6^*j`JZswxOiJ@a1d(_WDRaYwBj4_OCtR`IKtoMgH89CN zCo2hfn;6fEA;*T6JN~1{hb0D+xL6_5^liFKPaclELeamLfn5q~FTmRnmYoU|$wDRC6ll_1~_@l%U4V zcI4)d((~rsu63QmW_r=f8}8%$%+Q1K?WxrY0@aT99>vc~t^U5n>r1UfDBmd5?B?s2 z#LNl6Msz(N8cSY>){#(5XXJf;Ko#dK#uMRw#*DqM|Il?p80JcfJCJ9OH0=EaNyzD! z!|#%mlNC=iiUa;TLn*nAI1)bEsY9>k-|L3@;q>Hs0t>HDtc47knBA=PP=roeOHja! z`DXZ8H@fhRczVSzP-{*<9Du6O_2wcdKX*z!h$O!3-sYq%Q6e#v9hDc5O2`Rx=l%dN zU}Al1UZ@iEy}8Hc;yhxMsbX(G4&pIflVa4g>xf7k2Y9WILL1AwM_Wfo zu~*70zGpXrur}h&X;&-s(hy{w@v0k3N8GyNQq}n!oXzX3QB-3~z)3V24u)b-PHk=%`Si?~a zm5?lR7jwpm@YS7C5~lH^(YeR{a|I125eQY)5%TRJNcY22zj4=se|$#c8#aZ;NB<1h z-g20t=_p7`ex}Lf#30wPSei{e{Db^J-QXPRw53O1v0G!)lPet#uKYEwjZ1K!9|0 zR{-R3m?4uF$gd;W%U_&XRslC%duoU!Y*0(-^vJ&mdsT{QSLKf~ zEwcZaD<-2YfV8NqLHFsQQlV9ra^J>jBI~Bxlp8z)2qD82k+tuX=h7Go3Db6QL{F_? zg{k}7iH?*jG@;+_B5aKTJkJZ6(D{~+n*ICFEW?#1G5lR0%5wwwp=8hOv&enE zJSOXe5E8FT(aM~sEq>Nugq8rVAPdvmGc zm<*nQ(Fq^KQ)QGRg;AhIF^kI6on&m~>4lva#C-uMI8B}Tq1W?MQM?i{dYr@JzIzBC zMAdoS1O(4-5Y>3qxHnu-^Qn;O8Llom3HnR=sP}`DF*1r_`2Aori)kyM>6~Or9>TQ7 zN;ApeaeD*KIPOk`%@boRmI?$iXL*@R3)KcHR0yKtDf4arnu)SJlhMeiR6A zz=lD~G3Rqr?dO>1bNTBue!{>n1CN?Bm;>bSGu`%Z3p2m|09$ra`Xy;MTb_-QU&RW! zcss*lr4Q6K7he2Gy;PHwkV@M!m9(s+0np-=#b!=6P3}CjVO993q_Je&!I4XyQC!+W zQU-M@F|~+PG>iDCW=<=I&%P1Rmtp%6K}igQJ(p%~YV7o=y$w{nOe^AbqreJJ2XgxB z>tkC;2XaPte=l7G1^VUva#U0T6gzWjv&#YV;l(qcuAg-0f8{VfR%P+sG|U&bp6$cZ zRyf34S@DS4G!Y7!MbI=^JnBYrx5`E>VW?405m z0axtLHC*g|xlv9meEaVPS{&)f*ySzPrVy1hmW>bIJ+VW443W3{TNS{~La=d1jDe;1 z6EJsXDQcQLR|AN2m^W`L&7M~fCS)e)>h=K0m24LmWdm%Z8TjRc-))Gv+Hs#Z=aa3Y-<_*5-zv|Rj6Ye%8E^4CWu}3(T8?u`UZnOs(|$Km z>6Q52M@qZh3~5YP|52PAq`?aUrlDkbc)0JDUiAp|d~9D${<`M)=7r_5(3?GU84}zj zu@=*sfLuZ?#qYo97pS1*vHd}W;`77A%8c>!a)gDk&J|x?{ZtTn z(iNYU`-@;slo0x$G$O1y9ink2l$qJScw{sd!f-7>dLF-BW21P~qysT2|x{k(!*K6I`;eR`bgk7j1Qo%pGMYXpa0#;Bb{yLAe3E$%l1 zS8oFl$*zgul?Lslr>!U|JfmvPi7D>4qN&gDNZ7e7Q6zkZAIX(GTFF~C0s07jqQ2}T3v#~4gai$m9H4F&YDMHsFU6&^b+ zQM2%xmxhHrruoMKq#ppV%15{8zw_ z(v0jpxepA;1K((fhO$-GYvL5o95r!b$oc2=P|U`HJe27&qrSW3l>XdFi?ERuoG3|a z0&Y0xdAv{NL`{s1YO_LE4KX^EK@y0??59Sn^`M{AA>=UPAQ$kf>9+f6y zLdzlW%le1NLM87^%{eV#g;=<_H}~^5liDf3tJ%$&O%|M6`723@a~~~0KG2L(tm3>@jzSBk69VCUaUJE=hfCUyr0V^bM1$+qeDfipLKET(}qVR0Vgr;U?w7%rBBW z@~YEndtbrQcErGNHM=>bqfw1#izxw6jGly zYS+w)WfSv$C6zR)w{6|-E72rV4y_DV;qrhk5oWb+-4LSEIkO~9gyAJVe7%`~HTg>z z4w5%AXBQco##d7PxsX+oF$*K?MqGu&S%l0gY6j8$X#;JS@8PHMGecN}#)Kmy&#msg zW`!nQ)qo)raoxfD6+V>;BlnidHsf=n23fb^#Z6&yc!~5EP`8xJ( zy&!N)a!~&_I7kcY4ryLmA%R@^<1SV)@^nXNEO(@ZZJH1mt|q%l8$YJbr}bgHLM*0k z^s|N=AiLrHz&j>E+^^{YshICy-~&IOJ!Eg=Yanb~+R`JqA$AS^LkDBi%yqQL0Au5^ zKqOw2xe4V*NGrn(=9Y_v@*}1^Z{C}j*k}Ii;Vcfxs(x>`G1B*z&$K`-rK^hVzqQDC zZ(Rp2gav@_u&E#8&Tmt{%6|&x-7jMM7e;B&pqrP>?~hQmb;o1ipQtvVTPhXgc|cPt zxyYmbJE(dG@97EifTDjGy?2m3M2BRNK9?+W2^N@E7yqDq`8>k> z)AIv{9T{!5Xzve{h@jK|3IO)vCkHe&2%&E?lI_wU1a$f^fpL#iAw445b#p<|Kb>a| z?eiS|BP0GRo&F<*`Y(BC{U5L0Y4&3}!Lz&?d z6DjFS6IFE`7`RD+GVmcSf=m0}sA=YwO@c6vLk);L#29M_JyQ@kCyP<<$zDfV2+O@D z#$JpPX$)nj>7H6=JTB}jPB3|`4nEsnmmGZR!u&TdB6Ub?(_FuU6tU4gwJSw+jQr_G}$(!>rxl}^mL&>|k z3fgx3qI!XnH?|Sj&(#sf<`Z;gHYfvSa{Jg4iAIF>Jga--fWbpV5HNSNOlrrAcl|kk z>p`u_H;E1MJRGS!b5{>qCFK=4Qq0afGh1*ESh;n;$j;jvOtbc1xpu%XcxNY?L>zou zBU#?-9!!v4PCXf46okUF-eIU>_$DBnV$F%#UiaXf<95h}?V%A0hn_r?)B?CXzr+lU zo&($2cyC8bkYHFax80Ugaen2jW`0X=Yc1YBP$I+4P`|eSyPa-etJ25$9fquavS1XE zS(AJeEo~`*wI<8m&*pntded{>97cq-wV^+(jn>e6UuWBdA}qN=m_;q>hUWI9afX@_ z7i0^O=bAK-2V7qNnWO&oOPd>+%^6L3V8TEGa2aq?mWlrE`J@NE$>nc-2KA;kBo8K>`8uKowpO=A}L**XI%mV-LC>&74LYv(JFOg10i zDx2h);KMmq@(V zr$ABJE`)-udPSVWv-w?)uy=nK{_)rD-CzF}9PwVKsXd$Y8cJu9xX9@ET$bb~U>0b? zKniesP*Wi9(*s^`UIFv}wG12+I{gX_U1tFN64KK;1KsCU? z&2*Sf3Gn6No9%O}Y6flhCGx_9b3S_<#{D~ReFtna1czjefmtll!l5_&fvBEFd7Pl= z&U1U8KkI=^J;4rbSzULQHco&%X6ilVn@EcQoA*26Xp$`XD*aK4)0i_@ZkX@=cJcZB zOp)oP;gqOF_{Ywx$QGVd{J^OTOS^-}8IIBWB<}sF2djMjj1MNY3tF}yK+k14s4OX4 z&sF*~=q#ahy*s&NEVjvgkMz2}=(YB~yP8>P55-*t=_e#)73iyvw9fwL$c2dP*ZV4W zp{IT(v&pp}?>7OWU09B;f^z%&cm^k0C$5Y%NkHG3(7ZfDx$yopT%>=08sZL_`e_v6 zPe#sC092uqi0`MP=WLTP^VyXJcd<+5l>+)tTYH*^Qgh6*w0bLED~4ah{Zv z1w9dd$mMyrywFR4bJ_yG`7Jmt)l5H_$}&R{=q1h8ypUrC6IF3=N&{Vi-}vet`Wyfd z1qG(8K zaosxed&4Zm_22HhzYifD>+eG{Dc?Iam|D6k|D9S+m5^G(PlNn@40x4G#3&HWD{LvA z*Q`z>7x`?5Jnx<3qYo(ICj92f8z22Rit?=4Q zZSGxm6Y7;Dp;!A6TN!kF?S+e~iR443pS4!0eR}eQUKp16%udgVJy%4O)^-kNX!=i2jeI^G8(g_H2l0~iV1ygYiq>YuhCAO7*DKWX3?uip0|fEoVFRCRtJXx05tgNJrB3_(Zns@f2aXnY7#o5~ zcR``UAm#{uPi~ZQ?tQ;=12B){Q&NzTSC&3hAy4fuHR-_UsE=~p8AvQ#6BmuNF zZQ+ND&?brx``vgr?hh8k=N4E8GzlDbBj-ik0<^-XFN}Q+sC81)Um>1EwN z+?th=z489-`zL+cR1iOI0Bc{Uhz#@v0oBm%uj{}@J5G~!0N9-qpXJNn*`vX+B^P1* zq+g|Geb*%u9(~tLs#W&=(Sq67o^lcO8E(OS&MXgGdTno6({~Z+ z7fwD<55jdrc{V&J{bu}RXx2B|U=MyeTCf}dZ!#@^4!e73RgXXWzX_j&LF^d_{Z?uf zK@B=jg`K2Y%atb{WF+-t?^|UEs?S|al|H%F{CCmNel}OsTM+EgC>UmiSaTJ?|K_p> zyFERc?d8gP3##)>QPA3w7SbuQxxD6s*w7A3NK3Gjh2Q?hy$*%`a>o{_?7Q0S+b}J zg6BL4qqo%lD28ELlRXHJeI;|8H-}WnOjv3fusaL>Hk(5=yb(bj+ekEhxG+R;O36=- zj8-SRQ#Zh1&mK$dmT}dTKkKX@G*Cd$V`OLy1D3j;ZrTom84Z%nWbno@S2~5$Up5o_ zWcY3CBhm0;bTW<@vwYgwn?k~Q!jT26Q0|5xdFv)%aQ4{WbPo0%@b8b2;e{3v(DcE^~1xvt$q(;9R{t8Z7rbHm`s(;5=aG9ha33RY0U^@bY9%B zQ(n9teDQU)dUg@c(Ik}Y6#MgG?9yCrMCBDZH*sQVmo$lCDs{Jl6k#u_dd|Xasyo!Z zrKN=jA|?BLN?`Q9H^GO~xVu7?T)W0Ky#X)-N{2l9&Js*oRlRtpd{hfjuNBTv4STuz z%%7`qF2BI#WX9_ty-|CP_MTE-RUq`BbN{(_j^a$RRjg>s;rDqUz9w}(nlp5yMbYXB z+!`a^CTT3fUXxJO?vA?H%6C?8+$Qg;weTkA5M$mjs6UyBt97I%h*M(^M>ho=>=ODK zlxxAV7@mVw$R%|fmpPm)qXzD+Sn)DSCQ3$M>E!Gl9uLE0psdB)iHtSK+j>+70FKm@ z)xYBW+MZ}vj13d1oxeY(Uw0T6Z8~g#<)z6Z+Sf$?*0x-uZy*y6;t+_Jh$Fo8@eev5k_NDfHV>anevb=60 zjJKuz1UZyu#%vG%zyq$%$P{M?h0QOb*^nZVc($VpASFqkS4@pz>sxFsm9(V&RCsJP zwOVVx9(WWhE=MQZZhx_1tofH_Ym&0p0K?Fqs%>!g=IaSx7Y55kf;BfQ@k~XqAhq0Td|u_&9s_CX6wLm0aX-I^bM=x+L4< zE4K)T?T`v4e`XfO9@h{0y|FO2+c6)}+}NaVs$EzG<0mRkGMhg@8J^~8$5y%?xghh@D^{|8Oq7+q=8L>t?-ZQIF-ZQIr) znb?z@*tTt(lVoDsoMdA2=Kb!y|N1o6S-opl*Hhiq)$KbaLc_xEV#$WBctM;-@=5o%4OHwts75^8XYyAY!WB zPE3vOTCgFu*rM7f!YY;}R2^u@TPSTi}}s9l0dzQWW53JOFlU8V`wh(^ z4c_hT%RXfa1c;?&4dMQk)Eplhc289Qil8_JBkst-^wYssyUyEQ1WyZ z45d8d)5Gy~@D2+1kmn=t{d^3e1AGM6&VvHrPPGk6q-?ZB#Yfy8hRE9oTgvS029!c( zLRxlpl-G3OpDW@%{`sIb^EImK4S%g7Ie8-$|2R`Xb5~IzoOYtbn;DL1r3jd0&N%d! zw_2OoMU2ouRAGxVifcv;n#6c^;mltIhQ;28ou_(tR}^NpgX;KStc5P;hc^_53>)AS zCr0H+!NPTC`C$f{QtWXU2#V)y?}k6kX7A(!m8{%%JBF-iWEWiC2*+>>!4KRfYG;&o z$ZUOC^AA7n*$9eWv2yd~S5y4xa3WC}Yy%ekHj%C#`SDnj_sOCR(~PxI%n^<#Giq?M5+WiHo<19VtK531=t$)#!tx;z{{M^0IkU4f4z@q z{RJtbZFbQjpR9?3en1HEt}|3a1IRt;6r6P4_&L6JbBlxV(L2uD%svFVL%|GcY4^1S zE-EdjvlLVJ=CRE~>4U@avKc2IM&Xj1bU+*!3q77H8n zmlZS@?$n=ex71D~BzFysEA}*PzcCk;G*_|}S`@T!mk|Z=zo^%jH+S#reM~nTTu7p= z{8yI?6Ae#g++v^whjdS-^4?=j4eJp9-uMGGbFNlywHDe8dEHWDgrIOJg0j?X!QS-N z2l$Vb!hy3F-tgvEM201@5bUZ&z@QUermak-hJ1lU|IfCzmLo;Jy<)>V_y9zl({6?ddEga|6!DdQiT5yOf$6XBU19jOM3^MY` z0y62zZKpf$08jp>eQ=|X;$ur4nw@N@Laxtc07!l+O;j;I4Ag6?9 zi-%Hi>1$94>6V)Y3)(7s72KmQpJ7wY_ED$BhsnXl9^(zQpdzWYDfS~_e0VSl`1Z$- zRP|&5cp!}=rDFHJ+4=VisNT*9{o|Df-=`!pE2qz>A7&_Ew46pc+uifheoyrkj>$!! z10lpxGjiRR+XFQ)*&KLz;r(0u@dPZKlm^T_u;4sDEKQ;zJ+DMrTyWv|!84~x6Q7#P zoy7MZiQ;pmMpO|t`R>=zKjuyLHy({An;uaJ`wB_t&AnsU2sUVIdv&}Y0%QzEtoaN_ z$SH3AX4Ni$+F|e!zaiF@-_u}t{?!dW&iW~4^xGNGw@^wOigSGWXJ_bW)IU%_LTQe7 zML2NMA(Oj_8}|ki8K4qXOLK#`WaAGD%xh}|@c>LU}TCGk9$B4tZ zSk$ghR4mB(lhwZF6;l1U75W0}2y>~4?lZe7T!_vG;;sjjmQ`<#kw{CTuC-L5uG@*3 zk5koyU{)}DsLwSN*ZlRHSo8S3iidD=-XUagm=;0QL4^}a6=Lap#39n>bu^qQ~ zm99R;J&~zy;w4r&!#ff}(MNBYxop(59KO7R(AG6fifI^7R2)$#cNZEe@vHRK|5jel z(q+>zdQ6j>tWgK`0Ii~#VXt@|D`SjC>6H35^xuT5iX$7Z4Bg0kVpkcQs;Zc92gtoNo>!`-m{FGwDFl|*CSDgyc#pN!A7HJLku zm{XS(VnY2&BvThFh?CUttOjChFrK(x*OVq1cYDu(W)p??-7{D$WA%pxS3h`Na$;>1 zYQ~}To_R!zQ$rnJPje|2Axi_58FCjIrF=nJqj`L(%&HlwFJeS-PL+}8ySYUhw2W@+ZTM-GcJXS~!C# zHhGwQ zg0DH;8VG3>0~`SJl%G@_oftFhVFQeU20JMaj*vLvpdV?fltO-wkxjjlc2jQdPF>l$ zRoobEq@GnyZY2JNzo5i&1CukiQ$Z#LCM-RSV__;70={V{gi4vDPd-@YNynV<$e9=( zGloCR2URh26~gZMFSuMhY%~BUsS!b;7W@wGTiI?ft4jlB7F76?8Z4~37aU@AfA}#6 z21DoEGq7Jf-CCU&%AAsYVm!k1&@)g3ol>2^emk!%sL|Ipd4O?V&>$a5N&RwE>d?!%bpuB*jnGPy^ zr-rO3xqSRU#F|~a(DdFMX5^ph(n4>mGa2XiWm|Ti8xj04>8ViPO)}b#Se=UT3lT}T zj@!)fq{R87Qrg;mOKxTtg75lki~=%8=>%6*CRo55e`z<>68PVmw@CHP55juYq}!lb z!k|uP1zdlvO?_p)1auV&PM>Ky9bmL{d^<(Bzf^Ln4M&#&9Uj2qz!GoI4#+HN?*G5A zh>ickBFz1nW8@TuG1y^2vRPOG&x=P2oA0~1T!m_iSF1G<-c`=cRPc)PI=(+m?e~8` zMqZ(c7&?>pUJe;a3a>J19}d>zo{KT)1b~vHGGPX7B6rXxDH|tnSY~Y(08x;%Z15*N!Mnh5 zH1E0Jif?VBY2Za`i*G{~>$;i|*EtPJz@4r(YAl=oa1#tU z0=i4A>;e<)nIg^F9odq)ouq2+ku;(Uwimu1gX*QNdaYm!>Ot{S!!w|ay~k;C1xiljYW50|Er%XwtJ-*I&-EySYxD+ z0hJfwA9nsDSs7#9y@T{mlx43zUYD*jlJy91N8?{b%g7a5n>%Z3!h8-Zqe@`Oy7WrH z{En%y(T6*K|M^$x36GFhs_MOPcgjL5+nTD}Pn&uR7C0H?R^34FT=ZW<90H)16uZsoe{lk?865;gdD4T0)E@QyQ!2$k3*(Om3Z1;hz8@ zdEHP8aWVeWS_k1vJt@@8R%EC+7g4b)3dsryNzQAuCtW@xnwHh-;&XQGe9+NvMiK!x zYH31E{E_O>xxMMn+P?~&A#dzee`>+}%{Hz5Oyf>V%&2P10rN033&(Q>QL)HVC&IfA zqIFA_hVX@eJEV!i1`7w)99Klidx}iYi+hsD3LO42wQYWnbs3L|2>5%6yXl<9_pfNL ziQarY18OIUiGF(756TaTPez={dV`FX4!Z-@;;r2Zy%t>GHe!hYEYQo9<#G5Qf2XMA zu%uZJZM-^g=5li_Gpe4s$Bxy`?fan9JvyRrCON~$**Za~y88@Pe)|S^vX6ylOw)@x z+M@-CQpsJ{l1#l)aEI9A#S5cnZ>^fsannruu2ntearWw83EOy#&A=j#8FPW?4ldz~ zvKP1GVsAs4dzwgVl`jh#0UbKWnI4F2ZEW9kE+|nZ5Z_K&-cGM?lbG=t8Py5#2XMiXf~< zMb+#=I!JtNy&ZF4dsBIK~C>Tm? z?2YauX+gdERZpDRd&g@3(?kdXq7 z49@m%Fex}YhO5sRG>e`&koys>kTCGVLnbgMhKITm>So=|+xxs0*$oJ@daex<0MCD8 zuYUE)^JW$Hno8Q;i5lR`dvwN%=wA1oZs%UJ&RtAOcSxiR_eB7`5{ioR*Fu?>tev*E zfyrSRD}ZB5swn?zj?s1);~!r1CkK^hg`ha?d3EGmm zy?pBg;7J-?y7jzzevH}nxQH)ET4d$Fvpt`A+j(yLoP*D^^N-K%vvbXlC7YA>EuqU< zLU)PX)E1J~QS;_@JTqMDhd?hd>G6cOIqjW-)nUsbRP$U+I8m;t2>YNMVcy0tdafGQ z83-~^mwS_=F+&ORF(!Qynh>CjX8wctg>Au-$=Q8W#J@l82q4?1WhIHAuy0tRHn>99#tK`9xjbtu=O-}oM9E5c;+l+M1r@zm@Zuh(ctgQI zIi|#s;E-$V2Opg5OK>4We%Hs22717v2{VbiMH2QU7OlC!WRB)C31L|G6&jsNlSHW9 zz_IT?|Mq5&!IKIp=MPGIz>20;9-CxLElXDEbIzseNp*+`zkb2D^fL~U5kVGC*IL4X z>+3VoRG`5Mzj#hb&CuZu8=S4qz@`lonaOL+Xv+Yq+-5;&4wk=CJ|bV6#*|)Hu$t}A zd6}~;z(3r~E+AWyz3s%a7pGg{Gz|<%%E4p~W)R==fCw~O%1AkBGGpHQcyU|yvZ~@y zH3g)afwGv(6KN;^m_|CVcD6O~!^}Iu##u1?f*~E7bp#7f`g6nkkr#WKTTW?qd0Tah zfdl6%~|vYMMX3OrWk3jUa9#HH@^NwaYly8u{cZyRrc&e)eEvKc}

(Hdl5 zRo%N<3XtL|;ov$hbip!>`2gwi%(SFkvLYhog{T#6E)**afOdJNlL0ZH z82bZnwFiZ%fTSCpsLFId-t(C852T6ygTr1A-SM5))oBI^MNgWf5>Fkl!VU{YFrh?q za=J^T4rT~-NvhT(N_o(aIzk0OyJcu%AK77;`1It*YA(=0R+r%4o4>vjV zI;sb6nQHFcK*-{{IlGnfIb@CV!BOJw;!yS%i>2!%vwy+G88m5_QP|G8L{C;439%$L z4A|pP5047mYNa=21z8iZn$6>6FmJmap*ojyO8@|xM?e)!YZkmp%K=BwO*$Bl=!8MV zu||^BhOFRp`cXZMh@X=zF}cnakb6YGbIQl?S^`}Sk2D>U2c0yyAe^-Kz{GY3B%$!c z6XrF|d$kD=c#C3&v0831^6_{$_edQB>#yv`jeUSfw2sv`L^~J&nFER=~|5viT}|5;Kd# z7)=N*t4nqx5bIQw_@w3G-~J#n&6-kjC7flGyqHQU6t+qU7LGA9U!J}TO1Qsv9keDO z4|rhclF2wmwVJRY`bldA`pa7_m2~)g#S{T5D>@wS6uwU#jBxhh7vY~wzY@%F*SFq%<8)bNld9<49I-zq&ej=CbwVDlyzG+#kjZ->-1}4Z{sIJn8ORqfEGZK}5A8lGKLQ0?)q-;)HrT=S^6E2jj2rY(_ z=-Uw_h`%z08>Y+nGl3io&o0quM=)A4{;cyfaMB?G)~bLCS9zSnhEXL_jngod^vuNbZMRI(!jPJhtcc_8U1I zG9Nm76b=oouvITnVSnsw1y>IWP!6QX2)`Xix-XS3D8$f z4FW-fVk1(^63&t?x^OIq-Zj-esGY_PxS3ugLc>PqyoZ^g`mJ)f+UA-gG-L+noJvNX zipUiJsr?dt#V*bUEMU573Ud}*66e*hE!${4yvFrvsERLp(h z!wYd5)sH+MAkms}n$(Xr%AlEjP6-Al%OWcYRp1k6S}q&1=sF_`f93R!BAtw`)#Wvd zZ!n2R(8TG!+==j10q-Gv1xZMG%IW<#D_!z?d+B{O0JSnk1PE*CWDS6tcSxFSWs4Bj zAR_Evf`iZ+wx%AIE-VYNpCZWXwmPVt}YAsF}O_^fC zy;L@`h}UkdR-@kOb{n&q|EgpVo&Jw~|NnmvAjDXusg@v3y>Se)QVVA$qlG|(ppg=Cbq*@8&2ga=Z3x) zGxq4^0_6wB7-nW)!MG4bIQVZ(W%M}MIuFFtU943S1m(3Qf5LDqpOF5R#XMH|eKiq1 z?rVTx(Nm0Dn@x;-2gw%PnB5De(mqaR|7s_|<;O317Dx*XIid*``Jupr1Z4p6o3v15 zDH%GHAu6!28YaELvq70dTd;X>#16dL0@5r623+IrvdNgn6-QazsH5M|WpcS%?{yar zy9zC$yXbo{GYhMH5C2|}N-H4-NxFyW87fR#gJaGys8g}vg=Q#i=@?W;f?@Im=&9gZ zS>7}CV-rFSL!iXNNX{8>RFc#%;X;fng?3fE2WRbW!OsR+q>4jYI1{+Bp+qGmD$?Yk z;J@3+cBOjy<5w-K@m&Mz$(*IkXevSQXK)!q6ZeguMYau^s5!fzDg+0AIE~f#>Tm@} znEvHvHRocUe2-4&w35JUBXy&A)D93EYx&-Wp0IhM&zCN9r$8B|odQEpT#Sn&+h)po zfvWK>a*{A+|ML?Rze_D&GN#9S(O_{9@uyIBEtvG_d?%S!nDcHCKRwZkpv0X zih~~MH82%e?}jobWa@_;mgOwSiR%;Os7O)y_RB*O|5RemnZ)F&-u zfob#!CR~>P1fd>)A@iEU5rgcF#td?MCD5fMF)OHNAJ6M&BDo+~y=sIZ?4Thrg+jL` zjyVf(r-2xWD$e{_VT1uO(6UNZhVS|`PG+4y8lTgE*63TJ^ZgML0WyTxQ7Zbq4iuQ1 zMQwRB+$#?!#xQzBfei^$ZvSnN@%g&e7?D?zMo@CS<A}21~LOv;;M#qM_yP0Ogkm0);a+zVFkRfA9 zG#^LOG?HScG9ZMPRu?2I)sAQoAOJAdSRSCBu}5dM>ngeF&U>y#BmXo)fV9g^HJGrd zA|zjl;`Ee*?RQe+#siQj@fz9u6zY z(-fl7q|mm3#hg~G^V~InYg8cr9O?Z|3SmAdVJn;y4@H7;Gk#?Q41swvwkkf2Mxikm z(*3~%r^p#S1#`}PbC==#_tb)SljwA+;;FRuA!CQWtfBFsL$4<3Xf|*dqs0|FeazL) z75$Mc8Uw>kB2h2NsG22s_f;j}$^GlPDD<&`RkeUhKx3-i7bZtVe5Vv6p@H?Dk?uie z6_#0peNF(pD<*(c{j5E2FaXVi>~~FuIU{&iG-LJMVa}M79h)rH0NpN{DSwUQSPXMi z2r0j2zFrbODF*bAc1kFK$(@B{k}$N&!V-3(qg1LH1@!Y=zfm3NOv24D)6_Y1}uxjqZ#*gUrr!#N$;QlK8 zJn??ZZZWb8a`YYqr5yAp?GcQpLyRBaFIO}s8~Y(OW|m&pvC1KTfhC>~@nNC6aE6oN zE8>LZUK}pbO!5Ho2$YJ@&={M(FfXF%(8syu+)R#Oyrw% zNB7TaJ3{LCjb@JW%4k8szj#NNL}}MjuRQ0(FLERm<$IjF9stD_2~72xYlTlHkk7R$&yZotV0zfrK3xhz#{&BSdK>GmpEN2;@ z;=+Wh$6FY690o|~D6$!56r4;}8~31T`s6GsDK||Nm_|{h>}P~ct0NPTLMTQjrqR*0 zEvM1nR*%$^675-Qe@LBH}We zIm`sX5!rxm56Cgi`)Bw|JlyLv4q1q8Tjo~X6hADBQ)BuD0z+DX#vgzSzQZ+}Qlq~gg-R=SxfT6R}T#&y6NX`6IxpF~0$X9>b`40w_bt)Y z!w8xhc*bDs^msN`&uK>^;qc`!Gp+tgJQ&_dPf68cR7*CKGw5_*(uJEg{*xr4vmHP@ z;;pj#bpW=zcxbMxDW)T{dMlJ-F6Gsgc{5qB(@Ug$HxPb3u~#BX=q~~7GV;h;qcNP- z`#%!}N5ILu*TjP}3P%vFslp+D$D*-&U17?$=f~u0?E*oAzOC?BjAE>UX4nO=p=d3K zggrUhZh3_H>QzApV?grJP6@mW*Jn*&ZRSK|PnwgvG5%d#hsT)kKrzR5=kcDOQfuR- zUg||D&hv*tX|fqnNgzQ;Us3A5=Af#oc*vcEoyEZ-?Cds7sREX`m+2%)=s8~HPLk*P z$BT-y=&Tt>;Q4DR4j%@D;Id0hE{+5igy7!h%8}3`ax9VkqCGOOH6u(d9T>RAp-xH- z=1Ce}-BoQPqR6DKj&Nw+BZd$K49Z5K#20l@S0thMrtwZ_QPnu@001YF#)?eeK;gI{ zOf)_OTMRJe%kYXKZjgi*WLJtyPO ziQaA%vpN`rD7N)APPdxmWIHiPKQn6#)Y#GLWQbyqDRup@g7@1+?(or%5(84b(BlGW zQ(v?6DRERqu0t&2=z^M!bl3<(AZS;qNFh!eDMC9-bd-k5;d0Wq`!gILgUEW!h!lRI zL2~qfZBOqUj4V_OA=z~tKk{N8szh$@$=VyAVUs@L$X7wHDuxKn;4d~NE%_c(^o`?4$y6qu~{J$e@U{aXB;F%78!;mUGRE6rq6!hfUS^ zH2giY_1p8?{9&ecT~A|%-X&PIZ&DLjRMw;YQlu$O)Yv;>02j5|i6PWCSK>Q?E$Y)C zL*h#QI7pK<9zrJhy~C9qYUECsz2Aa>h1VV6fs8cmLxkQ9Ey)-*E(N-|LOz+2{8p4A{rzu0f-}VfOu?-4T1-8c zBjn>fdma(;`<=31$2Q+#Q|CRXaT5!FVFks06;rr!-B#KUJ#=$aneP>Anxy=y2eRS$ z+F&`yzyNiBdMiy4CFnYi9hUQ4BtZT;F{PQnkxUYO6-1*aR4(nRTWzi`hx+gescoLf zsKn#J%0jrEUu?Yo6Rxja&2xc%b~IW#`&Ah2XgFG?%W^;x^zBWh)6lLA!+g8rY#U@V zs4am%a&4~vc!dT1RHXskRyT>Ci^wtYpBr1mBRd-lglvbI_7pu(vlU}jV1irHP6Oyq zcY<~123%UXqK$(Y-_IZ3!1bJn6?7L`bqmcVvDX=nT1t-nNQ4W{y?#EQKlp}UQXEz* zRtx^S;^EwNhf$LGWUqNS;PDs;aJ9`NCdj|8lTaB*=G~o#XZ`dZK|+g|VE!8wluaW& z#``%UqKHKy!DN7NxatBJP_fQtEMu+Y9z9jc(7FT0F#MNJljKgeBzdXfPE_wT*EHf+ zPoh|@bLS8Ypb@Am`Qs2vAfxKaPqrbbb&Xtl_Y~a3?-7gv4DB|n zIbEdb1zaFCe>zF4EJarChz~3g$&5zAcV~38;;%3udhi8oJ`3e|l?QN&dZi}I@zDpA zDiXWdcGBn&*Y^qJjc;f1h7o2@BP-2CIi!(_xq0bnP@WEP^c)=@b~nZSw=5mR_58cl zBZ5Tq5c;@7Pw1drU7s17YjMUR_uBN!>v6_hj$v}x2hbn?Vs=!TxP>H@_*$p@G`D8F=#{Iv)NVoPBihcwzuw+0}^fGDL z`CWGt;IteJc_^O1u~*vs-p+OcS{tEUvJNFXA6WsugnhIQHb)&dw@TU1+}BrpIc2gq z0GY)%S-MKaq|*Su*r1B1 zfJH2OD+o%z|L(65CXj87P(ilAM8Qv{V_?0M{qO}VbvxO`P2iUt7Pe-n;6BYL(T#3o zMpW(#YR5tPF>+x=Xqx{pn7{u=4c_EUU>@$FEz56ke=f7C0Rs5v7dt^Y3rCIq00~WS z>d;Q-5itMmhqo4CoJ(XS==e~j{W^=1dVB!gOKuudS)7;h%p{;W2Bi} zWPK{zAs;Ia!O-3&_Ar6A^EYRHxevkWQvz){vkGMRX|ES%e8+bRl0-TcNb^ASb(u;p zOq}3XG!2nQQd9vM%sQ~fY}j!MjY2qtIlmja@=#t5z*AV22>jo?QgLvJ!K{!rqlzcx z-3+ETNMy@B3a#t`qh|^j*$+d^mHxKI#24CHDP4k@rwf}#5-B5MM5IL>Eircb5x-xD zK86zu<(K4)swXpE<_P(+i%HaS%CN}Oo*BQz31E$V*cAcQEJWFN+#BDxnS%dxxJg@7 z4;93lNf*ST`bL?N$0}%kbZsbdgFH_-V|{W(vr2mp`Tg8sEGr2wpLBpDVAGB=xPS=0 zv4gS(%8i!TnS8b2XEF(oOo0py9ZupZ=|YH&W1EfFb)5HurBy)tPWh@Hw-3YO@k~Y~ zR3}2OMZy8l?@1oDwGkMWm-i%J;BiC8vU-T7VGPp}r4>Xv$fN>E%dQt26h$38(3x5e zCSGnJ0Ed9;5lOdN{EgGb?+AIe4cAQ>jsa^HcQ`rVSabV zZg1JP{;#3A{gb@nbcLoinT1M!{~lh5y=;Ec04?tu29df@d|$D^)Jaxntq@);{<|1b z(@S>cOR`TJ&z*PJ+iTG(oXMgyfy6bb0Qi7it0_IJLLV*ykAp!7v<&`&o^~u-izU&0 z*r=SBsPu@A=kyl8O`o67wi)JP0y3l(U3ym4eqym%1bn)^I-${Vde0;EA5>Jm&9IrX z3aY$3B={kWFUX?OkgU)-2k9Y4CNeP86$A{|C>aiM=L`f`6%bfQw?1Zg&{82}ye!X- z3K6)MB7k(7?_&U;o!d zeUKp2bbU}GKdg~4Gw~FE76LVXQ-9L6@OR53Wobr;NQz6%KryA;#I%jbR$h5K7CWPV zkW&Vz?l8KkPK5x%Y`Qd*?&ME$sOz~*qoO;nIo?Y`5hx*@;-p3ac@#WTMwFuoiB@V| z^FMLZ5o(}(YZMXV^RoYLx_U58;^dtL+HFGj)TT7958IGqTbhav7WT%^uJ@l0^F8Oz zu8386Lhll#G(GeTaal3h+PoMT$l8_Akrv)t;dwQ|st6E}Uag>WqK1fWz5IE6{apO( zzmE40n>PdngYd3pjscoLvsFZ8Q}h!Q{qfNaN%`nZWg@d#1U%7YFmR>@7>y#-`Nc*oTLA{ z4Dz_e4cIRk0r69lHtdG8?nfWWEtXXe8VF!CgfzE8A4pPba!gsxg*KBu(EYFUB!IX)w07np+5JCOx&okW!Vf?`%PDZ6&NE}(s7LK9eh zn#FKWD-T9o+t!8*&+n4J#3{VmSBY;dj1>H)8-8OUD;$ET=Qj)zJ0nH;Xveb{e%Q5O zjHjBUsI{dj*9X6JXKQNtwIT#VC>i}vRiTn;C8u`H@P3OG!q`Y*ShFmsu0Y{XgH3QB z{P}%hqQ z)M9resRpU03TXc_Aw%ju*BDlhW;=scT^t9OsWHAgHjeb7b%B@BtxF`$*`g?w*u^>3 zS8=$yS3c5H_$V~;VVcHaU^TVT{<9e2YIyY8txc_&Z)wXEJce#d7OX+>nM8Huj9ihe z|D`g8i~Le`T#}cNMl-~0c}IuppMxr%$h!~os|9beA^z*<(j)nFdWRjCwYR#|kI8jT zeEVKaGvkZ~yGoHpzD~2&+O_g^s;!KHKa5jz0%{?t&o44?X&Yp98+jSQpWkLnjrRYV zE%8~SLbx#z>R{@MeGL&P9@32exJ~-X{LO8t|Ho~KuaT<%3H9S!sDh_f{%8s)ppRZ| zqF)(A_w2+?WETmPBPO;tOTFKv$e1-VM@zseIhW~UdY%sIV>e>T-fE^*CFFFAcDr_&4xv&ARYcW>QkM0uy( zFd!AWks@R6!v1Kx#uiGqaFU(8OLqPXYg3hlQI7jB(!(i|Pt#l0)^rb6h^l)_(6O&W zISxgCAHM172}-Jh>h_7H=l5uQA{dYxo27>`K$-3LwGra6^}BO?*8997XS-Qx&3Ijt z#y5~_neP+d6>_}!Fd{#85Kl-1B>eKOBi4KZRwA-9prG%aOo{lgskbf-o~`{G+@KV8 zhbU#T!M{H}BL20}aixjuSU8eoAc3Y_4oL2*Ic^M?`yWINIe07d8}L#PQYt{_8yUr13;oA@2C^X*E^o3?=Py z$lvO#@atFXVt0vLLYP0qoP!}ipnr1pie?2=FG&}YLN24AigXO&bDAl{_y>0t`GmBvxvIRQ)5 zK}cFe(CQetI>Z<_@S-(kWg!@HS+kxH!D~w8A6Zm(!sp&0By| zON17O&M;B$73@2cZ=-;3;#y`(=Mn0~#N9Evpd>FSK6?!oDpTCAJdiaHXZ8mw#Y4zm zuYVl{=&`lJk%lZDDi>0>er8*{6Zny>{27z`g8{+9gq{~M*<*xJ&*!~9M^j|n#dLY1 zn9d<1s;rLk1RdO>&obTDWR+2sLhC-_9eqR7(A4|J``hikl_7Ma^F2=16{1BkkW(i+ z<$3PL!qmAlue^7I)|c|3hUdM{E8T@eJ}Nrlh~zEyt($5tl>)X zg*a$qB!W=}4L%r{peq7hu&5C%$@~2P}bY1PX zZmLz2RnfVb%ub)p(W2+vgI8vMhWKBXB8L*((siKDHIM9K<;}e9q8k1&~J$tz3(G z+sw<64@j8G;Gd=E|16f{?(1?tYqe%eF`fJ5{5(+#3>xv#R2g( zXd1&8rS(-d8X|F}VtYE}r;FMMDvV>?XGQ@s{>3+_-+n)yM+96mRnRDAuT2tCKP*vg zRlYJ4Iu-t9Uk+$3d{YZ>{};P$)%^Sd#QN3Co}<`*(3L$47iLN`17AaKYc?$*a3MnK z>+E0`Qsf1xn8)B1%7pn>+iE9h+iali>E=R8RKdKG*v`C9Hm%4G6Z za6bH<7zlbtqJUf#b8b)8EjH?I?*;%a+-wpTvgA=Z4MW(<^*5a2YzTWCB!&vqm%QJa z$2Cn$pYPL$wF~Juso^?Ax_u{F-#N0#PU|_!q(pNX8i!(W2YZ&@+)l2Uxi&#Dxrw{1(e?eFob(|8 zM2RP5v7HvQY07x8lLLo-K&QLd9FdXYgEOBc4SLI)CLHn=cyc?Uk9nq67 zg~gvWFLDL+*=&-rN%F=ddLNwlgA>%iahz$xkSEgz)qW?4R!?~wY{JhIssJQ;n|pKV z&75Iz9{gBK;r3Ag!9)uai~HFP)1a=T#OSxK?1N23ND6!@pIbxx$5VfzF6VE<)7Zml zk5Pm%)%c&i*ey5}O-%5#a}ad23JdREe_y|^5TVqkTO^qnkr;nmk7zHq5e(@~wemSP z$KMKH(OB#cFdg^m=pyu*#OMlkT^V=*dl*6kOc>t>i3%t==yzqR0|8M#7*_wT$@vgq ziES_&QU4R4%jMx#o4eVawLLP;al5U>P!t52730xYyJWLL=h6BtSrJ17?-Jm|czMg$ z=*kjh=()(Q#8}+o#tJX_uVz%+;Hd>g8TX*;WfuOAYAs`@f%7`$_jdtz=s_23Zvm!t zOHLu8B76{XHAHQEOrw!`fE}gnkBg*_31A!5B@vj}bNh9!R_5NDq1qC)!4UhJE&qut}7$0NTzsW{9yDug4B&A-;Ty*>Y!)`m5~@oK0_M zTQFcDs^r4+dRJ$-@HOd5Uz7TZYtz$CepwD7MXiVfz=a&XN zL_+4mun6n~)Lj4vqZg{f^9|YhzeB(bTJIt&)jz8yU0b(w?2@;21vT|I=jwv>kbQv7 z<&qFoU5aLG=hvj&oa-o1-D@Ojo{dg8XGQj|#9wgKuH;2^W_q_}-nW7hxTN}+gI$uL z%uZ0izzjP^{^>>Xh4zU;E1#GxC!-mZ_0C1vdua^klot!nT#>TihZe7#y>Gd|@A*vV zW^vieA^&<~1BK$^v8pJ9Y*Fji_?ae=OBEWN{Fa`1*Oymu-*2rMd(<4n3hVg}84S4A zcFN;zSL##n8aVQZd!IUq5bZHLti$`QT2$m1*edQxe}Yt| z+^>Pl%vLKL;ej6xt^_$8y7<+jO8Td1hpxM~g{0Lbi|uN}$KIT|U6QZm0%=t6-Nl=O zGRcfhkIJVV0)hC#SAI_2uD8;l4NMudWuyNGB67y{e}Nb`hrVCqi|rhfzTa#mBAaux zS=(!_|F&ewBlKrCJmGyTh8BV0mH@+llAGk2)Lye&4I0lo6kVyU>&oeapr)!0!rb2M zFAPloZeXZ>-m zjP_585V~@6?yc}6%zg>!IyKi6moN8d6ujEx{(e{F zn|~#WNMFU7H*$dIPjKo3q>C9j7YEuwly`ncK=~^7W)u{fMrBmnXj%q+utbHo7Bk`355w+Em-~1*S;NO{r+30?y>DB0Yg2A7F)(oOMgIEF>yft^nFjER1qZtq@3Ynno=mm zC&`?N=t?k%2rJMsjtQydnPC7wOoUumSCmiK&0us&6-5Ra5OAd_MgOD> zCAdvrobfzNwB3dE)zih0G2AEWmA2SLSln~QmNoIB>uKR-?RH}?O3m9I_GX~f!uh*5 z*3TbxFTtdU?NRTLP@?{C-<2bK=vMyOp^O>FLM-s}L0M>Hc3`FrbY0|6z!OLrEqa7^ zwFqSxEc;<1-iFvf(-~jSYIa3QtDFAeTh?fGiT)G!8D50|0 z%^}XWxWbh#;OFX$ol-1Of`AqS$%g&LgJXL?Gb{ua|1S$6$eAjO4}13)G($~bKire+ z1^gJFI@p|?VNa*9(75t*K2)KGgpQZ-1&toXxyc%asij2(0bf`Ba+Z2^w{lU)wfU77 zA7bsgQcHCi?LX^cpIRe2GdSy)s1gZZb4}+zprByZ>Scw$E9Vf|dDw|hm2r3Aj+|0o zo$>6;g98zsm08yK@|5bxNCF~8@b6?c^gssC!_VL27VJrZ9qqq=8^NEbGD|s|^7h%k_A2`qs#+(n zZqiHZnDWWjIinLuYN|-PZLvK+z9eGT=f<(|d_5#7z5|ZaAP&q?BDo^%>$Ug{vB9dl zhToc$d6X#+w0soB!d0__tFB^?<@Wqcwj)`WVQiiiYvSv;uO9$H=pwSY(~90`Rb7_@ zEIo)a+iN~E@J#vql*_FBDWMs?By8Rw|0#qG7mA(Bo>}r4Ik9KIH;;WFg?Z0wHDbNp zkJJL#`0YN*AuW3R>5^n_n}?gGO5v(L+kL(-n&+H%V^n=>wL+aCy_0Sl{@)`9JI=pz zmB`I{!3uk>Pw5!!i%Ri=@IZJK1fYpQ!qVYNngT-(I%{T3y@s>Sq$uVhM`?*4fS@bh z!H>y?_S;f16Sq7_ed2kQg7v;%_13ww^32h5DQy9Y{E3~7K5YPnctak%#4E(Vj!bTJ z4mL%Lo=$ddz2${iMf(^Q43sRv6XG6?#Z{iRHyqORM*}an+W*{GptcHW&MT(x6XM^JDF8r&!6<)jltI;#sANcan?Bx$H6KMQ1H+t)&N3xPq%Vait6iQOJYZsFPn~nnAN9>1koaL36{}H< z?uqiin)&&HAaSW`Ii*!wxqbMI6vm-yVKw{stRb_>#VgH}{^*cjeB0KCN0L<%tH}O~ zc6W9FsS% z){)Cemh8)G_tg!D1cj-V3R#4+@&yTmnSYujLXq*p28P)|N*nauuM*vZgWo*FO;D^3 zpR!pp9kmUvN`BZ6dnlIWjIYEY6{E-bEu?PtEl#DkZ!LWmLL`inC@#k6wZNz^O_E{e zwmsJhuhFvlp3{O}QotcQ;qdxGWc6$J^8jAOF4T42*^MK>x&zj3Lt}{cYN$Z;KQ%jUc+|xQg|VKd$R)?c&X#=@|69hD~Y{w7C>==g}br*n69xRu@l(I zF4)PgZXfp9;!07V#W`n~v7@X>@|B&;%dS}y&g&@z^hKXCXBV!g0f*7H>=NF53A+C0 zsO**L&Nr?75_xBjPcbna9|xbZN*hqny>Yf9?gF*S_>cpT9IrR4?HxiTAgE1{nu(cN z(P~riTygG8@#~Vmut@?EjP$Nd=7uiJ17|Mt0DLZA;eJq~!5-K3v36^W5*`#dcl&fX zQ^l5+x&^vkpom9zyi4avSD6)FG3FRScF=us8U2Oo;d?WwRVa0W4Vy+`M*Ou)43{xI!QqtmA~9Om*sY#Cpq)#^YPKuvmjnjjgdON>=ie z5KJ!D^9+AX;>&$A~Uip{)fFn=F*<#brJQHbIRSF_*Pc=DKEM3inh9_ z$?AOedGQ#o`{mx=SvcKCeEfX&7T;~qQ5B49i2ZEVi zI-<{E*B|4u3q^V&9+unbJZ{+ZkhV7Ks;02SBBMgY1bUeH)TCt-I4!rm5BL z-^Z&)ofNLQVDbge$Y4C<*f7esPQSW79<8RYke;ovUo8Y!vDOkGK6uTlUF=&mrNH!u GhW`c$;>1+| diff --git a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.db_info b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.db_info index b62779e..8785bc0 100644 --- a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.db_info +++ b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Mon Mar 6 11:43:53 2023 +Creation_Time = Tue Mar 7 20:31:43 2023 diff --git a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.eda.qmsg b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.eda.qmsg index fd184a8..bb75cf7 100644 --- a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.eda.qmsg +++ b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.eda.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678104322872 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678104322872 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Mar 6 12:05:22 2023 " "Processing started: Mon Mar 6 12:05:22 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678104322872 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678104322872 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off LogicDemo -c LogicTop " "Command: quartus_eda --read_settings_files=off --write_settings_files=off LogicDemo -c LogicTop" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678104322872 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678104323026 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "LogicTop.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/modelsim/ simulation " "Generated file LogicTop.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678104323054 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "612 " "Peak virtual memory: 612 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678104323067 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Mar 6 12:05:23 2023 " "Processing ended: Mon Mar 6 12:05:23 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678104323067 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678104323067 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678104323067 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678104323067 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678221946677 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Copyright (C) 2020 Intel Corporation. All rights reserved. " "Copyright (C) 2020 Intel Corporation. All rights reserved." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Your use of Intel Corporation's design tools, logic functions " "Your use of Intel Corporation's design tools, logic functions " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "and other software and tools, and any partner logic " "and other software and tools, and any partner logic " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "functions, and any output files from any of the foregoing " "functions, and any output files from any of the foregoing " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "(including device programming or simulation files), and any " "(including device programming or simulation files), and any " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "associated documentation or information are expressly subject " "associated documentation or information are expressly subject " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "to the terms and conditions of the Intel Program License " "to the terms and conditions of the Intel Program License " { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Subscription Agreement, the Intel Quartus Prime License Agreement, " "Subscription Agreement, the Intel Quartus Prime License Agreement," { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the Intel FPGA IP License Agreement, or other applicable license " "the Intel FPGA IP License Agreement, or other applicable license" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "agreement, including, without limitation, that your use is for " "agreement, including, without limitation, that your use is for" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "the sole purpose of programming logic devices manufactured by " "the sole purpose of programming logic devices manufactured by" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "Intel and sold by Intel or its authorized distributors. Please " "Intel and sold by Intel or its authorized distributors. Please" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "refer to the applicable agreement for further details, at " "refer to the applicable agreement for further details, at" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_LEGAL" "https://fpgasoftware.intel.com/eula. " "https://fpgasoftware.intel.com/eula." { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 20:45:46 2023 " "Processing started: Tue Mar 7 20:45:46 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678221946677 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678221946677 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/ LogicDemo -c LogicTop " "Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/ LogicDemo -c LogicTop" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678221946677 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678221946861 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "LogicTop.vho /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim// simulation " "Generated file LogicTop.vho in folder \"/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim//\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678221946897 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "613 " "Peak virtual memory: 613 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678221946911 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 20:45:46 2023 " "Processing ended: Tue Mar 7 20:45:46 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678221946911 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678221946911 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678221946911 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678221946911 ""} diff --git a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.smart_action.txt b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.smart_action.txt index c8e8a13..11b531f 100644 --- a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.smart_action.txt +++ b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.smart_action.txt @@ -1 +1 @@ -DONE +SOURCE diff --git a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.tmw_info b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.tmw_info index cc89dbf..1bd50f7 100644 --- a/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.tmw_info +++ b/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.tmw_info @@ -1,7 +1,4 @@ -start_full_compilation:s:00:00:18 -start_analysis_synthesis:s:00:00:06-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:07-start_full_compilation -start_assembler:s:00:00:03-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation -start_eda_netlist_writer:s:00:00:01-start_full_compilation +start_full_compilation:s +start_assembler:s-start_full_compilation +start_timing_analyzer:s-start_full_compilation +start_eda_netlist_writer:s-start_full_compilation diff --git a/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.eda.rpt b/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.eda.rpt index 8788194..c5b2604 100644 --- a/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.eda.rpt +++ b/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.eda.rpt @@ -1,5 +1,5 @@ EDA Netlist Writer report for LogicTop -Mon Mar 6 12:05:23 2023 +Tue Mar 7 20:45:46 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------+ ; EDA Netlist Writer Summary ; +---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Mon Mar 6 12:05:23 2023 ; +; EDA Netlist Writer Status ; Successful - Tue Mar 7 20:45:46 2023 ; ; Revision Name ; LogicTop ; ; Top-level Entity Name ; LogicTop ; ; Family ; Cyclone IV E ; @@ -66,13 +66,13 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------------------------------+------------------------+ -+------------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+------------------------------------------------------------------------------------------------------+ -; Generated Files ; -+------------------------------------------------------------------------------------------------------+ -; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/modelsim/LogicTop.vho ; -+------------------------------------------------------------------------------------------------------+ ++---------------------------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++---------------------------------------------------------------------------------------------------+ +; Generated Files ; ++---------------------------------------------------------------------------------------------------+ +; /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim//LogicTop.vho ; ++---------------------------------------------------------------------------------------------------+ +-----------------------------+ @@ -81,14 +81,28 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Mon Mar 6 12:05:22 2023 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off LogicDemo -c LogicTop + Info: Copyright (C) 2020 Intel Corporation. All rights reserved. + Info: Your use of Intel Corporation's design tools, logic functions + Info: and other software and tools, and any partner logic + Info: functions, and any output files from any of the foregoing + Info: (including device programming or simulation files), and any + Info: associated documentation or information are expressly subject + Info: to the terms and conditions of the Intel Program License + Info: Subscription Agreement, the Intel Quartus Prime License Agreement, + Info: the Intel FPGA IP License Agreement, or other applicable license + Info: agreement, including, without limitation, that your use is for + Info: the sole purpose of programming logic devices manufactured by + Info: Intel and sold by Intel or its authorized distributors. Please + Info: refer to the applicable agreement for further details, at + Info: https://fpgasoftware.intel.com/eula. + Info: Processing started: Tue Mar 7 20:45:46 2023 +Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/ LogicDemo -c LogicTop Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file LogicTop.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/modelsim/" for EDA simulation tool +Info (204019): Generated file LogicTop.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim//" for EDA simulation tool Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 612 megabytes - Info: Processing ended: Mon Mar 6 12:05:23 2023 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 613 megabytes + Info: Processing ended: Tue Mar 7 20:45:46 2023 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.flow.rpt b/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.flow.rpt index 79d0767..213bbbd 100644 --- a/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.flow.rpt +++ b/1ano/2semestre/lsd/pratica01/part3/output_files/LogicTop.flow.rpt @@ -1,5 +1,5 @@ Flow report for LogicTop -Mon Mar 6 12:05:23 2023 +Tue Mar 7 20:45:46 2023 Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition @@ -41,7 +41,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Mon Mar 6 12:05:23 2023 ; +; Flow Status ; Successful - Tue Mar 7 20:45:46 2023 ; ; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; ; Revision Name ; LogicTop ; ; Top-level Entity Name ; LogicTop ; @@ -104,7 +104,9 @@ https://fpgasoftware.intel.com/eula. ; Assembler ; 00:00:01 ; 1.0 ; 366 MB ; 00:00:02 ; ; Timing Analyzer ; 00:00:01 ; 1.0 ; 540 MB ; 00:00:01 ; ; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 612 MB ; 00:00:00 ; -; Total ; 00:00:15 ; -- ; -- ; 00:00:25 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 609 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 613 MB ; 00:00:00 ; +; Total ; 00:00:16 ; -- ; -- ; 00:00:25 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -118,6 +120,8 @@ https://fpgasoftware.intel.com/eula. ; Assembler ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; ; Timing Analyzer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; ; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +; EDA Netlist Writer ; rendlaptop ; Ubuntu 22.04.2 ; 22 ; x86_64 ; +----------------------+------------------+----------------+------------+----------------+ @@ -129,6 +133,8 @@ quartus_fit --read_settings_files=off --write_settings_files=off LogicDemo -c Lo quartus_asm --read_settings_files=off --write_settings_files=off LogicDemo -c LogicTop quartus_sta LogicDemo -c LogicTop quartus_eda --read_settings_files=off --write_settings_files=off LogicDemo -c LogicTop +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off LogicDemo -c LogicTop --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht +quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/ LogicDemo -c LogicTop diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.do b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.do new file mode 100644 index 0000000..f2d400f --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.do @@ -0,0 +1,17 @@ +onerror {exit -code 1} +vlib work +vcom -work work LogicTop.vho +vcom -work work LogicUnit.vwf.vht +vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.LogicTop_vhd_vec_tst +vcd file -direction LogicDemo.msim.vcd +vcd add -internal LogicTop_vhd_vec_tst/* +vcd add -internal LogicTop_vhd_vec_tst/i1/* +proc simTimestamp {} { + echo "Simulation time: $::now ps" + if { [string equal running [runStatus]] } { + after 2500 simTimestamp + } +} +after 2500 simTimestamp +run -all +quit -f diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.msim.vcd b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.msim.vcd new file mode 100644 index 0000000..e3becc2 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.msim.vcd @@ -0,0 +1,180 @@ +$comment + File created using the following command: + vcd file LogicDemo.msim.vcd -direction +$end +$date + Tue Mar 7 20:45:48 2023 +$end +$version + ModelSim Version 2020.1 +$end +$timescale + 1ps +$end + +$scope module logictop_vhd_vec_tst $end +$var wire 1 ! LEDR [5] $end +$var wire 1 " LEDR [4] $end +$var wire 1 # LEDR [3] $end +$var wire 1 $ LEDR [2] $end +$var wire 1 % LEDR [1] $end +$var wire 1 & LEDR [0] $end +$var wire 1 ' SW [1] $end +$var wire 1 ( SW [0] $end + +$scope module i1 $end +$var wire 1 ) gnd $end +$var wire 1 * vcc $end +$var wire 1 + unknown $end +$var wire 1 , devoe $end +$var wire 1 - devclrn $end +$var wire 1 . devpor $end +$var wire 1 / ww_devoe $end +$var wire 1 0 ww_devclrn $end +$var wire 1 1 ww_devpor $end +$var wire 1 2 ww_LEDR [5] $end +$var wire 1 3 ww_LEDR [4] $end +$var wire 1 4 ww_LEDR [3] $end +$var wire 1 5 ww_LEDR [2] $end +$var wire 1 6 ww_LEDR [1] $end +$var wire 1 7 ww_LEDR [0] $end +$var wire 1 8 ww_SW [1] $end +$var wire 1 9 ww_SW [0] $end +$var wire 1 : \LEDR[5]~output_o\ $end +$var wire 1 ; \LEDR[4]~output_o\ $end +$var wire 1 < \LEDR[3]~output_o\ $end +$var wire 1 = \LEDR[2]~output_o\ $end +$var wire 1 > \LEDR[1]~output_o\ $end +$var wire 1 ? \LEDR[0]~output_o\ $end +$var wire 1 @ \SW[1]~input_o\ $end +$var wire 1 A \SW[0]~input_o\ $end +$var wire 1 B \inst|norOut~0_combout\ $end +$var wire 1 C \inst|nandOut~0_combout\ $end +$var wire 1 D \inst|xorOut~combout\ $end +$var wire 1 E \ALT_INV_SW[0]~input_o\ $end +$var wire 1 F \inst|ALT_INV_nandOut~0_combout\ $end +$var wire 1 G \inst|ALT_INV_norOut~0_combout\ $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0) +1* +x+ +1, +1- +1. +1/ +10 +11 +1: +1; +0< +0= +0> +1? +0@ +0A +0B +0C +0D +1E +1F +1G +0' +0( +12 +13 +04 +05 +06 +17 +08 +09 +1! +1" +0# +0$ +0% +1& +$end +#200000 +1( +19 +1A +0E +1B +1D +0G +0? +1< +1= +07 +0: +14 +15 +0& +02 +1$ +1# +0! +#400000 +0( +1' +09 +18 +1@ +0A +1E +1? +17 +1& +#600000 +1( +19 +1A +0E +1C +0D +0F +0? +0< +1> +07 +0; +04 +16 +0& +03 +1% +0# +0" +#800000 +0( +0' +09 +08 +0@ +0A +1E +0B +0C +1F +1G +1? +0> +0= +17 +1: +1; +06 +05 +1& +12 +13 +0% +0$ +1" +1! +#1000000 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo_20230307204548.sim.vwf b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo_20230307204548.sim.vwf new file mode 100644 index 0000000..7eeca94 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo_20230307204548.sim.vwf @@ -0,0 +1,365 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 0.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("LEDR") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 6; + LSB_INDEX = 0; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("LEDR[5]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[4]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[3]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[2]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("LEDR[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = "LEDR"; +} + +SIGNAL("SW") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = BUS; + WIDTH = 2; + LSB_INDEX = 0; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("SW[1]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +SIGNAL("SW[0]") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = "SW"; +} + +TRANSITION_LIST("LEDR[5]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 200.0; + } + } +} + +TRANSITION_LIST("LEDR[4]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 600.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + } +} + +TRANSITION_LIST("LEDR[3]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 400.0; + } + } +} + +TRANSITION_LIST("LEDR[2]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 600.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("LEDR[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 600.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("LEDR[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[1]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 400.0; + LEVEL 1 FOR 400.0; + LEVEL 0 FOR 200.0; + } + } +} + +TRANSITION_LIST("SW[0]") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 1; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 200.0; + } + } +} + +DISPLAY_LINE +{ + CHANNEL = "SW"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 0; + TREE_LEVEL = 0; + CHILDREN = 1, 2; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 1; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "SW[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 2; + TREE_LEVEL = 1; + PARENT = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR"; + EXPAND_STATUS = EXPANDED; + RADIX = Binary; + TREE_INDEX = 3; + TREE_LEVEL = 0; + CHILDREN = 4, 5, 6, 7, 8, 9; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[5]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 4; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[4]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 5; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[3]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 6; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[2]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 7; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[1]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 8; + TREE_LEVEL = 1; + PARENT = 3; +} + +DISPLAY_LINE +{ + CHANNEL = "LEDR[0]"; + EXPAND_STATUS = COLLAPSED; + RADIX = Binary; + TREE_INDEX = 9; + TREE_LEVEL = 1; + PARENT = 3; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.sft b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.sft new file mode 100644 index 0000000..0c5034b --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.sft @@ -0,0 +1 @@ +set tool_name "ModelSim-Altera (VHDL)" diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.vho b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.vho new file mode 100644 index 0000000..884efcf --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop.vho @@ -0,0 +1,493 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- VENDOR "Altera" +-- PROGRAM "Quartus Prime" +-- VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" + +-- DATE "03/07/2023 20:45:46" + +-- +-- Device: Altera EP4CE115F29C7 Package FBGA780 +-- + +-- +-- This VHDL file should be used for ModelSim-Altera (VHDL) only +-- + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY hard_block IS + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic + ); +END hard_block; + +-- Design Ports Information +-- AUD_ADCDAT => Location: PIN_D2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- CLOCK2_50 => Location: PIN_AG14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- CLOCK3_50 => Location: PIN_AG15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- CLOCK_50 => Location: PIN_Y2, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_INT_N => Location: PIN_A21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_LINK100 => Location: PIN_C14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ENET0_MDIO => Location: PIN_B21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_CLK => Location: PIN_A15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_COL => Location: PIN_E15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_CRS => Location: PIN_D15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[0] => Location: PIN_C16, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[1] => Location: PIN_D16, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[2] => Location: PIN_D17, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DATA[3] => Location: PIN_C15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_DV => Location: PIN_C17, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_RX_ER => Location: PIN_D18, I/O Standard: 2.5 V, Current Strength: Default +-- ENET0_TX_CLK => Location: PIN_B17, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_INT_N => Location: PIN_D24, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_LINK100 => Location: PIN_D13, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ENET1_MDIO => Location: PIN_D25, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_CLK => Location: PIN_B15, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_COL => Location: PIN_B22, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_CRS => Location: PIN_D20, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[0] => Location: PIN_B23, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[1] => Location: PIN_C21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[2] => Location: PIN_A23, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DATA[3] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_DV => Location: PIN_A22, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_RX_ER => Location: PIN_C24, I/O Standard: 2.5 V, Current Strength: Default +-- ENET1_TX_CLK => Location: PIN_C22, I/O Standard: 2.5 V, Current Strength: Default +-- ENETCLK_25 => Location: PIN_A14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- FL_RY => Location: PIN_Y1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- HSMC_CLKIN0 => Location: PIN_AH15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- IRDA_RXD => Location: PIN_Y15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- KEY[0] => Location: PIN_M23, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[1] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[2] => Location: PIN_N21, I/O Standard: 2.5 V, Current Strength: Default +-- KEY[3] => Location: PIN_R24, I/O Standard: 2.5 V, Current Strength: Default +-- OTG_INT => Location: PIN_D5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- SD_WP_N => Location: PIN_AF14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- SMA_CLKIN => Location: PIN_AH14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- SW[10] => Location: PIN_AC24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[11] => Location: PIN_AB24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[12] => Location: PIN_AB23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[13] => Location: PIN_AA24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[14] => Location: PIN_AA23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[15] => Location: PIN_AA22, I/O Standard: 2.5 V, Current Strength: Default +-- SW[16] => Location: PIN_Y24, I/O Standard: 2.5 V, Current Strength: Default +-- SW[17] => Location: PIN_Y23, I/O Standard: 2.5 V, Current Strength: Default +-- SW[2] => Location: PIN_AC27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[3] => Location: PIN_AD27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[4] => Location: PIN_AB27, I/O Standard: 2.5 V, Current Strength: Default +-- SW[5] => Location: PIN_AC26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[6] => Location: PIN_AD26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[7] => Location: PIN_AB26, I/O Standard: 2.5 V, Current Strength: Default +-- SW[8] => Location: PIN_AC25, I/O Standard: 2.5 V, Current Strength: Default +-- SW[9] => Location: PIN_AB25, I/O Standard: 2.5 V, Current Strength: Default +-- TD_CLK27 => Location: PIN_B14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[0] => Location: PIN_E8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[1] => Location: PIN_A7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[2] => Location: PIN_D8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[3] => Location: PIN_C7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[4] => Location: PIN_D7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[5] => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[6] => Location: PIN_E7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_DATA[7] => Location: PIN_F7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_HS => Location: PIN_E5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- TD_VS => Location: PIN_E4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- UART_RTS => Location: PIN_J13, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- UART_RXD => Location: PIN_G12, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_ASDO_DATA1~ => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +-- ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 2.5 V, Current Strength: 8mA + + +ARCHITECTURE structure OF hard_block IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL \AUD_ADCDAT~padout\ : std_logic; +SIGNAL \CLOCK2_50~padout\ : std_logic; +SIGNAL \CLOCK3_50~padout\ : std_logic; +SIGNAL \CLOCK_50~padout\ : std_logic; +SIGNAL \ENET0_INT_N~padout\ : std_logic; +SIGNAL \ENET0_LINK100~padout\ : std_logic; +SIGNAL \ENET0_MDIO~padout\ : std_logic; +SIGNAL \ENET0_RX_CLK~padout\ : std_logic; +SIGNAL \ENET0_RX_COL~padout\ : std_logic; +SIGNAL \ENET0_RX_CRS~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[0]~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[1]~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[2]~padout\ : std_logic; +SIGNAL \ENET0_RX_DATA[3]~padout\ : std_logic; +SIGNAL \ENET0_RX_DV~padout\ : std_logic; +SIGNAL \ENET0_RX_ER~padout\ : std_logic; +SIGNAL \ENET0_TX_CLK~padout\ : std_logic; +SIGNAL \ENET1_INT_N~padout\ : std_logic; +SIGNAL \ENET1_LINK100~padout\ : std_logic; +SIGNAL \ENET1_MDIO~padout\ : std_logic; +SIGNAL \ENET1_RX_CLK~padout\ : std_logic; +SIGNAL \ENET1_RX_COL~padout\ : std_logic; +SIGNAL \ENET1_RX_CRS~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[0]~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[1]~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[2]~padout\ : std_logic; +SIGNAL \ENET1_RX_DATA[3]~padout\ : std_logic; +SIGNAL \ENET1_RX_DV~padout\ : std_logic; +SIGNAL \ENET1_RX_ER~padout\ : std_logic; +SIGNAL \ENET1_TX_CLK~padout\ : std_logic; +SIGNAL \ENETCLK_25~padout\ : std_logic; +SIGNAL \FL_RY~padout\ : std_logic; +SIGNAL \HSMC_CLKIN0~padout\ : std_logic; +SIGNAL \IRDA_RXD~padout\ : std_logic; +SIGNAL \KEY[0]~padout\ : std_logic; +SIGNAL \KEY[1]~padout\ : std_logic; +SIGNAL \KEY[2]~padout\ : std_logic; +SIGNAL \KEY[3]~padout\ : std_logic; +SIGNAL \OTG_INT~padout\ : std_logic; +SIGNAL \SD_WP_N~padout\ : std_logic; +SIGNAL \SMA_CLKIN~padout\ : std_logic; +SIGNAL \TD_CLK27~padout\ : std_logic; +SIGNAL \TD_DATA[0]~padout\ : std_logic; +SIGNAL \TD_DATA[1]~padout\ : std_logic; +SIGNAL \TD_DATA[2]~padout\ : std_logic; +SIGNAL \TD_DATA[3]~padout\ : std_logic; +SIGNAL \TD_DATA[4]~padout\ : std_logic; +SIGNAL \TD_DATA[5]~padout\ : std_logic; +SIGNAL \TD_DATA[6]~padout\ : std_logic; +SIGNAL \TD_DATA[7]~padout\ : std_logic; +SIGNAL \TD_HS~padout\ : std_logic; +SIGNAL \TD_VS~padout\ : std_logic; +SIGNAL \UART_RTS~padout\ : std_logic; +SIGNAL \UART_RXD~padout\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic; +SIGNAL \~ALTERA_DATA0~~padout\ : std_logic; +SIGNAL \AUD_ADCDAT~ibuf_o\ : std_logic; +SIGNAL \CLOCK2_50~ibuf_o\ : std_logic; +SIGNAL \CLOCK3_50~ibuf_o\ : std_logic; +SIGNAL \CLOCK_50~ibuf_o\ : std_logic; +SIGNAL \ENET0_INT_N~ibuf_o\ : std_logic; +SIGNAL \ENET0_LINK100~ibuf_o\ : std_logic; +SIGNAL \ENET0_MDIO~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_COL~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_CRS~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[0]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[1]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[2]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DATA[3]~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_DV~ibuf_o\ : std_logic; +SIGNAL \ENET0_RX_ER~ibuf_o\ : std_logic; +SIGNAL \ENET0_TX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENET1_INT_N~ibuf_o\ : std_logic; +SIGNAL \ENET1_LINK100~ibuf_o\ : std_logic; +SIGNAL \ENET1_MDIO~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_COL~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_CRS~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[0]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[1]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[2]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DATA[3]~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_DV~ibuf_o\ : std_logic; +SIGNAL \ENET1_RX_ER~ibuf_o\ : std_logic; +SIGNAL \ENET1_TX_CLK~ibuf_o\ : std_logic; +SIGNAL \ENETCLK_25~ibuf_o\ : std_logic; +SIGNAL \FL_RY~ibuf_o\ : std_logic; +SIGNAL \HSMC_CLKIN0~ibuf_o\ : std_logic; +SIGNAL \IRDA_RXD~ibuf_o\ : std_logic; +SIGNAL \KEY[0]~ibuf_o\ : std_logic; +SIGNAL \KEY[1]~ibuf_o\ : std_logic; +SIGNAL \KEY[2]~ibuf_o\ : std_logic; +SIGNAL \KEY[3]~ibuf_o\ : std_logic; +SIGNAL \OTG_INT~ibuf_o\ : std_logic; +SIGNAL \SD_WP_N~ibuf_o\ : std_logic; +SIGNAL \SMA_CLKIN~ibuf_o\ : std_logic; +SIGNAL \SW[10]~ibuf_o\ : std_logic; +SIGNAL \SW[11]~ibuf_o\ : std_logic; +SIGNAL \SW[12]~ibuf_o\ : std_logic; +SIGNAL \SW[13]~ibuf_o\ : std_logic; +SIGNAL \SW[14]~ibuf_o\ : std_logic; +SIGNAL \SW[15]~ibuf_o\ : std_logic; +SIGNAL \SW[16]~ibuf_o\ : std_logic; +SIGNAL \SW[17]~ibuf_o\ : std_logic; +SIGNAL \SW[2]~ibuf_o\ : std_logic; +SIGNAL \SW[3]~ibuf_o\ : std_logic; +SIGNAL \SW[4]~ibuf_o\ : std_logic; +SIGNAL \SW[5]~ibuf_o\ : std_logic; +SIGNAL \SW[6]~ibuf_o\ : std_logic; +SIGNAL \SW[7]~ibuf_o\ : std_logic; +SIGNAL \SW[8]~ibuf_o\ : std_logic; +SIGNAL \SW[9]~ibuf_o\ : std_logic; +SIGNAL \TD_CLK27~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[0]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[1]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[2]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[3]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[4]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[5]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[6]~ibuf_o\ : std_logic; +SIGNAL \TD_DATA[7]~ibuf_o\ : std_logic; +SIGNAL \TD_HS~ibuf_o\ : std_logic; +SIGNAL \TD_VS~ibuf_o\ : std_logic; +SIGNAL \UART_RTS~ibuf_o\ : std_logic; +SIGNAL \UART_RXD~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic; +SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic; +SIGNAL SW : std_logic_vector(1 DOWNTO 0); + +BEGIN + +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +END structure; + + +LIBRARY CYCLONEIVE; +LIBRARY IEEE; +USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL; +USE IEEE.STD_LOGIC_1164.ALL; + +ENTITY LogicTop IS + PORT ( + LEDR : OUT std_logic_vector(5 DOWNTO 0); + SW : IN std_logic_vector(1 DOWNTO 0) + ); +END LogicTop; + +-- Design Ports Information +-- LEDR[5] => Location: PIN_E18, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[4] => Location: PIN_F18, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[3] => Location: PIN_F21, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[2] => Location: PIN_E19, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[1] => Location: PIN_F19, I/O Standard: 2.5 V, Current Strength: Default +-- LEDR[0] => Location: PIN_G19, I/O Standard: 2.5 V, Current Strength: Default +-- SW[1] => Location: PIN_AC28, I/O Standard: 2.5 V, Current Strength: Default +-- SW[0] => Location: PIN_AB28, I/O Standard: 2.5 V, Current Strength: Default + + +ARCHITECTURE structure OF LogicTop IS +SIGNAL gnd : std_logic := '0'; +SIGNAL vcc : std_logic := '1'; +SIGNAL unknown : std_logic := 'X'; +SIGNAL devoe : std_logic := '1'; +SIGNAL devclrn : std_logic := '1'; +SIGNAL devpor : std_logic := '1'; +SIGNAL ww_devoe : std_logic; +SIGNAL ww_devclrn : std_logic; +SIGNAL ww_devpor : std_logic; +SIGNAL ww_LEDR : std_logic_vector(5 DOWNTO 0); +SIGNAL ww_SW : std_logic_vector(1 DOWNTO 0); +SIGNAL \LEDR[5]~output_o\ : std_logic; +SIGNAL \LEDR[4]~output_o\ : std_logic; +SIGNAL \LEDR[3]~output_o\ : std_logic; +SIGNAL \LEDR[2]~output_o\ : std_logic; +SIGNAL \LEDR[1]~output_o\ : std_logic; +SIGNAL \LEDR[0]~output_o\ : std_logic; +SIGNAL \SW[1]~input_o\ : std_logic; +SIGNAL \SW[0]~input_o\ : std_logic; +SIGNAL \inst|norOut~0_combout\ : std_logic; +SIGNAL \inst|nandOut~0_combout\ : std_logic; +SIGNAL \inst|xorOut~combout\ : std_logic; +SIGNAL \ALT_INV_SW[0]~input_o\ : std_logic; +SIGNAL \inst|ALT_INV_nandOut~0_combout\ : std_logic; +SIGNAL \inst|ALT_INV_norOut~0_combout\ : std_logic; + +COMPONENT hard_block + PORT ( + devoe : IN std_logic; + devclrn : IN std_logic; + devpor : IN std_logic); +END COMPONENT; + +BEGIN + +LEDR <= ww_LEDR; +ww_SW <= SW; +ww_devoe <= devoe; +ww_devclrn <= devclrn; +ww_devpor <= devpor; +\ALT_INV_SW[0]~input_o\ <= NOT \SW[0]~input_o\; +\inst|ALT_INV_nandOut~0_combout\ <= NOT \inst|nandOut~0_combout\; +\inst|ALT_INV_norOut~0_combout\ <= NOT \inst|norOut~0_combout\; +auto_generated_inst : hard_block +PORT MAP ( + devoe => ww_devoe, + devclrn => ww_devclrn, + devpor => ww_devpor); + +-- Location: IOOBUF_X87_Y73_N9 +\LEDR[5]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|ALT_INV_norOut~0_combout\, + devoe => ww_devoe, + o => \LEDR[5]~output_o\); + +-- Location: IOOBUF_X87_Y73_N16 +\LEDR[4]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|ALT_INV_nandOut~0_combout\, + devoe => ww_devoe, + o => \LEDR[4]~output_o\); + +-- Location: IOOBUF_X107_Y73_N16 +\LEDR[3]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|xorOut~combout\, + devoe => ww_devoe, + o => \LEDR[3]~output_o\); + +-- Location: IOOBUF_X94_Y73_N9 +\LEDR[2]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|norOut~0_combout\, + devoe => ww_devoe, + o => \LEDR[2]~output_o\); + +-- Location: IOOBUF_X94_Y73_N2 +\LEDR[1]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \inst|nandOut~0_combout\, + devoe => ww_devoe, + o => \LEDR[1]~output_o\); + +-- Location: IOOBUF_X69_Y73_N16 +\LEDR[0]~output\ : cycloneive_io_obuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + open_drain_output => "false") +-- pragma translate_on +PORT MAP ( + i => \ALT_INV_SW[0]~input_o\, + devoe => ww_devoe, + o => \LEDR[0]~output_o\); + +-- Location: IOIBUF_X115_Y14_N1 +\SW[1]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(1), + o => \SW[1]~input_o\); + +-- Location: IOIBUF_X115_Y17_N1 +\SW[0]~input\ : cycloneive_io_ibuf +-- pragma translate_off +GENERIC MAP ( + bus_hold => "false", + simulate_z_as => "z") +-- pragma translate_on +PORT MAP ( + i => ww_SW(0), + o => \SW[0]~input_o\); + +-- Location: LCCOMB_X95_Y72_N16 +\inst|norOut~0\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst|norOut~0_combout\ = (\SW[1]~input_o\) # (\SW[0]~input_o\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1111111111001100", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + datab => \SW[1]~input_o\, + datad => \SW[0]~input_o\, + combout => \inst|norOut~0_combout\); + +-- Location: LCCOMB_X95_Y72_N10 +\inst|nandOut~0\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst|nandOut~0_combout\ = (\SW[1]~input_o\ & \SW[0]~input_o\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "1100110000000000", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + datab => \SW[1]~input_o\, + datad => \SW[0]~input_o\, + combout => \inst|nandOut~0_combout\); + +-- Location: LCCOMB_X95_Y72_N28 +\inst|xorOut\ : cycloneive_lcell_comb +-- Equation(s): +-- \inst|xorOut~combout\ = \SW[1]~input_o\ $ (\SW[0]~input_o\) + +-- pragma translate_off +GENERIC MAP ( + lut_mask => "0011001111001100", + sum_lutc_input => "datac") +-- pragma translate_on +PORT MAP ( + datab => \SW[1]~input_o\, + datad => \SW[0]~input_o\, + combout => \inst|xorOut~combout\); + +ww_LEDR(5) <= \LEDR[5]~output_o\; + +ww_LEDR(4) <= \LEDR[4]~output_o\; + +ww_LEDR(3) <= \LEDR[3]~output_o\; + +ww_LEDR(2) <= \LEDR[2]~output_o\; + +ww_LEDR(1) <= \LEDR[1]~output_o\; + +ww_LEDR(0) <= \LEDR[0]~output_o\; +END structure; + + diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop_modelsim.xrf b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop_modelsim.xrf new file mode 100644 index 0000000..3930aec --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicTop_modelsim.xrf @@ -0,0 +1,21 @@ +vendor_name = ModelSim +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicTop.bdf +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/prmtvs_p.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_b.vhd +source_file = 1, /home/tiagorg/intelFPGA_lite/20.1/quartus/libraries/vhdl/ieee/timing_p.vhd +source_file = 1, /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/db/LogicTop.cbx.xml +design_name = hard_block +design_name = LogicTop +instance = comp, \LEDR[5]~output\, LEDR[5]~output, LogicTop, 1 +instance = comp, \LEDR[4]~output\, LEDR[4]~output, LogicTop, 1 +instance = comp, \LEDR[3]~output\, LEDR[3]~output, LogicTop, 1 +instance = comp, \LEDR[2]~output\, LEDR[2]~output, LogicTop, 1 +instance = comp, \LEDR[1]~output\, LEDR[1]~output, LogicTop, 1 +instance = comp, \LEDR[0]~output\, LEDR[0]~output, LogicTop, 1 +instance = comp, \SW[1]~input\, SW[1]~input, LogicTop, 1 +instance = comp, \SW[0]~input\, SW[0]~input, LogicTop, 1 +instance = comp, \inst|norOut~0\, inst|norOut~0, LogicTop, 1 +instance = comp, \inst|nandOut~0\, inst|nandOut~0, LogicTop, 1 +instance = comp, \inst|xorOut\, inst|xorOut, LogicTop, 1 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht new file mode 100644 index 0000000..dfc5416 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht @@ -0,0 +1,75 @@ +-- Copyright (C) 2020 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- ***************************************************************************** +-- This file contains a Vhdl test bench with test vectors .The test vectors +-- are exported from a vector file in the Quartus Waveform Editor and apply to +-- the top level entity of the current Quartus project .The user can use this +-- testbench to simulate his design using a third-party simulation tool . +-- ***************************************************************************** +-- Generated on "03/07/2023 20:45:46" + +-- Vhdl Test Bench(with test vectors) for design : LogicTop +-- +-- Simulation tool : 3rd Party +-- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY LogicTop_vhd_vec_tst IS +END LogicTop_vhd_vec_tst; +ARCHITECTURE LogicTop_arch OF LogicTop_vhd_vec_tst IS +-- constants +-- signals +SIGNAL LEDR : STD_LOGIC_VECTOR(5 DOWNTO 0); +SIGNAL SW : STD_LOGIC_VECTOR(1 DOWNTO 0); +COMPONENT LogicTop + PORT ( + LEDR : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); + SW : IN STD_LOGIC_VECTOR(1 DOWNTO 0) + ); +END COMPONENT; +BEGIN + i1 : LogicTop + PORT MAP ( +-- list connections between master ports and signals + LEDR => LEDR, + SW => SW + ); +-- SW[1] +t_prcs_SW_1: PROCESS +BEGIN + SW(1) <= '0'; + WAIT FOR 400000 ps; + SW(1) <= '1'; + WAIT FOR 400000 ps; + SW(1) <= '0'; +WAIT; +END PROCESS t_prcs_SW_1; +-- SW[0] +t_prcs_SW_0: PROCESS +BEGIN + FOR i IN 1 TO 2 + LOOP + SW(0) <= '0'; + WAIT FOR 200000 ps; + SW(0) <= '1'; + WAIT FOR 200000 ps; + END LOOP; + SW(0) <= '0'; +WAIT; +END PROCESS t_prcs_SW_0; +END LogicTop_arch; diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/transcript b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/transcript new file mode 100644 index 0000000..5901b1c --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/transcript @@ -0,0 +1,46 @@ +# do LogicDemo.do +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:45:47 on Mar 07,2023 +# vcom -work work LogicTop.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Loading package VITAL_Timing +# -- Loading package VITAL_Primitives +# -- Loading package cycloneive_atom_pack +# -- Loading package cycloneive_components +# -- Compiling entity hard_block +# -- Compiling architecture structure of hard_block +# -- Compiling entity LogicTop +# -- Compiling architecture structure of LogicTop +# End time: 20:45:47 on Mar 07,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:45:47 on Mar 07,2023 +# vcom -work work LogicUnit.vwf.vht +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164 +# -- Compiling entity LogicTop_vhd_vec_tst +# -- Compiling architecture LogicTop_arch of LogicTop_vhd_vec_tst +# End time: 20:45:47 on Mar 07,2023, Elapsed time: 0:00:00 +# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.LogicTop_vhd_vec_tst +# Start time: 20:45:47 on Mar 07,2023 +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading work.logictop_vhd_vec_tst(logictop_arch) +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading cycloneive.cycloneive_atom_pack(body) +# Loading cycloneive.cycloneive_components +# Loading work.logictop(structure) +# Loading work.hard_block(structure) +# Loading ieee.std_logic_arith(body) +# Loading cycloneive.cycloneive_io_obuf(arch) +# Loading cycloneive.cycloneive_io_ibuf(arch) +# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) +# after#31 +# End time: 20:45:48 on Mar 07,2023, Elapsed time: 0:00:01 +# Errors: 0, Warnings: 0 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/vwf_sim_transcript b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/vwf_sim_transcript new file mode 100644 index 0000000..dc656c4 --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/vwf_sim_transcript @@ -0,0 +1,66 @@ +Determining the location of the ModelSim executable... + +Using: /home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem/ + +To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options +Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used. + +**** Generating the ModelSim Testbench **** + +quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off LogicDemo -c LogicTop --vector_source="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf" --testbench_file="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vht" + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Mar 7 20:45:45 2023Info: Command: quartus_eda --gen_testbench --tool=modelsim_oem --format=vhdl --write_settings_files=off LogicDemo -c LogicTop --vector_source=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf --testbench_file=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicUnit.vwf.vhtWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Completed successfully. + +**** Generating the functional simulation netlist **** + +quartus_eda --write_settings_files=off --simulation --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory="/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/" LogicDemo -c LogicTop + +Info: *******************************************************************Info: Running Quartus Prime EDA Netlist Writer Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Info: Copyright (C) 2020 Intel Corporation. All rights reserved. Info: Your use of Intel Corporation's design tools, logic functions Info: and other software and tools, and any partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Intel Program License Info: Subscription Agreement, the Intel Quartus Prime License Agreement, Info: the Intel FPGA IP License Agreement, or other applicable license Info: agreement, including, without limitation, that your use is for Info: the sole purpose of programming logic devices manufactured by Info: Intel and sold by Intel or its authorized distributors. Please Info: refer to the applicable agreement for further details, at Info: https://fpgasoftware.intel.com/eula. Info: Processing started: Tue Mar 7 20:45:46 2023Info: Command: quartus_eda --write_settings_files=off --simulation=on --functional=on --flatten_buses=off --tool=modelsim_oem --format=vhdl --output_directory=/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/ LogicDemo -c LogicTopWarning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.Info (204019): Generated file LogicTop.vho in folder "/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim//" for EDA simulation toolInfo: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning Info: Peak virtual memory: 613 megabytes Info: Processing ended: Tue Mar 7 20:45:46 2023 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 +Completed successfully. + +**** Generating the ModelSim .do script **** + +/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.do generated. + +Completed successfully. + +**** Running the ModelSim simulation **** + +/home/tiagorg/intelFPGA_lite/20.1/modelsim_ase/linuxaloem//vsim -c -do LogicDemo.do + +Reading pref.tcl +# 2020.1 +# do LogicDemo.do +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:45:47 on Mar 07,2023# vcom -work work LogicTop.vho +# -- Loading package STANDARD +# -- Loading package TEXTIO +# -- Loading package std_logic_1164# -- Loading package VITAL_Timing# -- Loading package VITAL_Primitives# -- Loading package cycloneive_atom_pack# -- Loading package cycloneive_components +# -- Compiling entity hard_block# -- Compiling architecture structure of hard_block +# -- Compiling entity LogicTop +# -- Compiling architecture structure of LogicTop +# End time: 20:45:47 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 +# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020 +# Start time: 20:45:47 on Mar 07,2023# vcom -work work LogicUnit.vwf.vht # -- Loading package STANDARD# -- Loading package TEXTIO# -- Loading package std_logic_1164 +# -- Compiling entity LogicTop_vhd_vec_tst# -- Compiling architecture LogicTop_arch of LogicTop_vhd_vec_tst# End time: 20:45:47 on Mar 07,2023, Elapsed time: 0:00:00# Errors: 0, Warnings: 0 +# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.LogicTop_vhd_vec_tst # Start time: 20:45:47 on Mar 07,2023# Loading std.standard# Loading std.textio(body)# Loading ieee.std_logic_1164(body)# Loading work.logictop_vhd_vec_tst(logictop_arch)# Loading ieee.vital_timing(body)# Loading ieee.vital_primitives(body)# Loading cycloneive.cycloneive_atom_pack(body)# Loading cycloneive.cycloneive_components# Loading work.logictop(structure)# Loading work.hard_block(structure)# Loading ieee.std_logic_arith(body)# Loading cycloneive.cycloneive_io_obuf(arch)# Loading cycloneive.cycloneive_io_ibuf(arch)# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb) +# after#31 +# End time: 20:45:48 on Mar 07,2023, Elapsed time: 0:00:01# Errors: 0, Warnings: 0 +Completed successfully. + +**** Converting ModelSim VCD to vector waveform **** + +Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/LogicUnit.vwf... + +Reading /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo.msim.vcd... + +Processing channel transitions... + +Writing the resulting VWF to /home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/LogicDemo_20230307204548.sim.vwf + +Finished VCD to VWF conversion. + +Completed successfully. + +All completed. \ No newline at end of file diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_info b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_info new file mode 100644 index 0000000..cfadd6d --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_info @@ -0,0 +1,150 @@ +m255 +K4 +z2 +!s11e vcom 2020.1 2020.02, Feb 28 2020 +13 +!s112 1.1 +!i10d 8192 +!i10e 25 +!i10f 100 +cModel Technology +Z0 d/home/tiagorg/repos/uaveiro-leci/1ano/2semestre/lsd/pratica01/part3/simulation/qsim +Ehard_block +Z1 w1678221946 +Z2 DPx4 ieee 16 vital_primitives 0 22 G>kiXP8Q9dRClKfK1Zn7j1 +Z3 DPx10 cycloneive 20 cycloneive_atom_pack 0 22 WOh:M[al;oVzG5c`D0 +Z4 DPx4 ieee 12 vital_timing 0 22 J>EBealN09f8GzldA[z2>3 +Z5 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 +Z6 DPx4 ieee 14 std_logic_1164 0 22 cVAk:aDinOX8^VGI1ekP<3 +Z7 DPx10 cycloneive 21 cycloneive_components 0 22 zGMDhP>8e@2k@f0emXi5[`cD`bFC`UBKAd7S@ez]d>m<1J[fSE0 +R10 +32 +R11 +!i10b 1 +R12 +R13 +R14 +!i113 1 +R15 +R16 +Elogictop +R1 +R2 +R3 +R4 +R5 +R6 +R7 +!i122 0 +R0 +R8 +R9 +l0 +L273 1 +VamRNemglHiOn]lDzli8RS0 +!s100 @neTjOkn_jg[3Ya6ohP`O3 +R10 +32 +R11 +!i10b 1 +R12 +R13 +R14 +!i113 1 +R15 +R16 +Astructure +R2 +R3 +R4 +R5 +R6 +R7 +DEx4 work 8 logictop 0 22 amRNemglHiOn]lDzli8RS0 +!i122 0 +l325 +L291 201 +VzN2a:JlON9MaLYa22KCGW3 +!s100 lg1G1cg;lW_hK:DMNT2mA0 +R10 +32 +R11 +!i10b 1 +R12 +R13 +R14 +!i113 1 +R15 +R16 +Elogictop_vhd_vec_tst +R1 +R5 +R6 +!i122 1 +R0 +Z17 8LogicUnit.vwf.vht +Z18 FLogicUnit.vwf.vht +l0 +L32 1 +VIV@:01Q:J;Cf@:fS?_PGl1 +!s100 lj;CCYfN7eE^F0O89PHXM;AAWK2 +!s100 S10b?0dIc:b41zfWl2eDd2 +R10 +32 +R11 +!i10b 1 +R12 +R19 +Z20 !s107 LogicUnit.vwf.vht| +!i113 1 +R15 +R16 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib.qdb b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib.qdb new file mode 100644 index 0000000000000000000000000000000000000000..c62276cb401c224f32f6e2862c23c9933cb2fdde GIT binary patch literal 49152 zcmeI)Pl()990%~cW!0_fpgG?V8#3J7T}qzwFw9{${d5$1ADy zOA@C@sx&i0&g~DeY@bijH|5<#{D`r1+CJNx`?lHa+Lghq#QuT7es4OwV8sZt%gc*$ zvUGSRb~b#3S|u1+;Xj6S@Po+mZ;Ev#_9y$9eZxLx@31%cECC1^PxE2 z7PnAH8AA7}>bC8A{G=27T2pLCV(+pYc7fes-?C5G?Ym6?{Sbfv1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SE*Fh5mAX?+yTUaHMwwKswLY|H)weKQYJG z|4GdHKi$LE|H;Vpe@)T{d!9YWlKOT1OZ`oK zQ-4e^X*aYlw6od~O`W?o_c{J{5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1n#LonUu*iLdiob3gU!rlPv3yVo^LU-__io%yIP zKSrJ9DHp=JN-n6I=kv>rs;h0ePRn%9?&CvA@AXQuQAzf{ODvBZ3riLzd5N~jN12RD zJm2ls{jO^VYROX~rz~bfPN8yUM9yoG^6z1AVIn%=#GOHYty5q323Dh-IwEIz*(+gg zJ{{x&hIEu0o;rD<7fVI4)SWRt-9N(EQj*8Y!E}?GrrW4l%})LFt_hQiBB?AVM3PT9 zF*3=gTzN5!F45?ubX1g2x^%NQyb>jqkx9#&eL74o%0V)iwmeAgn)rz@xIogXDuSbO zmM71Kx#0Q#B0Dd!zu9f}8@tJ_^E&~qvG3Sd>lM&6tglJS%H0iWxQYq0SlcGr^L_?`)6h$<$OsT4> zV*OvcD)IOJ|H3ZucmJ<5mzCLJ{a5}TfXn(1x}#sxr}am*tJ*E?)_uc{i9i4X5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0ucB=1!l;<-gq$m0A1Zr`p>n;zpcPM zQye$ywF+ZKgNOFVjapuQp03W1yw>5T*K=c}_&WghP;Ie)u3eAmPsVk5txQZ8pT|U8 zm*uImR2#bPp|>lfV|pwvHAl;fWboYmt}9H&cX}=UivO5Oew8%7lfNEfFD=gxjXA6; O@8Nyw;CcpqmiG^IAn^_W literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qdb b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qdb new file mode 100644 index 0000000000000000000000000000000000000000..67b3b53e8e4150ae664265a34486d25c94582584 GIT binary patch literal 32768 zcmeI(PiP!f90%}u^XAW+nf;%(+ijBfo3!yyq*#iA-Za)F#F%Qs78_7v(oE{wO=3)f zY4H+-9O|Wd(5j%8N|g%IOGQLbY7dG~1U(c)lz{c1QWZ&&BKZDvsTAy?x4?HHzwdYU z&zrX&_BNB@*~zRm-<(b-Ck~`LQzvy8bM8-5$8o%?e=5wwRZ~CLez11AL3+-e_li8d z=5V>{&~dp+Pu<|%cnJa!fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAn?Be%iImC-Q8??bYXm=m5nbhO|)kBA8aSzHB#?y)YC@)0}t2J_Ot2jQ_WV^oJ)XMD>aK3)G-oEWrTMv5 zb0HfWUtE|q$Ew*)jqPkVeW6|G@AL!nE?@div;p^e)!S!(UU~1^=|Gn~%Lzn3n z`axaw|BBAiNAv-`L$A|OTA}A@miE(QG(`8&U33TaP?hq;<=^s>{7HT%&&#v&LwQQR zDPNW=@{pXqnQw^CKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P-mX z6UYh1J8pH3y_@v-b^WOPfX39_0FTgsx*y;k+CjHbN;RrbLZ19b zuE|U5?H7stLI45~fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P-n?6bMFe$A%0*(R^rbT_GG zB9?44t0fyu#2qH0iV0CR5td8@Me{*FD%ccxn_M_0W_b~N&o-= literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qpg b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_lib1_0.qpg new file mode 100644 index 0000000000000000000000000000000000000000..bb3b2b09a2175b141e2c8024a14b78ab7b67080e GIT binary patch literal 147456 zcmeFa0eoF&UH^ZRrrRA9$Y>Y=ieykmfO40%*_MsEU&>OJvTO}&*aFK)x+Yt)rAchl zF3d7BC|ICq9pw^6(STK}7A;z|N`-<&3l^zbwMxOF1)~XP|dEVza-}5}rIp;Yi%{8~TW-?b+XXIBUw!SKpsir@VwuW}T z*sCP&3b7v*d!^V#5}y_Oa`CSc`$e(O68mMb&lW2t^D_DiXrCkYk7W6C#m+3qWS%E> z>7q=gR_v`}ucm!I?Lx6vO8hlquMqn}+Iq3#W?m##Ff!MQeU8|di-k@d*S}cocTT_T zJueaahxe{}-XgIbH~q?+UMlvBAK3Vs9}&Ccx=iK;VjCftsh;=f=*CBCGFQ}O#8!zN zT(_|we(wXrqp<5A$&Aj!dii1f8}4s)hDUCD1MJe?H#Do?{pL2}d4rv|wqX50>oVP+)(4vg;IHU>>;15gEX&-FaylKy{3YZW8W_;Hj9BPx8t?B# z{P5^Q%D29E=~DPNHfNRw>tX#pE47?k*0pKe$eL~~|LynOz8djM2OjB!U9mjV87%h| zELY0OVEy>OgzneSXl6X9|JbUfeOTYoIobjH(A(R(VVAFY?Cr44Z+uv}?pW@)Y`^C-pebcS0^mq=e8@>zcn{Iwk_v`k@hBYr%-uKut zEWdSmW?68*T3BxQI7S{{LhBgej`rk6J34TUwu>9L^eMIZ4yR+rZSbuZ>-M7IG z-|^rbuuI=Iaue*sOEWhG%fF_=@^PNad2>aBvq{dI%hszlB%=F#>iYR#Do^IQ?XGw> zw~xwWO(-d+E~1=L=h=Lgb1k<|kXM&&H_)!4UeSJ{{p+yvylZp}$1%5MP}`e~Sjz*6 z`@DvW{hv#hE@d+Fp8YiK&W22;LE2NSugT2MHf!MgEc%Zs@!>|8FYodWeVB?di9P{qIZJEb=C*JS>mPbzPAQ^_d;sI3nd< zk#)zl$YXp`>?|(nX4D@Sny^36WQs#P0G62Wrp%HD@ zOCGn!$Z>)kVp2J7KTeJ<>(}e?vg2bG)XWJHhCqsj7T zE4dcS@lKQLo#cA-(O&dtSvl>{|7Yd2L;s(3?OW+QdMEk1Qs#R+#HZt>tek$s$a5)9 zex>;yC*QV|`F|3FfPpYu53epoo!p$^*HR< zx_)hEH;?zkIzJ}Kc_wAfCyev9jy2%A?T%#_2W4+)dR*Hz!Q2dAFgGVT?oW_+k?5y+ z-n=WsyF3-%cagU%W!`s(czbU^eMpX2t|?;&@MG|Xw{^LxYGcY?QV^_sHUiT9FIE_zet{K+uq-Qeu*y-n-+j);7Y zJAV90a&JwUdwVpuws##Ba&IU1p_IAb7tO8pzP3W{_mTTz%G~dd|AXYem@@xQMf2YSZb|!!`p=)TyqAtE&Ak8VNbXgdKb3O-wB>us+&jbE zU8v`@`lX$g=P7gljL*F^-Er2>SbnF>{bZOs)p6F7mftdPNHf1b6y~mIob@5g=ae~j zg*n-Nr!&slMeYMBbALFRJC$+Phsk|DW$t`5x7K^5FP+8D~9Z`7d{L)6D;mMf0aJ z&ia_;eahUsBe~NVXYICpPnrAUVeVANSs%ANPnr8?eeT;j@VqqJyX=Ot?yvl;<+D7% zN;98-&N$!Lq4zWIe(T)#Uu8HaztY#oKS$2tlsTu3vwf|OpKi1JKdbN3{-)CFglTf` zNtyc-#=ZJ(y&u1__ioH%$hK8@AL0|_J(Du;Cyn=xRc{67eNFeznXhvBD!zLUGKle{n(oFe*AXSe!Q_m_h)T; zug-J4Z58cWH;*K0f8vgFf1CSrB<1}%7_~n#Aj5H5+4Oit*S!bHz2JH2=Kt>)cf|a@ zp$9fUsMkfK@#gpa4mmqg=KNjb4A1Yw`vh6R)axO^>`gMi?{~?&EoI)%hIk9}`zqr7 zEO`&5%zG%rYxDbt9zgv{_3AuNsoq5MQV)^$e9FAPXS~JvA+zWAN#cTAuzO$yJJI~U z-y`>u+H}vi&xN_Q9S_X!n=?P+jz2y}?%|ZVKOg3v_1rmWJAoHKaNW5tWzNH4P9297 z=TXd^&+<4{ex>`v!x9DVGbwX_A)1@doh#%10=XAooo+sVF`8TJeeL6waetBA{V8+* zek8YzpKN~L-2Ae+{7UD`?~{K|%KVsjj6A%|{5U>$u8jW(`Ol=x|E1FWdf)B-i2R9L z|6d~iVtGL?&FkAgD9z93&Xv{wACSL4W&S^m=6BDXE9^i2(DFWI?xT_1eC}K!_fgCD zl)1kg=JuXD&z&c6`=u{io-a%{&%fex`}6xMtnaT_ey7a+M`7+%$60@5`JFQNu`qW< zaD>hH+emNMrVpR>aD>I^xz zrp)aD>f7WzkTU0Yd``}jD>pyCL(a1)bN;>0$$4_+a{fIz7r!{&^JvEBU%k+bF{ z>E`EIk5f+fia5`bb4ALW-}N}yW|{Cne(4LPC4Bx;{0cF?n{~TUp!7Z-7Dh! z7jm9Sne&{-*-|0rIdU#qlk6>|P7IlEHk{GM@!-Y==_`u=<5-H|fyzj?g= z`z3z=Wb^jT3f8RmOa6`ik(4>lM{!1sn~l$`&v~Al7gOf^_bASYakKH6^*R5YoXsyy z_k8+~D9(s+v+vR5Ja(1N5`QIL=ykAmLKmXt4+?F!u zMUPY7FR6(0A~_GG%=xr&hTbo!tp9wPyysKq{hu%|-!G|*_kYN{^8@;{aG zepQv)FYkR5e|#4A{&p4l|2<{?YL9>Iz4G;cxesgYvRTw}@V#XGp%(ZzG{npI;h_=z z4ta0yvKx_~%QDMu#CM7PJD(!!jC%c)Xbbx!YD#?YHM-@Y z`g@No2j71AKll)kr2eQI;zRm?ugU4<)EB;H`rNMSTJ;w`byO@kTip63JidkF{9aiO z&Xe+gaIO$L7bo%xacTmVy0RQcvUr>k56)JxIIijo=Q;7g)8>{h0l#cl;CY|(^N<5i zyZA|Xs2k$J{Y~(6IK7?O~*TOQ)sp#@au50huY#l_~KZiwe9(E-m^ zrq@hj`?*82L&6PM$L^E;i3;#{2yRbWuMuZhcOD;W-%AM{YmKPud^yU;vrqcu_aRgFHa}VBCrNQ6Kq3p5_Ri2H+rn$kURLhq@sinU117 zE6Afh@`pUF5j-y^&q?yMCFG%QhzEIz`e`SR`p6&hbVTqhCQrQ#Jdi(~33;d+;*rO= z%F{(2^^rfWoVxA^p6kfdO&&l1F{v86?lH2%aYL>?F@r zLLTadc&;VSZt|#){2|Y@TOR5!mXYT;dG@%t*!??oLp*5LkUx9LqdxM7Jo_Sen#og} zb@{VDArEy!Jg*?n0rIGi{Bh;f9gN_)kvyH`Ih2rxx*;C4v#6iLwxf@cMJYUKt5 z+Mm-2d8ixWc@=rikVk#wk1MBcCW7Zy^0brZY(gIDhIksubB;Xf3r`<;&PVXHl4p`U z7ZUPNH^lR5@?0d3`oc3so{U^K&oy3s19=XUr^dy_Zhxp7;z7TN^DFE0a_S4uN%Aa+ z;Atbz1@hp2QIhd0bwfO_B~KlB)EAywxq%^hT2~*z^G5PCxw!nIggn#@@t`9>{WOqA zec@>*&*BK4cJd68r!gT9bwfO_BM)xCV?3|E@Jx~i_v7ZupEr?bnmo-3d8ixW!MFkS z(?TBgh36o7Rz&c0kmn?MS`+e6H^g&2dD_UMzVMtQ5AGw*RX=x;r&ew_qCMHTz-2(9_og8ZXnMN@~AI7t>oDm!SgVA`pB~@ArEy! zJU5bOiahEI&jfjPNAL`jXNo-233;d+;<<@Dd&r}{@a!kg-Uyx%@*F46zJxr~4e?+c zjQrV89`%K1hCBx%c*e++l?M!H4-Y2fp>BxhX7U^&kNU#X;L52x9Ko}ZJgww8l8}eG zAs&p&Q9nn?qrUKTljm3j&p3G|$a6d)4|PL4uP4t5@~AI7JIQl0f@ceP_LJvSLLTad zcyOJ7`Z-M=^@ZmsdCo-eOps@WJTnP-s2k$>QSzK6kNU!sd9G^@&qeS&MxF*2mp`A7 zhq@siT(_WpE|5ok;b|ey#R#6Q))XF)<9>V|k)$x}-n^@ZmkdFmo~-bJ1n^3*5fp>Bw0C3zN+M}6dvJSfEV1)fLF zRX>yDX>@V<#R+++8{&Bbc^b*1zVNh?2NPT7;;AA}A9V|l5-HZC^CXf2U)8NXf>xtlz%XZ~y zCr@8O9_og8ZYNJadDIu4KJpAk@W`-PdA5^hI3W*pLp-<+NBxYEM}6U$CeL^Tk91qg zbC^6633;d+;#p0et>jT(cutaMGJ;3aOL;Q#z#ikVZ3%g(8{&BrdA5^Bec`Eh<<#wn z;E~nJ(@LJ533;d+;<Cyg7l4o~99_og8I><9k9`%K1iadKF zc<@{tJcr4%Hz5yoLp*nqXCHagNB)p!e*_PnLxU&te3w5567ojK8{&C0c}|f>edG^$PDk+IIilu|oM>o&&LrfaZiwe@^30G&edLcT zr|xV7&$Z-fCC|BpJk$;GtR>HR@~DseA!1(k~|j^@=!O#(?uS62?FDsochQg z^0;~Z;rT6iE~WWHo~+wG_IQlCA)dF8X90QCNB)qf)-4Z>5AhrbJQv7Qmyn0LA)b54 zgLz=6AN7T&wodc3Zczl!tI5;i;_?j%d8ixWxtBbP$)mpTbdskrg6Fm5nIO-Sggn#@ z@pO}?i9G5H&rb3*NASFkJO|0sl8}eGA)dFAX9aoG7oOwfX^r5yo;(-G)0U8jx*?vo zk*A$J>LY)o1IP6s-bIhAjNq9d z&yIvV)D7|Ui7KwYc9KVZ^lC9_og8`pI*cJn9S21bL3Q<)QHg?#tkKO_ArQi;LYJQa8jiK%QgdQD1oW zljnE@5AK72=Qw#zB;=uPhzHLdkln93{_!2p(KFf@g+2 zwF!Bs8{&C{JayzzUwATd!vO6e=IhTj9$QPE1{arKl#qwIA)aCKG>}Jq;b|d{dk;E% z{nbUDZt^rHgP(@CDb2%fi+XN)}k33;d+;@L=^LGq|CJln}L9KrK8 z^6VwgSVA7^hIlrSXPi9h3(sNlOhoYDIt}gNY4U7M$V1%_&p3G|$)mpTTp-W32p(Lg zfv5f@E}yq2#S%?Tp~TbsBg&$+IgV4|PL4TgWp-9`%K1kUYC1 zcyOHtp6%qBPRK*u5YMCJ*+U-ng=d;Pdn0&ood%x6yhq@u23G(bGkNU!Mk~{|@ zc=~`NJQv7wFd+|hLp<*gRg5 zd8ixWnG{vjPc3=W7oI8d)J5=MTnnCqI3W*pLp<*yPa}EM7oO~oxb|>K1P{h-;AwPm`KE+C)D7{xn>@|rQD1mk$Bw0J9);)qrUKTlV?1F=bhx)PM(Q`Jk$;GypKFv z$)mpTOp|9af(PxC<_~$cCFG%Qi0A#}*-jqykw4_w5yA5lz z@~AI7jjo)!sX#fHhv42*UHDYB<9QPKhI(pga9q<~{99!$zBebg-{cqmj+E(FWl-bA z?~v^f5BN58~`|cmPs}{)O)~b0` zEn;z>%l0QLKKecTZc45CwfTOv3!nO+Sa4x`od4m_bV{9t*FZhc|@n%wq< z{reg5QQi_4ms4MKo^;D!?UonIYi69Tm$+-yFMhwy>J|0u_7jIj+8yGpI!Or0+3s4qJ0o=#6e z=Lz{&HS4j+BcRID=i+kei_V0ngS-gl!I=6*osWo*{Til@`l7Sf(;4@4wt70q2k1;t zM}5)3`^>@mnRM$5d9q#oBF{&~ht4(^ms4MKYUO|jb#{0>6Ce9^j5_Lz&X}ij z!qYkB=}e0cos%vur@rW5zG85{PP_F5o*DIvJf9FBI%iy5PJPkAyt1IqSx@J@r}Ihb zoTHBVqSI6}TjzqOgXSZchd)o9i_}pc_2cQ(=yt{aVS%T!hdNmoms210ZmU|dp(^oPiMl@`4n}=siVH=%y>FmJ)Lcy&aY8t zk~->(j(y)onlt%TKe^r0+3D&0I(2qXM}5(;@6wpgE>CB-r-ODE^)p2s^-(`p&OTn# zp3YuR=hM{LLml-|Kc3D$Pv?NA^BdIJPaXA9KiSzl2R)s`p3ZMl=MZ(&NBwv@M?9Tl zo(}pO)X!1ssE_*bbdGyECq13dQ0D}7)JOezI;T9HGoH?GQRg&u)JOeXHM@RhJe_l% z&TmuaEOpcu9s90`<Bej4?2fja7oj(rcrbTaaf;CLgeezE`h9qQD$xSaZ; zW8d*Goduo_E;NGe*zZyY<0dIDr@rXe_cu(Z-qUIDbUsU+MbuGWbnLqsrnA`7S>own zJc9aZq>lQcW8b?lohDDG#nbsc>NHbFebKS+RG7{RPp8e(`5bjxsiVH=*!Lw&2jj)y z@#^$+K2Mzv>ZmU|_FV|m>GE`XJe|YT>86hQqGR84Fr7Y6XVBC60(JVSqrT|acNk1( z*wY#JbiPQPF&CFpUv%vI39?vz)lW{i^@Z`+r255t{(b6fb#XcMMaRB-U^?48ogJRe z5$bHGj{2fw-zzYkou1B=r}HK1?4pkPqGR6~FrD3=&K^(a52!Ou9rZ=Wz7JqJdp(`~ zp3WaqXCHOc7ae=A-*gUmI)^-+qtrP_9rZ=W-p4nc!=BDjPv^_jIYJ%vMaSNwH=Sdi z&IwQFE7Uno9rZ=W-hVfplb+6LPv?)QbBa3Zi;lgwZaQZ?owJ?}u20bZ%uq*t(Xsc< zP3N4abHUU3Ds|3NM}5(;_ry)-qNh_cZ+83q$JEK_@@!6h(XsctO(*Nt7tXI*Pv>jY zSwJ22MaSODHk~?8XOX9a>pIj=J$2Ma{XB1Wf7;;bG9bQD1cIJ!s=u;^{Pd zI)6%?ChDj!I`;mu>EL=b*gm&3f;#Gpj=c|SI+LExc2DQ8sI!ea>WhxO$7(t|Je^&h&RepXblkIppaa@pQgPox{{oANAwu9QAaLdpdtZonzEdANAwuobYr`c{-=5bCNph zqkcS{)1J*uVebKcYWTk4#nj{2w{Pv?TClac!o@j zoqwQC8+Fu2{k&jy{&aXcU7pTAQm2zT>Z5)hw@YebkSq)9>jFdpc*S zGe{lvQ9qu}n5Q%0>3o+ufYa`q}E~Z1Z&fnL3lyQ6Kf=>1_9Oc6vJhLY*Dd zQ6Kf=>Fn}!c6&PKs53(bnHDB(>dkoobh!2gF2_FqrT|a`zfX~WhxO$6-1PJe@jE=l@ctmOAQ-j=g_j zI`y7TgQxS~)LBFw^+m_tn=qZlp3V|a=OT3)siVH=*!vEq)8y&2csfs0rQ4(g~cI`&?G>2!HIJ)Tac%8d`ZsiVH= z*nED|>GO03Jsmm1I?n8;j{2fw^YBe)*wY#Jbny2YF`j{2fw^XE-x!qb`bbmmcK zD|OTt9h>)VI@>&*9iC1Nb+%JSebKS`>ZY^P)0y&g=2K@Eb<`Ifn`dr1yFHyfp3W82 znWm2VqGR*JO=qvCv)|LXk~;gSqrT|ayl&Gu;OQLlbh6YrNFDV>$L3?3&S6jIsHbxk zb&gO+ebKRb)TVRH(>dYkJc~NVsiVH=*!*YHIqB(~_H>?2om13NUvzBVvgw@hbk2G@ z3#cw@P}C!@==IrT-y=J%RT z)~(MM<_&o|&!f%)>ZmU|HZRw7>O7rAo=z=w>Zzl?=-7N((`oQ@8ask4|m>Whxe zgEgHco=&r;^L*;yc`2S>s4qG;f7NtaJe^igXCZY~P)B{yv3aMa)8^@Pcsg~|X{V0* zqGR(#O{de->GpJ9K%Fk?s4qG;&(n1Ld71s5&NbBOqmKHbWAigjXV9%LoW5mULZCwUvNw=^X2E} z3(9)gI+KyVXPrm?fO%Y<4O+e&5BzFA;%s&3@8;Tavpw>UOP8+VcE$OQeY(7se~-5| z?|j@xz^}UScY4i_vUduf{as-2q94NcZ)+%zcTl#Ti+5O;*YfZ4*5;jG zEAFrPJ}>$^#Pv6n$2%@t&&4~T%WL`fdTaB}FY+zX^+jJ?`9d0c{2ggKPwekPk2JXbvA^ehShmJ-S|t06@fmD&jsE#$SyTK?=|ATUslJpW=_|kL zdHn-hM&<8d*9>H~jLP4^u6c=EuT;z5v&MS+d)@Gn$MCbX$aDC$fjEnYwRl;rda$-V z%UzFhhc}MM^3vtjb9>|ibQ);IsBbo^+$#~__IC{Bjc&}yxmmj0M%fDG;kd#!(Ta&x zu2iS|s^>j4(l7C)%WI+T3ffj$F^S6CvVMK6^4h4|PTS#?Bd(SkRj zAB}fBJE_}6+f6Gb)_z`vKq>dpM|=BGuB0*kK75U&@jz9KdPe(+e=+gpCZb6dw6ly}=5 z%X(pNXnI`Fcfr{VUvM_ZIX*jB?oQfWv|`HSSzZy(6wBRBJMD3Zt6Wj%sXR83U$B09 zZ$N!W^{j)H>KTWX>KTvI5A0!idujL4iiuS}a+_Ix!n5kGRVcTssdqK(U0uC*!3vh1 z*MijpU$A=OoY(tV{sG#9v|?g$y%2%3xjIn(>bve(3foj>J{@BDhiQ+{iiyQlINyr( zbtib*R<9}Rd^^f=kI^3YIK;hdK3#)=+4Xccc)ELU)B3uj%)C0ma!=Bpq7@UXo-V_s z?LtSHT&G$78QK|-N8EDjOY3cInOtXC?m61?v|?h_*NYK2=W%RXiR0O|^1de6`^)6J zK#q&FxQ~f;9;F6tRJ#>}PtVJ8`EcJ59JpS9#eJY4mvL6Wx2%%-!+jU<;Qj(EuA@`t zTV4qtt~bEbK)X00-yHn_+mW_=z$Ix{RzJ{4jwQ5Bsd24RzH+&m$ zsnT}0l^kuf?FqR;$8l*o{dosDI%&HSa)tWyrM;>2=iTIxL5b#nUqY@>f4;Q0qW-*} zGLhCq`%xpp8d23(&wwFKR-yGL$rqz^3Bl?upOza zKR-f_qqN6T!fnWg>sWz z#}PHOSz5dPFdKCpQn4xux`aHn0LJmUW7S*1abMH@ zW#vsh%Uwj`O z*Y5SP{C?WOggk}zrOVZi;OIE$rZU@?VU|BeD>t1J-Pb7ePvnv34q8v;wlfnfe=F@| zT0CX8H``eLcG?|j@s!!_>}2`7Xs6QRDYO0A&GM&d_oT(sTwZ&)m*wxH-JcfE4dwA1 zVEG4W52eL(V|hG>S^g2)qiONnR36VUmVcb~L_(gzc$Do=yIk<2A4~e2@g&PVMSHqJ zj(f`FIKy&hXwN3(DD)3+S*h21UA=c}e_rl=kaH~mJne_v$>4+g8!8bjQ5H`d z%WtRcNXS!YzY6tZ^CN~HK>bMd=zJEbo>=p1I$3@fZFfSR!hMF~^ZwcMBP4;qC0K5L zgxEOqBYMcuN86u}EA%|2DfB$0tm8LG4w*cq?Uzi>o7Jx3G)KE8iwny!FVU74&bMNH z&3c}a^n4p<`4hBT6Y_-a>oxh$Q_9P$NpftX-JTv7pQn_^wSycxX?LZ^rQ?aUkC(?a zMULIH(+Rmk&r=G=(fVtfA5k9P9`fv^-IqQepQn_^x1T%*Xb+~(r}q)=FZ1{wBF|yk zBkA+;c}jWpca%KGXpbl4o1-7l^P#(!&r{0m2TqXVB<-oxxcEG!Os>=9I72&=kZX>1 z*L$8)-f=ujj&ro<6LN))qdz~Q%=)@Oj*G@qQ|uq(T$hCU^YHwLvikEHa%5>2B;*S9 z=idB?r2Tm<%dexYPskI>tHN`2n;%hLUM(U=1MTAUxGL(;8_BVRRvvB@#$9pp>N53J zQGeb{juzS#3AsZ3dEq#w)1SAJM=t8MzS`60tEfMhn-9v>Nh^(GqT?E){wnIvd*VhGe|p}kZ+EDAeH`HZa!*#jnht~##LE=zLgx4wA&JL&C!lX$_D2< zme=lXC&v!joe8-@{gXF8B5D7$i{($z?oP;4xF21dA5m7nJ+8^Jr^m=ZnSrr&oyGB=$hB6j$x-~8Glu@~?AWr0+|w z=zQz_SpLYe%>5{*({apSLY|?40ry_DSm8V&vXXMeFe*va^$?1_jLy*biam1Gvh)1$5t)v z!}^ZS(GJ*$-rm*?yL`=KZ-;Guq1d>hyM z`Bgj)moHzY?aHtEiUwzsoHv)PS8Yf{*T##{d~@ds`YUkR_W`2vSWgs*v+D@IoKokR zbPHOJJ+DuYSC?%!_+5}I+;>T;=XF?n*X7!~+?GLo4w?~bc_91y`8LNhZ}FXLw7pr? z(c6XNzUsF2>tS=b>)rV^KA?HE?)oi*Sl&N;z2?=%_17zxG=Hiu&EFQRAGm(~J78t8 zwxhCG+oRs~qZ_b%Od3kqk?~DA*e!#u-C%xvUa^D^-&c|EtUMdvKY{IkQ6|$M?L5|F z9MY_T@h!eTQN`zvL5p(Dm+$6e7s?0s?0y-RcdTkd`=8B-mHNxNe3Rvp5E$Q>1&(d! zbez9h3Xs1Sq}y3JbJ@6jbspc^d$nIGa76Lc2?zK#lP9>}mGCUCsxIs|bokwrtowdT zv3{bs7L)7aF0zgLs*gDLa9#^dAnc(VK+PnO@~iQUeywq@&g46lzE#Xd7CTZZ6fctQ|4V7$-BHF-lgPysWiB0o=?jnd6!khyNtYVNtt(f zB=6FSc$bs+gDLYiNAfmR#M?~XFQv?TgU{>kCuZd~9W4vpaYGdsR@1lOI}jcZ)}KHjAF*RrD<9?<3dj$Q2j z?I!YXNSVJSnjhEK*^&M=cs`IFmmPqW%Vf-7&hk5IS$;b{61ame*9+ge>Y|RTYUbN9k}0-?OlBrzFU;-<#}7_d2kE)Une(a(mW4d z@ADVV1ARXw+a)`K{kW%h!CNI9!hO5o8i0M*%wyNFVqk28;uW*x%wE&^rIf)>eNT+j1J7|x8v%) zsQTG+b8XW5sZ#HoT>fm_;PPjk-k+A^vtILTWPGFA;mk(7zf!o4j9dPT{rwr!=Rnz^ z-qEu5=Oqz(qXYV$jpR=+?k^YmskoerB6NjQ`@wY^GY=#=jxUYSGtLJ@5cwK6Pc6@W z#MA9wqu)(Vtn)HY=gv(JtpU$S=Aku7jx*W?G zJ4Al-vnS;LINyil4jt_1m}}p9`!{MoGBo0j@8N^%v+{0JufBhmyq9K+L%LV_Mc=nG?(4|C zIwto}ruRnF%cxmtkhEN}!Th!U-z;d18|Rbtn_cXm!~MG(myEI<-Ta_yN5PH#D&5bA z?iW?m&&xyb0zc|K?s*DY?C*mqwIAQ&aS}6UUZH+0?@;bQndMskSo``k%eD682c>;6 zPHSIkWug$yKYLzh7RLekXL+CWd^H`jzFyMv$j%G1rU#4TX8E?C^KJWFimRuekaC&N9pO^Zny2_Xj=S_mk7wVYAkL{;;&~mT#ThpVIjrdfrh{ zzW;QE`TqVg^UlxrH!nz*@9!(KTtDA8u-qT?e4iwz<+<4(wtTmIYvBHr&iBysvWoKk zV-@CmzRbMy^Zi zdhkKq#~Ik@?w^hi>HPj|?}kx*9x;#^?JwuP=_kr;zbxYwf#PPzCSPERc$aKmU2|c(uxUyT3J; zwfiY%O%E3LRjhqHZ2h5o|0(cX(e69yd%D@!_Z=gizn9yNe_9TZ-7m#{#y!6+PhoL> zzk~Hw>iAjx?DNi>xaC^AV(06J6PEiauiU8f^)uA{K|7yp|Ls2HVRBktn?*hUZ|1k< zO%KbD9A_8iU-)#tL`Fshgs2}Vv+Q)0H;XuMpq@}ad&tp0s_%nld&l+f zo@Un%>fb$0T>i^hzU_C~&lzl7Wcv2})0UgH=bIL1^|3+r8};=7E$RXFA=4bSK8~_J zqVfUJ!TNp$_qR)4d_-Q2Jail0Q_Bvle?;dA%47OXah?qgcqcjvlst@n)BIOjD~gU9jJEZ43p`q%0Ez1em0;vn`%rgd`u!Dy`c z2fqGmBJ>|fN&mGG`s-8DUlO6;pOXITJpJ`D@dNc9n2(w$FLR!re>|mq)p=^x9>zV- zu8+{Q<5;e4Q-rP^zjAe#M(EmcD_3`!r&~N;Za#EI=HHfc9xgXsn@1-H4tcO{oxabX zxIb!+(tDshJsvL zTjs0iJe6g=H>!?}i_N0l3yzO(rQawYU_raxDn8m>F>_iwPo+9zUALQ#jek~noHCt8 zInlqPA2Cj|SQ_MALBA&byV5 z^>#j)wetYS3+KWA-_CnG{~B07QRjW!{C#tU=d*llN84c>7wf-qKE*Bn{xY|><8Sj( zla_DW+x*z1+an(N_x3XRZTq1Lw|}_8?eU!<^rPkO|CS23f1<+e-&NuEAFOcupQ>>C zk5;(-Qx$Ii3uSIEn`!>rbIWq`XMe)-tsE=g##M3Ko6l8Po{bCRmiPGz%d`G1Zh80) zRwd{2A6K~jsS3CMhPVCjkbZ|Q+uOT*8LoS8$}C@&WL^#O1?}|hRW-Bf#n){qQ@6c@ z?#No$d={Ms$p;DjCEwk&>EngO~yg6d|jSzL(t9kcwdW0v1_ z%<{XAS$@|s%kMg7`CZ4X-8bTQ9kcwdW0v1_EZi@?;J)K{>MFzKz;mnf&7?fj zugZKu7FFl3)Vy1W;ZNpWnW`$e4FWxUUM%yjnyRWnS56)Njw!h8xcgiUvL1R9Ey5;$4v2$_RIAuGz_IvTAxJ=*Y!uSPT2b^9`ec{62TgCA^=$0=L zBfnyPBM$qIzuyVoL*gaLC+dcdAI1~lIqdXu>I)D4-X(aBxaA=p9B1(0?<#`lsEdo8 zPt*_nsZh43Y=OuWWTwMNyi;K-e-4IW$=%9X1I=!6w!qZNk zQ*L>P2kj_$WOA0)&uQ_J953pIc<}dbz;njw<XlBY2t4|PL4 z*iYm$2BI=_l@-#*8yofybfDQ7eIUx^qLp=55X(5mL!qec&sl)Z!T=^`EmtZJD;f=;=z8Re%hQ~PJQGLdDg9`%JshJh&WNq#>t@7mLaPc33R?TCg+zmI;nCNrB|#a5_~ zuS-XYa`=7z*zx>ce(ZRw5AILC&hh3OJ!@QM`Fu3r&N%BwzOD7W@F`rEp#CRW|LRNq zyY_V6kd@2tHx-TpuFtU@?Fh@OLVu6rVDWYw7HB~6IOukA9MF$6%W=SVI1Yo}ahRb0 z9`fTj%#-^hW~G^t?@%tvzl{5{gYh4Y8NY||xIgptOjSGbL*^CXFw3vH>ON=n{>|5G zsw98$E8hQbfkofe8`o!L0rI0ktmqf?)EB+q;QDL1{^eq&URRs{Y3_$Emv~&iVE=W! z__p6aMxEo_pKrw6{~5;rd(3$GV{Ce!JjdC>afmt|^^AXI%=l);uVg&x7x(eZiYveB z{7Yp4>b0HmZ;2V-!}tltpAa?lKgf5KGp5V0F4XG|#_x@YpZ4MpF#a^-(Vj^@74%Pf z@&CZMe`7mRBNpw@lP%9!J6Xr{wu^oJFw4{pHpAjj~13=`?Z4m<@O^Rx<6uk-Q4aV_s4H{ z;Rnw@b%lqza(>R{TPy+KJ48P9g>Q`In7&zTjr*{sYwf%3kHwjdinrs6^*DZJt)6}T zr2Wmtg#6=-w{lDITi&qU&Tr-RJ3VW9X8Aqd{2s>n{mm;S5XWP?R0zh4>dWzP*AvD5 zhTlUg^f%XWJK92jgK-l28;iI82JNKeNul4@?WDiKI0pTV8(+;EI^sAB{r>l>zj>8# zT&};-^%e9tjZVMN->4txZ(hyyuMvy>#(e8UeW@5cG>!yW<8#x^EtIqfFxWw&m#u@MTH?I>l9FN019_q{SaM!cN{)XQpEc7=y zZbw__Z*V^f{f)(2e5LgY9sAv&(UQ-}{^ErS!}7H@d!p{-(+4 z7y29Z1O3fXu3sh={f+t7-{|r{f1~T4>2DJEH+z_0QT@$9U0>`!4l_Qgzd7l}m+EgW zc=1vF4W3&EuRpB6am&N~O)cYXeN=xVe-vD==juKEQvFSl2Q5_b`ewPy*Q!NMFQ@)x z`kTcrF0#L=CtoA^;`TSx4fQw8}`zH}SZ{?Qhx`@Ao%1@OWHc zf1|z}4|o5)*x&GbpoRYCMs7!2=x?r(<>+rL-ufH44J*H5f1}$;e}nt)=x?wc`kQ{o z`F-ziZj#b3*Wc*+3i_KCr(fuA)DQGGH*@_hV$t82Z~cuf5A-*>{+a$Jaep(;{EF&t zw(I(0f3uVEQT@$cFTPZNbJUBE>Tg=v-lBC6-cNJO!~IP=<86IZe{FUkZ*+N}ztQ#2^f!t7n>OZGRDaX0>x=zOALFC?n+Y$zRDUz&#Ygow6YhKr-A{AN z!~M-9<86IZe>3g&C%@g(FV)`^d1m)Fx4C?++Trwa>R+b6+2!IQ`#?6C}c^fj(GdOSK`}O?hcHy{Of1~Rw=x^Gc zexbinKhWQ-=K42@MSo+y^*6da(BJ6#XZoAO{Y@S7E2_U~)b+*writ-U{Y|?UU#hg$$rrc3p>C+Z=^)<;@~Qt!e-pdEk!q8l%$w$MiQC^CV7%Yo+{xoH&EuiI z91rvZ!TyHd`7ZP~cX2z~LVtt#UFdHt-ufFi|E$>G=yuZIU_M-f^f%ZJn*UwSco|j+X_Ger^;QiPzH_}7Z*BzY+Q!c5$B5>ZYS*k=7*vkz;-Ba*l|A74#)!()^a`QAhdESgUtMeCw z?ZBkgXR#gF&iajN2d2IFy^N1)2M&7irP_g$Uc8+*emii{KW}ojnm7i{{!3(@O;W&U_Hcb2Np;Jg1qwEfwkQKb8H9Hm;J~28Egmm zJ<&ot(8cX&M>Jg8fd=^p+5wBVcHkNfD7FK-owNhj%JMd82e2K=Yjm82cA$lRANg@! zAkS^Q+^x%tc|Oee?TkMm@xlIU(u<#B{C>uxeuC%GUN8O-kHx@Cz(bZeba7mwEj-Q(*d-(vEKkzaWHJ;?3w{yy%DAkQ(r zMf(K{AMKd6XX=Vi-4NeB5&%Bjh`>A>^@VTJa)c<@jBzamDAj{N8b4T!V21 zwxcbKYhEtPF|M(A8`ntj@+*#ObUPW>yh4^@T!ZZ}u4!g+2{itzGr>-ydliiGu z8rO_@@ukK!lU{tOam}$HpwHj&s}WS)xXTRriXlDkb#Bw;O)NRaq{&g_SNlTZD?xWUGSHm(^i!8b!bd^ae`xQn_Wz8><8lTZBspY_*fqs9f#jB93(f011n zf7rOs#x-*Iba_T5EbIO2nB$r{#>-*S?QL9hzj(<1Rvtg~<@miqBW~C*X;D-OO0#xd-2bVYi5sY4tw?U%(&+A{q{5Cn#Vq$2CXw zyvXM9eZD09GIc}!a<8TSvZqO&x~u3w+o*t_kIn>2l?7-l8tK?GhPmp z{EGK$9^mnt;PF#mj-Q6f|1j?8mvM)@4kw3Y?|jteZGMbhSD(Ae?WcXO$>Qw0O@5qx zr)fY+x!k-p>Id@Y5w160%O72Snf$T%Qu$+XrSiw(O68Bm#myi4-U-eF$&WhA9~|dk{@C{u zW@IVOlVP#QAKF6xERp5NpR8DEy$bIiX`JNG>treN2XWB1?<-jTxc6{N=a0pe%AXBV z%H{G$*H@4~*m2P>N7q-7KUd+4}@GKiKB~+q{08r;Ggz)}PJW zw)%UgtVR9N7V7UtS&sUX;^bExKWd!R-%YX<^@lj<+q`b8zjGz}f2%)>D^-6MSE~Lj zE@}N0=1o7(9WSdt>}Rn4>LsCq*Kd!@TGStHq5fKAIqL5kY#yjTjg$JjS(esI{UHwe zjZU|&h1TY`+H(+lzG2TTYOZ#iHZRoXd)hoso1ba-@!kBG+PuwE@bhYldKS0G`FTI? zpci)}BJQLYcP1k4f){7=EB$h9KBe*5yh=aL?#o-8&8PI^Z2qLh**r==&gM;8oXwx~ z<7~d9#qE5)%M(A&=1E$d&6o7!Y<{H0**r-<&hE2YoXwB)<7_^p#o4?_KhEYsTAa;? z^y84f!SllAOIf?}6S5ZP1#RKHxJ8!Zyr{$Gf%8J+b$VHQs;%ml{zmhuGD#9aiz`+iz{_rSX`;|!s1Gu z7Zz9Qys)@Z=Y_?UIxj3P>byYy2G5Iz7tHQ2CS@(o3);eYu|k&Pyr>r&JTE52lfO%- z&*S%1&3hPe(6{IDtxmtrp0B5MUby@7rOykCD|KF2T&eTI;!2$t7FX)Lu((p^g~gRR zFD$Oqd0}y-&I^kxbzWFpsq@0(N}U%LSL(d5xKihZ#YLSLnzr)4=g5D%B#)o13yRNo zc%4_ZK$bUD&8x!Sg+Y7I zoL^1{5i)OG{i4ph#D`9UF3;xF7oBU>FaG^a<7#wqdHdd1lln!ycZ&}_+-C)s`l5&X z!@>Q-bqKgj2j_Wk|F%&F<3;GGFFN@Ca!{w$tuN@bt6$`KkNDteb8$KKMW;b_D5%rn z>2!HI@1;&Bb<`J~PEV)X)9F*c$n%rpgQv&E<LooSEfpr>W-bK1q_)EAw}n%T!|#;q^x*E#iz z_4B0o;5kbj^+m`2-ktHB_jE3LIv=9W1?s3TI`((!Oea${I}fw!7kPG3r^dzQ)E6E5 z`*NnUz|*PobUsX-TI#4TI(>415zOa$Pp84tk&4s$SwtQ6MQ7U6S?uX7@pL{yokr@Y zFFL0^ohDDG#nZw0hvU^u9rZ=0K^~w4_Y3`6@O*FcbUsR*R_dsa`tfwyJ)KTZ=PBxR zP)B{yvAG5#wH~o z&UVIOe|HS+W}NN*i{)a!g4YB7{E?5#TI3CFp}u-#IqGWxHV@R7#z}qMFH3R%8*$LL z`6JuC`ilDQksZG&jYs{V=Bo4ixP8BPsPCT@3%Z&igojgusQh##Zo2dx2J+YP3CBH{PyT$8X<3WoMO(8y*FdXaxf zy_{FS*gt)ey60S6PJPkc$>U`C#P+acUikS$-ICk+`NaJ!nNQPY=93*K%O{S53Y?|# z3CBH{PyYOppJ%<&7V@c2mLs3CVuSglagtB#WGV8g5341gY<|fF9=Evpl$9ND=Z9=4 z$9<9d#pAw5mLi|(U0hE682PlAx|UCv02~~@{{nRzsjI%|?)UPE{e7XnK?zPZf%DhT zC+e2m&d(?AXUTjzTxLGmak6|mAv=~x48M#>W-(>yUV98Zg1_=FNr$%a2+fAol`$XKJ`%7@~Pj` z-Amm*>Z&ig$Gv>wc(!C-`1wTLlH2+D#QiLpPp8YwCp%7-Pp73GlH?PPdoZ8;`6s_D zYjM123;BfOiF~TT=7D_DILW60S&Do@9Q1AeiOqY6n@<3U*v9e|Ueau$@$^#;XZzXYCP=b1;AW`8mHOYq3AHh5UI~mLq?ji_HW1 zqj8cykH}Kw58|M2^K;Je_{Ys3|9g5h^E4j{&s~07)WMh0<=LG2avTrJ^2_Cqu9xEi zI@G7-&+mu_J+*=S=`4{yzbo5aE`L6Y^`gt;@ud2J@-2UGJs!*-^~=Z~)<+=^qVmU% zi{($P1P1%-Qu%}99L%5kT6f=MMwa5d`aQAOAKF6x49jxl&oyF$`J-`?KO1Bz=3yWX z`Zhnw=7m`P*ms8Pet>-k%j&t#<%Jw))PP*SdHM4>Sqi>-=8yW4KlXhj)3xtcneJjw zcZsL_dFnP&SAEfq`tFqJHha1&Jl(_8ZK1CEqT9#(G<~iwef+sy;X4c7@sG-zt=!J) ziThcyyzSi1z9;49TU2>d+|G_Sk5|d^EN|@lQvUT#sr*JB2J_pWXY>VGi~ON2;xDe~KGP@HFk=W4hQ5I4U&ynN~M^5u(C4*2+8l$`o8^4tIJNWZ81 z`_%1o<>%Cok>A5EzHmN{t6v-k9-%J3Ly}W}j{N5OlE;5fO8L$GELq-xl=7R$t7LgL zKh5&nzuqd9-^jyYe*5!lHZ7fzRee!;PH-| z-`l)=+2Q5OABZ~gd%KIvsUIW1cX_(*ee+@-{vmazsH=XA{NCf~?o+?0dz89+sjL1R z`OWnukN=UB@|*ivvb+;1D1?m;&{m5aEUv(AM zk4rwE<^FwHe2gEb#RjiG#LZm1v@n0Wc2w2*Z@GAmBWpf_^%!U9YO&^L2PSSojn?l(U-UTp%Ci1?dOx~@cGZ*iqF0ak^&^o`!=av_J36o#39?bW7?;!6h%jDfI!gKNN z(B-xHL0ab*`P}lNZ+yP>d66I3uj|X?U7^S8s=T`oRGV+5j>-n=%kC2yj~i#!=54(B zNohE6zF<9$J2=7BS0)$EC)A_M|JwXm1eZPkLun|__1Cqu*}Ttn7rAzp$<;+J_Z+)6 zKOMnk&$*4ujgxEhHeNQadC$^%%Q3EMQuT%3Eq`je=O6Zbd;VteO?n)P+Yfra-M_SW zyZ>aq-A^z-%l_7U8&8{W;}7%Me>^!QJB0RazV29c{uAmieCm(HVtjE->|FhU-A~f> z(k|Ne59od`eCn%iyQ)8SI;+)}{vP+0=F&4BT_4c{-3DX%QY{Rdo+Xt{wnw>TRs1o z+fL`tiOy>ErJnyhLeF?~eL&CZ`7g*LM%#zKWj%kT%zD=S3)CNUvHvIJ|1$p}e^e~$ zS<4N?x#i{8GsicDdj3n4C-r<%?B(iN*9(VjZ}t3FZo8_#b~>xomwNtsgr3#2t`F#0 zJ)d$qg?z?*4Xu|l>sj|NP=8Q^p7P3a)Hmu`%MHZ2<>l8i_p?yX-;i=p&)*b#xq8<1 z!eQH6J^zi{uIjYYS*^a*^S2`Ote$m!K+o#=Z=Ft|p1;F-{$`o=tos+JKarPTb^f&c zANdT6de(9Sac+6}^{m)|cH{3*p49Uhv6ri7T`wHAz18!#-F8*qaXPEjmwNvD2tBK3 zT_4c1dY*AQg?gT0JsgBl)bl^c0@U+A zioIMt>-qqP)$>2O?Q}k|=&V*>>iN47dREW6KA>my{LkbOqwVuQvYx+JWKXNje7+zS^{nLv;@tB1_52*j%Kec4kq-lBubB7#r^POqKkvQF%fj=4?`gwZoxjNa zvF`{UmaQ=k_!qG_9LRbpQv9cguD ze)bB9uMvyQ;G=l>SO8l~TSqIV5Rdg&1$=Ce{u#@4H!{`p*4`_79iu$iUEQvMb}_A( z%a+%|^(a^NVBSL`{Sse#{~Ed7651wOF|*3E`oMDRpRKp@wya+ttGs6F8t)4Fre`*) zJS|xEZ}Z3_BiO&uM@M3n+sbm#or6SQJtmH%u6&MJTXFv^!|ms|Ix_kSzPnWWuD zD<)R?av3SV;Qo(kzDf1R%D3$-X9w+0S~0Q8w|tZO@4$XbGb!7Z-tQ^uPt)%4$`e=1 z_uEO!4?Dl4+_fn0-c0Y>IOo@1>h7mKKr3d}{`%!kbNxaDN`3c8$AEJ0zVC+nVILpe zJPtdydAt{Pv?tRW=lCC_?jhR4v|?uQM4fkGp5}^pj|RjxnkwQs&vGx&Ui3J`y=?u| zA^_*t+I#QAd9?KY$A@4? zeN>cNmyn|#ps0_bkxkg|@vS4SeP841>*f{<2V)EdAFZh-uuK6a?!EIsdk>TCUDkWeb%Of<*oX6e zpqacDL65eewvQBOXZxma?TN0sHV^4ux9xt`?L_nat=BjG?c|U0X0@As-TV^yEcgcG zm$rst7v7^k`P~8SSrNZ1tYs_x14dmmocGf4xmTZpl9+q8==+$AE3kA6fN4-5B^rMAVJN`NQ?_^0y#8 z#^l%5g*+F#HoZO`$v-8&IR2Qh{a<>Z?h5{YC8F*sM2|fM^N+kdA^=B zA8;`Qer+DebFl~QcwA=_6*DhQoNMFTTGTZCC7+`d$Xg_$9wcNQxV$E;PQ_ayPnmeU zKCjIyS}A$!}LX zM+RXh`QDX3zb+AeD%sl>8vfuRf8wUn>TRDkOVmU``giwOUTZqzKRCyIXW017+4DT! z2&ygnDYo`j+2+^!dF>Z#+jq%vjIY%x%?W=!-0~&)Vw(1?ny}DUhqt$sNz!*4kJP?M ztr6N;P?sy-8~3#VYP~ndcjvBZ`o4o71hiP^@6Em}z!m#IX|eB5yGTj)`<3@)^~+`m z{mjg`w0C<;)pJF=N(y+j0@?y@0b8c@%;I!EBxsDodWfvW;eJriiUU>U=Qe{jNT4MS z^na=%KWluegZh(O8j=&zMMmb@I`{kE9%YOwtm$dUKL0Qp{GsC(iI_2bm_ zPE_kAKF;jnD{q<#3`?$C9KT?E_vB%j-2O#SfqlfeTcM?X1A%^5`F6*j%Ug7E;yQFS zMpG#Mb$gqlr2H#ofwE^}rOhk2Zq$&B+EU-eAM565GD^Lol2##Us8uA~mB_g)GIyCI zEj_EVtaYgbF!aW}?Tlqxt0vB&DRqh80)gAlbse#;fDQrh4nP9(*b|DZ8urHh8f@5L zaf1;s#=uwuBaj$tFfuvT07;BB7?~VvfF#BmjDWF59m9)50$8OG14eiN4G9uF6X%6s z1jcw_f)~MgQ5fOHcrk(($9V}D;lp@@;3aWh3P$)bUYg)#a9$Qh1TbEX;N@{%0Y(@Y zuSoDpIIj#Nf*7wt@GP8Hg%Kvks}a09&a+`e2;(&fUK8iFU_=<>iHQu-!FgR65y5yp zBELS)8^DMt#v2m65zZUKh#1D35WFeQo56@U#+wto1NaWjL2iWH^KYh{CpTuz<6JR_rrOA7y(lb6>3voUGMwBp~=*CbG z&IiMYGR7|=@`vDjD2%9J{9=L+!})L+VPSj(!AIhJ6pW~1{1SqX#`zc+QN#FHg2%@X zv=m0vF@71r$K&}EV6-EH_!9|!InJ+u5eND1tWSGzlq>C?oUeuv3yiNJ_*$Ib4L$o`3ErKhVjD${}AU#U}P@FKO*?YIR6Aj+%f(s z!9Tv$8r7}jLgUQ?*#t?=ON`i#M zgpnXz5}K5R2}uM-f^kW7QW7I1aTr;IOA?b3LP(M@5`s%olae$c$-qb`F3CA`4W;JjI6{Z|4C^9AuWWF zRk#!|DFqTz5R4?_Qt+g-h>${HWHl~@PD+ajDGWwZa4CFJiXfy&7+Hf$QIpaVLW+iw zwYU^BDa8^}9E_~PrKOY7GD3=nkyKntn3NI;X*rCn$E6jMQW7Dpgpm!nv}#gHCZyFc zl7>qulhPVOS_>l^acSM8luAhJVI&=wHcU!sgtQSxGH@wM6J=|FT3Y}(GPIwQj6Nah@#X5i7;2Tz7~N{^YOPb+*!9PY zPj74eQOJJ&Ea2_%OhM%9%k8$04;8i*eBU1n`G6Pn_X69#Q1DHB~5D zZV(!-R_RZY6*;kDr%(R9gQ|mGE=WE{-gs)?L(Nmsa!9di(VG%WOV>bJez?Mpc}Fi- zis~&_dD6P=`Ms^l$n(7_{Jm39ehnj7 za=oi^MJ&Z*MR2J=F*%p|R;E*G5F zAGBu=&R5F`;2Keoek;qnY^BveS=I1pjm{bIwnQ_td0ruY#c~DI*pYpS#fn>{*R8yJ zcKgVQp%t-#Ikz`@4phx>4bf6{ydC1pj9Ba)Yyq)p2-NNE9(sf|v9Nl?~xNY~4@wGK=CZZMFB=&wPJbU5B zVDHu4ficO&Un^gDZr)n-y!YF@ilMDmN&Zc$q9-=plj>YAe~6jVedR>eg{Gn0Mg09c zAFS)`@N}7DPQ4;mUc9gJxa^hJm*aTkKd|mYi;jS_I{Fhy49&o-bYOo$4zwT zV^25a=pCw5{Ny;}j_>V-JpScebZxl{$%ch>H+bqsemzV}BL} z%yu(HlDCQo(Yhtjeq~!nHv9$ddRjBk~F<2ZZs)ryG4)-GvLlvL{WXP1m{TP{{22cYT zGDCBc8R`Tw#}FGUM}{oWa%6@EK#gR`lEb}&%+Lg=mI7IExSt?1v;acuH0A_#vN%yx zLxD^<-H($Qssu8{kQ#x^Fr*GpJq0r7bU#92unA;=Aq@gqVn~xfRv6L(2#v*<24Z2+ zaAblZRe;deO=+NYH9|APGSWQ; za%j{hbP%;FflM)^Mj$f`sT0T?Lu`Q1s4eIqY7GKeVn`DpG-@lX1ucMppIn%qTqvp~ zLnd7AC(!o~AhZ@!E>MdaK=tVR#|7Rab%6GhA#*PGlMsVVAPWp>5Xcfkngp`KkQP97 zXnWl5?da>{#*qnzQ~^SJh$%PdA!-1D_PDY307Bz5=LT`I31opG4FXwWNRvQT7}6q; zF%S0oc<@?GFr-Q#Qw*sQ$P7d30HIEp^8hE<1hT-820&`T#;-kr@mkQwIor?dC9e?Q8;BU`PWX&>oDn z2N3$MSfMST;{hPFpBeLle#YWO5n87SFQ`)$AkaT~vHnRQGYqK{$Q(m#fY5$s!3+AC z27xRwq)8wv3~3R_m=EM+@uB6=SWNgpEUE-D#gH06XzONtpmlXZGsiSGKxot!d?0EK zfY7Kd`9Rc~L^&(0oECxV$P{i!lN+6d7%YCgViSH)u_{1l=%)N2AT6#yMW4Is1@GXYSGIzZ?f zWi9~TC^kUo5U~&dLqvl>mKf3`kQIis2xQCvIav&}96Cfy7+{E~637%oY5;*rlz~m6 z0D(b>m17gg0z(=Evc!-kfvhm3MId8AtUW=z784Aq637%oY6LRFkUBtMPzYj!fGR2S@fy^+Z4iNB@iTMc-`aW1N!TX>=AWIBs z637ZeS_Co{0y$YicsUacsS?N(Luv#v!;m_G%rV3U2z|8{LLh1l0$E~66CgA)D z7J-a~0b&WG8af4-2!km=6(Dp9Fck(ojbU(hrpkP!2>EJK`Ws=ss;|x zJ9cp>8&J7qF7Q=KVr<#nCCw@5zlUFEAB?+teCg#a^FQ;QKWen3dH$z6UcDKl1s}G! z@J_gqn)$oNBm@c}4;u#0?A6EmXsHyki^;+q-Ww^D*LPPAN!0$NRy~vo-FMwY)2)M_ z_Hz+Oqvet@-VZw|tT*E05(i8;Z>*O}uXyZ8KDf$)wrdWp=8Iq+L$rhMM_8cQgQbB+{b&o zXzVWDLWS!Jk~Wo;Bp0Pkvsq7XpL{Y#o4bwAHl$^tBoa@#tEzNQDAu;>g?1ZF{{_ET zi>MhgN0m~#MRA|?5suT_B78dN-_~@jVb~g+4+ui!vy_F|3*;+-JU)cVDK(9}*69$XhLAGMDm`hxOgiH88DD zu!ttoCt{jsAg&P2Luo(2p5mY=a8LnrkUhmgG2oyA<{*2DgW|wJ1PQgDO)TlmrgSU=FgTI4A`il*SxXnc|={a8MR=P<4ufGQdG8%t7TT4$1-t zWiSU>Qyi3=-a+~49aI1gDqs$>r#PrMy@N{AJE%OpgDSv5Y0N>DDGsuxcTg2LD1$l3 zn&O}ua8L?!PcBxU%t5&+4zj23gBrj=^zW@`A5@&;peAro9CJ{9ii29fL2;}P z%1`No+Q30^tPjdh>4Q4JL2=AM`6&+S0tY3qKBzFI59$F2C9poIFr^Rb0|zCsKBzRM z4;lam5zIlwDGnM=KZ=cjgHo7-%2OOPp58$d;Gh)dpz;(4O@V__SRYiL(g)3^?}O&k zk75hppcLky@)QRxfrDb0gK|?Gv;q!_VGhboanKq#h+qyXPI1r%IEY{lDo%0G7C5Mk zIjA+o!5P3oHOxW1DGu5J2j#F)tTts7+fVPH!}Rl@BXCd>b5LoDgEN7H2(~n}e=|}P0>E}Ur z;GhELAbW~~9@Ec*p1?tAY!s_Z8O2_}K^e?J))WWlO+SjgfrGzaNqna7gY&1K2Ysh^ z&~JJN{imM?7fkQq!s#6hnBKv_>F2?q=^YFP4*q^6Sv3794gn5|VSP|;N*@fJz7H-2 z4kB0|RGiWW!=|4H!>6AIBc`7RBY}hBSRa(1(g&lap9hx!2PLpktT1I1M*{~Xu~Dov zWfaE%2gR{IC_kkS#sUY$v3XE_$~+hc92Cd;p!}3RxD+@jj`czLDSdDma8Mj`P=1Po z@xVcGY!u5+8N~^}!QZbWiPJl{eENBC#q{%F5^zuqb8zbY;7Z`27&Z^eO_>K*0SCn} z2j!+Xm<${g!yJ^G;^1oFpcv+$+!O~>fP-R~gK|?GTmu|LFb5Ta~7#QJ8pS~_2}05O8@Gi*Jm$~sysis51BPY&Obq_N#S~N%d!f- z|1Gbel3{S^63i<`dM(DiA?MfwzKsR>2C~9#o6`0>r;yTJcsS1vXYwkDY;{}3q_VpP zICNwss79tF@ngI@jLkObdOdlrQ92y2X5+x~<+Kf3qEtoZ;0k_@FzTwY6yc)AoOnyi zveK+`&QHw06%VC$@&qRhobSnPU2I-^z06pw$?5%s^0x;MuG#H6P(NN>@@b#NSg{MI z&w1`3UApyXP}UxAwFr;BOW&R?r{De<)w;oG;huMA#0x?+54SICvlmP(BR9{ntXeV> z_x+{&{3Y`nif`DCH0~KBb1M_vaHBwevZI5Wp4v&`hMek8XGwdaYnCKZcl4VyKe3LXbZ2S zmg%?0C-w-&>zoP8R;X$AFO4;N;MV_g$ATS);Zs+{)`;_8Y{(YqzV-Q#)E4>h+`5xW z^rg=YA}+5c^{ZZB2B+wTt^=X#?lc=_7JJL^*< z!bJ@CC?kQ74%bM&U#|O-Kk8A=WzUi=#;f#bCf#Z4kMyr9-#bPc_v)QFP*1nsW@Qj{ zZf)n|J((w}W%~kx<4#i?PTq%JuNhJwzJ5$2y)HMS%G^>+#P|76{j}S!Rc4pik#EG6 z?)V_d9egnOiQB4`*?r+FM)xIM>b!i2aqmuRi}?W0oY2^VfxXRJ$^}I^5BYy++i>9i zVaj)2>v?+_p}E5*OY~|wa!%?Djk$HI-L$pHk#H*@%?L@~T@aP>l>E`@rOwNRw?7Cz z+N@Po<(9BL*yFs>Xu~!WzvUkomvjSIJF}u}Hm9q0rw*=<|F(Uva$1U1Y=q-xRtsvw zkC~SShD_Z(jEd(6ZO$;Dt{>SO|0L)5!8=~N!!m_q_AcLZ*ZCC>ysmV0QT2u@zt2kL zQq~JC=U=HhzKh!Wp5Fg>`-w!J=ul?8RBh1XfwP?sdu}TmHN-ZRuKebzbSCPv!u!up zEMS+PC&#|+Oxg2{p|NX!G@?H0tQcdOLz|=Blvl9I`{kF52ggno3gv~AdIlGqGpTzY z8KcV8dCDj1laWKC*TCKLMvueHw;x;nK?>f!O+n&TKy#^cwoGCz&C#l!G!XfdUD!~( z&+gdvugf)GsnT5Y7OHwb|EX*i&}`OytbE=^a?mKq3Efr$IZN88XwDTDm;72=QWQ@{ zmfU`kxO4T^cX7{Oy>lO$C8a&9i1&7MaeTklK&wGsAN_iTls>&uxj-iFb$!>$oyMQa zHIt6LUo@feYTYHD;Kk0TyQ2)+yVzxYt}VB%w=Jv?JsPwj#(-Z$p0`0QC%Atr-?*>w z$&+ZuDLHjjs3DKtmKM7*&O$?rdb5HmV^Gv9H_#~E@O3maq3bl|yF*czOq=}3_j#rX zk_yRrNkQi~D{i*$YdqO#rIW7HEp(uIK}}R#$*Lvy9!9Gfw?wCWO?bw-KkkEC?pj0)qOXiT!#)2x-1Q}teiL97`Wf$w3A6*tk zp49WGsI6&ws=t`N=FDA-3=1WYGcDr@$SL`}56wByzGd5@=by?<4L$h|PAGr9XjR#= z*mF&SX{8So*%lL#jtdIT9`0xdr=ihzrukynA{aw=ARAtpu zy+?IdI;YnLBO>EpFMYgtWo>0?q@m%7OXscn*BsmzT@oAHck4T!LjCrN#G<~jT;!%3&HZRd+6pV}(*m+xJ)3J&7w9VSkaJ%v4hP%02 zn>^D^KU7;jrf1U`uTyn<1{rt@7Nb#qBr=O7pB_S)qRRMyD6#jS#)3K05g1) zQ}^L=Q)_#Vm{FGwy?gI9>lKA{57X_0c`bH6@SLN$X(5NT5Vxa3K+Lf<2V>x`^ZiOo zosJ&j)ehJpXLIxDnuizfX}Q|%n3q(L?EACSV#CB4O1Hjke|S_)!7AIh2$ILcvse7) zeO|ZddJvWLR5rMybZNB-bUOIb1JOXc+Wx`KFNH@|JYVYBn&zR|DDk81O^qMD`;L%a z*SYrclGUZB_sn=^x%XJmYLk^Y-zMnSj0F?7xP0GW#Xt08&pV^Q8G|mnOU{eDwSWu` zM@EO9uIc=KMMdvQtI z`*};Tk{liaa_)}c2RY?AA`DWglWo1by*(Z&5&MhVh<~FEb~d32%6X5Xwx_MQlI*eo(9|*%J~p*%su(~V}3>P*lj0 z)iS;8g+a5HscNEQ9BS+M?Po4I2g)CBj0s$Ie|I7SBZ3nbgES!4 zAFYRzilB>fK{>FJXR_X@acC3m>JzE)zl}rh&*CsA+O;N9yMG&p{-4D$lW5n4NZtNz z90q?DhbPgl50U!++c*sWERH~;-4G%T|F>}%{aGAKh<4+MG~pk{!T-1Mf)1AmFh0=X z5&%+kxCBuCi}8{~w7Z%}*Zs>l(Bbk&aikILW)kU^e;EflT>dDIJfht~A}#)xaiGKH zkK!mJ+TBg075_30bh!Lc95qC{^+ekAFXKRm%OAyYh-kN+NIU*z9L9eb$0?%SZX!MV zFXJ%z!#K_p?Or0%tN$<#!O8i<(+-<2X1F-(f_cMeO++wA(P<wgu`AKpi?iT1&+8xW8F|0M`eE#k-D(FO5hu|6#mh|0!Pdh5*EAqrK*j{w}bEh$D|k3;$tU;5rE2 zZx3|0>3{RNs+g#MH<4EShxWM8TEY8@)sCiM&oRLIRL4LPiH2>ZfY4L{<%Q7{?0S?X zc#tnXVHxOG^hF7Y%Rm~6>gfF%Jv?Yx2*3fsOTc{`eG$4R5{;X_BqAmh;{$XJL8?pS z4+&k4<(n+OVnqN}9;LDTXf_hsUwYC-P zbqi)4gY<8N>&3nH?%M1BZSlzsNxIa)1k>v==T;Z&EADL+ev(g!iKpvkCcnGGy8Kz|Vd-ARYfKH{8V^+Wz172WdseK%Q%U;GC7-a13RxzAZb?9&&+ z1G@A%>cKr?9KB`MH}{q* ztcBo6j{H5RkmXIV`!3Fq56|q;8+g)@**m_1$Q$xiE*30QVLko+zSHy^w9SmB*PfMX zBgm!F!D(>%tv1?=NeVJ14?x}=9>uRZEJk)O)c=-?MlSg(vdyXf`bDZ!BK5or++|-C zFf3){eu&&#_yMY*R$Dj_RC^nNVTu4>*3v6GDpv< z6I+@b?C)J~U*-I2p2Xvm=Z#&@`PwlrY~%SNS;Uofg|VyaY1XnNvR|X`8!(z|Oem`i3iSa7imAt4t}7HHc`)C2knSr_56O& z4!AZ`q2CYM5yyBFq8?K`9<#{AD*i9a&V^8o7IFBB* zBaP+%{h%EgjQ{Xb@Eku<7FcnduKoXq~D3gclpvod;ObH>; z!AB7&6t8VDs)VUvN;ruQUND4jbB7{PC5nY9OGtF^lA+0?bWkN$6;t9!bXlM*#cNxJ zD)DNVl0bsNerhNY_hLB-1{H!C=QUv=TrHv`U<*jy|D6$t0K!_++s0g9@!C z!I}WB#$gHx)&ejEhiix?*5Ys-3DyScIshq9syI^zeL{uS6Lqe~vuz;i+2C{vuNi`AJ$)R~26+eFm435T1BIyd8R3sGk_4s(b)a{#13x#CQ4 zk3)s>h&uD|Z23f;`8eE4)VURh1w@^NI4mOSECP@MZ4+mL`yMK^ov3p=o~@XuvlxdZ zM4cr#EG6pPfx|MQ&N2WgP`Nl0KR9S7QRhxP+b*KcT{zrL)VUjndx$#s;&2~P=RN=_ zP=z=XKXj;)sI$@t3%iO08>3I4DjZf5bynlBhNz?lKnheV&NRVF?I$YPk7uhRDyhR^ zJyA(L4jYI{8t_UEh%@oyi5f{TIFATwG{@>}BEc2_HsP?D1X}{wjKdZZYz1Ho4iA!G zYXA=dNP!NCGi}f(ROm2K=V3fsD^X`F4%>)2+i=)U)Y*>1BSf7?0Hi=i#hJEPoyUke zkKx%mh&nrPc$}#7I1Wz`b)LZCNuth^08*e+;>;OXot-2)xKu!0_-HQJXJX1VLYX{l2vu%? zyC*Vq6F)_vkn@A;A&uv0Gqp~tc-N5czP)gJh3e!J_6AWLe#1H*qC1D4?eQRE+y61g<4PCf& zQ%L&jxj6v?9^hxhJ#x^ehm#}58$H+DQ;YEzs2UcvlKdhAMAU%@L#CkdJu<47M~ z*khS>fNK77&zF!}Klv`}Ss1v?&0Q7|`g*?0iBpSRjdp#m7h%xOB^t{wy=He!SA;Y0 zGV9Ht!r`n}OydNboQc2_;!*te92=4y16A%-9BNg$aYN8U>qFP-rjX?#E^qAg3Kp-8 z*bpbc)|fAz_i6gNS^HCFt?hc&Vs%S&&7&rLj>9j_qMq#IU3a5j>D1{) z&xU0s2J_#)oMqx|9W4=Y<(c#2P?@8vHamrom&c?%cc?V>IQ#9E{$1}7kJUS~&qYfu zbRcezA;(`$NjzQLq}iqg|1DpF6&$P zG_Y=^p5zKoPq|xuyoQ_G_}9Nu<54N=zRWMncMFPVWTI=xZW_$W; z`Hl;-g*X&D*J#C-3ep+qD32DfJlTszy& zck-BH_k_e@oVUo7-##I*75_R>z)~FD;ixH&?slw#tiW?E@Eko+z*qu3QGg|Zf*SPH z015ZQ3{?P7#S|!N0MtT|nS^^QLmdG0-~n?9aPR;dAoSn?3kh)WfCfP5!2^~O;NSsG zfY3VF5Xfl`wP4k&Lue&t0H7OaO%SkwRuuu2pqqIyq(&e!45<^y97AjZSzt(mK$aNN z1PI-RYlVRAv|0o*mc%yKN&*et42mMOJ%H-a&B~JQ?dWD@fWQuENogYxx|ylop~D&^k7P?xf;kZXp_rBGj0rRN?+&p6n_=0v># zJ4X+iQhL=Wr`=oS4p~upwJ5~iQtbKU-ck(UdrR9PQ*y5w+4ca{<0M(`1Q|y)G>>+w z+(`*Dla`dQk%x(QE(WIWGs6p;0C~{2-O>#|EoINbs+*T6^-TtbD21CVZ zGkOo3{yS~O)x+Zcoi^j?Ve|Y>Tfsdn-rs36z8*H;@3b*wTSL`EI|C5{hE>VGuw0Wl zWZOX1AiEzYa$w8>z?_)M)PY($sAeL)>LPYE6m;{tyvGs9LZnw?3bYdG)tUlLM0-_5 z|H^D8+N(YVT8Q>)5KzwZ2!zhsBoeq|2uA;hzzr*SKu+jft^l2-g4;asfVM*h7}ZPW zssf+g9wH2({V!P0(Zpr7!uoleW9|Hflun#wz0Kb5?T06pzN}jOfyzyQp^-#W}?V*%ewAcLH?LP&F83I|9nEXU(>DaZL zeXr&6LwC%3Qei%W_P&lM;soWp0Lh0EvTl%1N;8r3s*|j>;wI-$iH7bp?_)6QkhG8B zpg3jiM&$jylMi@Ce08Q>rrh%C*?M-8?isdA=a|b>>WcH;OVM z8EK|^q&tB5md2UIZ=@L6Ai?Wy+pghs;R{_ux3E19%? zjf}`|7WMp({RD(hetHMqFCVLnryy@coZ80R(Gm4+ZLgMR?z>|^n>ZtQY@xziN!lig zSi4d--7ABuy)Tgu723n!=3EghcF7JL`m((UUmUV5C;-zk5nXuxxS>Vzom&QtM{ z)zB4jr5cK9seGf_ic0m;7mc)x#S+R9W0`W6qLlSfYMMI1QgMjqQQC3~#A0aXO8Jv8 zW&0KN#HPFSRO>k$w2S8@J1#8flzEg*VfC=mUi7q?G+&ga-A<5t>hkcaobV7uZ>5^K zgkwFMt7sQ3BuH9B^lOw_g9@c3SWk7Yq(*^cNH=ZmJ(&YflHyd`cTq-1bWsPFO&A?d zxqXtfHF3y|+r3EE#b^C2@oeq;oXXJLFI;wMUmOBL;r}2 zjV!MPq+nORSwrGWc=ycbiCfR)IB@4pFN@?NBvoNI?(1J6^YN~@POqlaxZ44KZPM9d z>ESI0?nw=^US%Q2)2**PyejYXg;XXfv%9Z#Q~quhrF;n!Zp%EibyYmaNog|`q_g5T zi|}j77W_yN8uz%XvEs_IhmgQYuCP(sEa?|7xpU{iha-*`-N}L8CUVC+a`FCb_yUgz z@~+ilezmZJ9^d9Ms-io;=#A~}Q+_n){W=^yHWGY%Z$^|Ls7EC+i93@2`UJ02BI}|T?0(@RG}5i= zHjkfDIps>J*JMgTMJx5dCz*~1zOj^2UU`PK9lCMD9ZF`JXEw#MNf{Kwu9WxD=EgRTjxccp9fWzt6Fq1 zAJv(+iv0Y>I$AB&^zNXNRSAfkwnWYLwERa9`I4MR?{v*GE+q3po93wZJfQfbeRSmv zbd+GuvKZ4igBI2oU+G+LiUS!X$`*? z7Yy6IDw1K644IMCSX$#7ER~qo`;~;7=AQA|IS6%aP1TAng(}kP585qr4h=KcY*5NH-53cX z-{-ANT{&Yeh+N|Ant~D~3-9|?(D{!l)pp!AU9oK5zJ;tGue1kAJ&?{9)#M$_EUVEm zk=kvn`^%(8D^#pko&u3aeo@=LF`*bl&gFN!qH2C;p-2f7z@?s-O|h6;k8pmFM<|*% zUl$ldoD41+o#sRz+QRLHp8DwNKj)k*lwZh>n@iE&wc;D6tCmRfOEHRVnwhw>j=oIG z?ad6wV3K2pj@Fs*nambxC6^}`szBtb1)bh0TSODJ#hi2aeu_U_SzENQ=wkeie$r++-527rX#&Q#^d28@V}r;)nkom? zOVB{%ISt0=J~TgLWc5R}vMLjT+}EmA6L~Anu~>DBJ3^UI_yPSl6Z`{<(?SGSUoyy& z5#F+NjmWD?5IK8~+Bjp+JrH?-;fVGQntZGw>C`5?(|_2Z?|EZ%`LLP zuETmeJP&UM5jWT=Kb@5rfIcH7sPC%W-*C$3U>RA|O0?p*JeEFUB)?slNx94kd>Z6+3 zX;#pxK&yTku5}5^t> z%PJ|eK=iC|<(5>nTOj((H4Db8+Rh|+mO!g`O)d^_UrBJW=lyivhU4O~*R7V2PrTWv zhQR)LL&40shs`}*B?A0s_rF*UqMwzoRwKIQ7>Is-2#^23We<6SF(`0{Mfd?)YmCGQ zcX_Q?e(`ul{eEad*K&&y?fckC8h7uAxUt2eySfxeqRy(PmTSJyV^=uF0m)gT_$`Q^ zs`R68L1A$0Y`$w(Ng>y41-$d31We?N$0a7rvyN1#g6NNhD(CnNV_$Sx+FIz;L6Snf zg^p5>=X?e|SC<+!$wD zaGjegBeUu&-*$dMfniy$V&Tn^{hi<4Wl0j{{7)|p@`Bd|UE?C(EGux<;mg{>{BlV) zHN9jJJKNwjYa#!e9BN z^IXW_PYgHMT!nUDoOr7F(w_-Kd9spO)}?ttv$|me(vP$J+j34lM_)Hdpn^;EY~Ole zMZTDuB3U`Ic|ncu#bB~bDllU`vS=badT<8JvQygM}$_UdQnmb<>Z9`oi$ zxBtb*3+@bjiv2OP@L(T#Q1vdXG%GGf*4xshVRrgLk@me8PxdmCvMzpYA4zcf`F!+y znuGbRANz~@z1!!Rmu#yV_wSs2<9OeCvwa$8cfHsxmMT5o(YM#J?$yB`oPkQ~UEg<1 zsAR3|?()5;A$@1-$hq)iv#gyyR-OwuBPkYb6szNCu_jZx-DNCk9&DlfgMB)L?eqRk zW}U*1{41tEPFVPc&QrTE5xGleWYBJ4OQU6q;4Ay^o-mf9gA{3%XX~fZDm`Bv`y54K zPN!9!k1HB?Tf@bV25nAHoNV~8qq}_#2hYUI@*_Mi!mR^pYHgzxPCe$^XQ(fKm?v6l zBc%Idi($D{wQ=S(qi2KN>1Gd9s^`ApOm)z>Mt>de?|b@UTI;K$_Os4x;ow;(VF7by z=N{DdTsG@Vet+RIYs(`U?WDJNo;|#(99vw`C6tj-$S6`&%oO)NA->yn&_znRqcCLG z1?8Qbx-l;cRbE{4qF>wID&Dtx%g--EX6GXKgqOT^Dh%=szt_f>6P~KIv3%_GX;?(> z`~nr5`BBw%6C7vu_nzRHzp;qkd*hXW?zOZ|~A6^PMvTv46;_k2XweRBR)q0&TJlvbAqMs?@82qME zc>gZakoC**w&$NSUNgCd+Jk6i^(6mI^aovt^(9Tn`F>1W>bi;hC(N~&N_h)*`S$s4 zy)<>)pk?$g~zc$}(?A6yU~*mFBK{Y2h(t4I%v?1LW*4zvdtv2Ja6Y_8w7 zb#+!jsNn&HeZg<B!~KHU@`s$#P9M5aU4m&@O&%!t-z&$hJMfsQl0hs(tTysO(Z zTj)NQz8e(^ku|a=G?n^C=jeq(25Au?2k%!~ebQdMKPKsF;iexO*BE*=qI>=l?BNFH zr|*Z1R5s_F{dqc!^MDp9@m#IFimbBEyQPclqzv~s7(t61mFqt1c`s3$*jXqM^#MMX zdalfol#;%Cb~T?w@w3xw)`^8kT-@W|B5l?4(1D$1A!}CTXYD@MC&sI-{FudOVZYHA zJquE`BXW-Kw%QY;>XWEusJ+O^Id4|nm+w9~I~JBaFXSoij;Xo1+bjiq?}px$Q~V=h z;?V^s)P&VZ*h1%Ja}pB(_9rDKfCbO$==3t(MkBDI11!8rLMIlGPU8QRg4QPUf%+!% zf%z1~5g!l$7UEEK0}Ro8|KAJ3(uj65iF6B*g4#eklPOC7!l2zr{_pK-|Cj9+673Wd zY1yB*YXDxS@qgKF1<_6ok=FmicK^PRDjzihETsB^PUip3LMoToFtA~PzQ{8cTc8Ad z{J#sK^uWS-I{2OnEQCTAE`bGsbbC8@@P5)=&?g}MPvyWDX`mdjund&<0QtQM{;vgF zcst;`G-AQkKU}b-rw8f<-@y{)|KWlyygc~QmMH&UE!dh8Kh{3@f)%=VqZ1qb=>9e?V8I_fVAkw;Sc-29{Ezwn-p=I0G-9076IRCrfqG&>=3oov64q#g zjSuuivC&{bD?K(b0W8e?^*;So4qMg0yljAp`&48 z?+Y3h#-m|j{phd!zs2)kmH#UaePi%CCi{Uu+Cc$!_cK@k(lw|NAVuE}%pY_k+20oK z;pKn5kH5<6|D*E1eE3yf?;n*1Urb~D=vR5&e^h>Q-2L_Xb^hD(Ce!<;{r_72ZwnWV zel0%K)d7nSiG_=Lx<=^ALv%^wY%F0UkfHpumzJrlV!nj%0>FWYF-oy zY7R9b%7W#TI@-p+WE~w{pzG)c5gEXWN*(>bBGWOzLetejI~|rq-xx%vWB6BiI!3rJ zI)3_-SwIjv#(zbjV~mA?)}l9=1#2kiFN1V~Fo)1Ax|3N9K`p_5Ijpk?vlz{yGnoar ztP>La*Xs#20IvtlqD^Em0pX(mp`DPFiQXxIZ$_s4QsXn?mm2GUjy}LgmH)d$^b@2l zhtXtfbTK&k@WOsvQSn)|+=_j|6mN^FOQE-n{JF=dYv;-=cUtr}<(n(48Fd*k z4dRgvN3S(q{cf$Y?+WLQ^2}Gu#;a_;DhqUfJD?iVT(-qcPOCpHh=sU@uSmIgFU|WP zva~#ke-S%p#>S9xR`F>g z4w*Zo#6`(oP0m*nHWBCH*3`6nt?+aiUx{y_h6I_bB6?5;cd^)U7l&?yOh{Q3GtN}d{t3$1~=8()6b1eRcc zALRsn1P7N$F!)>511#GZ2?kdzNB|%T#1LmELKXqca@4;$;K7udgqafCv^Uk(n z&S`ozq(2E-x(aoEZ4&=)KOu=z6p} zf5SSjKI9a#?MVH0Y zBuF|n|Jp;L9ZmTaSEPj{F62opi7OctJx_18Sk+~;LvvU(8XsQx?~iIhwSOO9>Qu1e zp^mK3PKMa>=voGId33QsC8REoelu@|KHm?azeWnN0JM^`ZBp@Qhs>cK4QOsFny}FC zO{(P4RS72K9#yhkrF)ya$00LvkNV_u3v!PJ{@nAh{ERxXB6`@g1?7we#jXm=YehMu zg*{jBIBZJoQKMpYcpjE(Lf=ag*7LCCgYpufcD~$)I@>| z)=?)XOYkeFM%HBLJE4w|Agd-S2Qk2aI>U{Oej7a@ihUm~zRoOo@4M${GRvG2_iS?* zNqa(3PM6$JeH%D4J3~FCm31x3hJH}B!wV*AVNnV}q zmcnP`N-?|4kOhBxQew=*+Fr}6`fQ=uN454_+!Y_Qc`wtRwNr<3-#&#^pHi;I4_kte z*c0c+K;~;ym08_N0W9z2@uQ8aNH^sp6}flb<5>5|apP`@cY93{9l-Ezqa{uGyq@_qB@R6k?DrCsG`%&Cg=iD+g#e(kLq4&&Q8&!H2=F-uNOnM!qg})AukY} z?|hD6|F{JT_Es}oA8|GLGdXN8X~8V$tr*oe zhvx|>xF?*0nV$DZByvVB12Hz%Jt`C$1!o$Q^<&&-z%{aO-?^;DgeB@h^(c7F2dotxQ+RtaFUy45az@%fDzk0F% z;yu}!M@zdWJb2}D$tE@&*EQ&af{gx$@?QIJ-Sah_1&9B%K4Z)69gmGYU?2Rtvw8oM zd)fKlA5Po8;EAf@-}WC<6|3Jr;K}jRznI?;`H@pFG=FE^i2i4vpO@A2Nao(`!L#Z< znew}qr%Ij58&=J=fz9*QpUAkn=EV6;`;UMA!kdSeyx(-_;_$QM{+jXe@#eq9b`{1Omo&p2Q| znjUiMzP3{A&|0{59rasYxORn%&sw_zx2a+`@E?_Cz{|)3;>4EqsfYGwO8-ace=Du! z_6?8D)OMMdl)k9=Uq$85{6y&siXSUpS9}Qr38uefeL|Wrj67G`nm$a1*Sd3KV<3;| zN8F8j=`JARBqonlSq=*@4QY^eFzHHZuk-=n)owYnerdmb)$1BDu0`kn5hJ`Ke;%kQgx^4$XTa}nzU?PCz#-ee!ccSZHNB`t~cB<-#97T*WebS04G zy57Wey@~0(kLkRR6~ZvIdx>Ie?W)y?-&kFXrXy>Mp-+13*771L@9TJ(KBQgy%Fp#O z75<5cvsCyilkjt%hvS`bdO!Tsll)}-6EOi&;h%&O`aN&Ih}aJDD&5_As}N4OA~w@3`N+7O07G|oZXwvQpW`1$dG)SAuIDIsr5Lyd zAHd(K{kqxcm$a~<-of}u1E`PR4q<>RAxHaj$rrJ5U1n~scjvl4Kyrrdncfbz*Kzpr zdTZYlYR`VyzmdcF6Qp?)%YLO8eECqA^hhn=4TL^)$`)sDXo;?eN0 zM*;26aiac3+J$`5&aq!&4lia!8`%!$&;}-3XovIKPS0V!pJ;FDVaCZ;w0jpea`vO= zB;S6-7V_*6fa6O!_WEAn^xNt=EQ>N&-or1dJteIGRSpq{kPSRnl@H0;Uoa-4d8 zcC&)m%H^i{vuv~v#n9SNj=b^{q_#{aYv?O^7sH$QXSWy;SKGRH%`=ue>o^VY}-&#!#p zPsqWxC34~_N9Zq^XVi=RD*ARDjPjoBD15LZ?SXxvk$gcrjs?}-o#S57`LfW9i##*W zbbS8&GI1?p5O42jyJgzW%(uA6P0UO6ukR<*?sIML$zzVhvf|Lwn+FNMX}sI49}d46 zy~nD*i=@9Ce;+V$K)E;IN6!z5IQgmY=XPR~7Qe@Zj=3=mknv#rhhnrJ=*xXs_;Nd; zw)lbbq06!h;!>VtQxC>9^FhE4sdsF@{ZjNt`HV}nlQ@Jw=LikIbKUDnp3z6@1M-YM zTt^req8I9EKkOs^J`569#1%f2?*m0WSQ@kse*8(wjs9MJV*Eyb#s&4~^AqYXH1ub@ zL0+MhgJyg7%bYa(GxOD}h`7d2+AgV|HlhLNXB^TvFT;NF+7I;O%h-ka5ZWad*ppq& zIHewT0UNG}YsSfW&v7#U$8idcad95?B+vLs>I3qOK8!=^BYL4;x7&))1%uYACwktV4fx`aQ-yo zHRs7D6mWku=eT2#Lcfm&a@>MW;(Ra;y40JnrHO&8m@W?2K3_Z;sy00-IHD_uU)b24G0JzoD(^9Rve*;Cv4H zhcxZL2T;MdQF-l(Z9_tOC5xNGc98t~BJC>K9 z@Ada5xqdMp!toKgFB1VbA#srR1$ z#q{}KOrQUW-I?#YH4pFQ=gn)xx7K@k`r-*U{ea>Gec>+tZNA`EQexhLyPvQ0m6UpK V!1_FE|{_2swMX_!7;{|DCU&!GSS literal 0 HcmV?d00001 diff --git a/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_vmake b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_vmake new file mode 100644 index 0000000..37aa36a --- /dev/null +++ b/1ano/2semestre/lsd/pratica01/part3/simulation/qsim/work/_vmake @@ -0,0 +1,4 @@ +m255 +K4 +z0 +cModel Technology