50 lines
2.0 KiB
Plaintext
50 lines
2.0 KiB
Plaintext
|
# do FlipFlopD_Demo.do
|
||
|
# ** Warning: (vlib-34) Library already exists at "work".
|
||
|
# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020
|
||
|
# Start time: 10:57:36 on Mar 15,2023
|
||
|
# vcom -work work FlipFlopD_Demo.vho
|
||
|
# -- Loading package STANDARD
|
||
|
# -- Loading package TEXTIO
|
||
|
# -- Loading package std_logic_1164
|
||
|
# -- Loading package VITAL_Timing
|
||
|
# -- Loading package VITAL_Primitives
|
||
|
# -- Loading package dffeas_pack
|
||
|
# -- Loading package altera_primitives_components
|
||
|
# -- Loading package cycloneive_atom_pack
|
||
|
# -- Loading package cycloneive_components
|
||
|
# -- Compiling entity FlipFlopD
|
||
|
# -- Compiling architecture structure of FlipFlopD
|
||
|
# End time: 10:57:36 on Mar 15,2023, Elapsed time: 0:00:00
|
||
|
# Errors: 0, Warnings: 0
|
||
|
# Model Technology ModelSim - Intel FPGA Edition vcom 2020.1 Compiler 2020.02 Feb 28 2020
|
||
|
# Start time: 10:57:36 on Mar 15,2023
|
||
|
# vcom -work work FlipFlopD.vwf.vht
|
||
|
# -- Loading package STANDARD
|
||
|
# -- Loading package TEXTIO
|
||
|
# -- Loading package std_logic_1164
|
||
|
# -- Compiling entity FlipFlopD_vhd_vec_tst
|
||
|
# -- Compiling architecture FlipFlopD_arch of FlipFlopD_vhd_vec_tst
|
||
|
# End time: 10:57:36 on Mar 15,2023, Elapsed time: 0:00:00
|
||
|
# Errors: 0, Warnings: 0
|
||
|
# vsim -c -t 1ps -L cycloneive -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.FlipFlopD_vhd_vec_tst
|
||
|
# Start time: 10:57:36 on Mar 15,2023
|
||
|
# Loading std.standard
|
||
|
# Loading std.textio(body)
|
||
|
# Loading ieee.std_logic_1164(body)
|
||
|
# Loading work.flipflopd_vhd_vec_tst(flipflopd_arch)
|
||
|
# Loading ieee.vital_timing(body)
|
||
|
# Loading ieee.vital_primitives(body)
|
||
|
# Loading altera.dffeas_pack
|
||
|
# Loading altera.altera_primitives_components
|
||
|
# Loading cycloneive.cycloneive_atom_pack(body)
|
||
|
# Loading cycloneive.cycloneive_components
|
||
|
# Loading work.flipflopd(structure)
|
||
|
# Loading ieee.std_logic_arith(body)
|
||
|
# Loading cycloneive.cycloneive_io_obuf(arch)
|
||
|
# Loading cycloneive.cycloneive_io_ibuf(arch)
|
||
|
# Loading cycloneive.cycloneive_lcell_comb(vital_lcell_comb)
|
||
|
# Loading altera.dffeas(vital_dffeas)
|
||
|
# after#33
|
||
|
# End time: 10:57:36 on Mar 15,2023, Elapsed time: 0:00:00
|
||
|
# Errors: 0, Warnings: 0
|